OpenCores
URL https://opencores.org/ocsvn/phr/phr/trunk

Subversion Repositories phr

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 326 to Rev 327
    Reverse comparison

Rev 326 → Rev 327

/phr/trunk/codigo/cpld/test1/test1.vhd
27,8 → 27,8
 
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
library UNISIM;
use UNISIM.VComponents.all;
 
entity test1 is
Port ( clock : in STD_LOGIC; -- 730Hz
44,11 → 44,17
signal tmp: std_logic_vector(11 downto 8);
signal tmptx: std_logic_vector(1 downto 0);
signal Suni, Sdec, Scen, Sumil, Sdig2seg, cntr: std_logic_vector(3 downto 0) := "0000";
signal Sseg: std_logic_vector(6 downto 0);
signal Sseg,Ssegtmp: std_logic_vector(6 downto 0);
signal pulso_p, reset: std_logic;
signal clkdiv : std_logic;
signal Sled : std_logic_vector (7 downto 0) :=(others=>'0');
signal Q1, Q2, Q3, Q_OUT : std_logic;
signal Sshift : std_logic_vector(7 downto 0) :=(others=>'0');
signal Q1ce, Q2ce, Q3ce, ce_clk: std_logic;
signal Scountled : std_logic_vector (2 downto 0):=(others=>'0');
signal countdiv : std_logic_vector (1 downto 0) :=(others=>'0');
 
 
component contador port (
reset, pulso_p : in std_logic;
unidad_p,decena_p,centena_p, u_mil_p : out std_logic_vector(3 downto 0));
80,9 → 86,16
clkdiv <= tmp(8);
end process;
 
cntr <= Suni;
countdiv <= sw(1 downto 0);
 
Sseg <= "0111111" when cntr = "0000" else
cntr <= Suni when countdiv = "00" else
Sdec when countdiv = "01" else
Scen when countdiv = "10" else
Sumil;
led(0) <= not clkdiv;
 
Sseg <= "0111111" when cntr = "0000" else
"0000110" when cntr = "0001" else
"1011011" when cntr = "0010" else
"1001111" when cntr = "0011" else
95,18 → 108,11
"0000000";
 
seg(6 downto 0) <= not Sseg;
an <= "0001";
 
shift:process(clock)
begin
if (clock'event and clock='1') then
if(clkdiv = '1') then
Sled <= (not Sled(6 downto 0)) & clkdiv;
end if;
end if;
end process;
an <= "0001" when countdiv = "00" else
"0010" when countdiv = "01" else
"0100" when countdiv = "10" else
"1000";
 
led <= sled;
 
end Behavioral;
 
/phr/trunk/codigo/cpld/test1/test1.jed
1,5 → 1,5
Programmer Jedec Bit Map
Date Extracted: Mon Jun 2 22:26:10 2014
Date Extracted: Thu Jun 5 13:14:28 2014
 
QF46656*
QP44*
8,7 → 8,7
X0*
J0 0*
N VERSION M.70d*
N DEVICE XC9572XL-5-VQ44*
N DEVICE XC9572XL-10-VQ44*
N PPMAP 24 1*
N PPMAP 46 12*
N PPMAP 49 13*
43,13 → 43,13
N PPMAP 31 6*
N PPMAP 33 7*
N PPMAP 38 8*
L0000000 00000000 00000000 00000000 00000000*
L0000000 00000000 00000000 10000000 00000000*
L0000032 00000000 00000000 00000000 00000000*
L0000064 00000000 00000000 00000000 00000000*
L0000096 00000000 00000000 00000000 00000000*
L0000128 00000000 00000000 00000000 00000000*
L0000160 00000000 00000000 00000000 00000000*
L0000192 00000000 00000000 00000000 00000000*
L0000192 00000000 00000000 00100000 00000000*
L0000224 00000000 00000000 00000000 00000000*
L0000256 00000000 00000000 00000000 00000000*
L0000288 000000 000000 000000 000000*
59,17 → 59,17
L0000384 000000 000000 000000 000000*
L0000408 000000 000000 000000 000000*
L0000432 00000000 00000000 00000000 00000000*
L0000464 00000000 00000000 00000000 00000000*
L0000464 00000000 00000000 01100000 00000000*
L0000496 00000000 00000000 00000000 00000000*
L0000528 00000000 00000000 00000000 00000000*
L0000560 00000000 00000000 00000000 00000000*
L0000592 00000000 00000000 00000000 00000000*
L0000624 00000000 00000000 00000000 00000000*
L0000592 00000000 00000000 00100000 00000000*
L0000624 00000000 00000000 11001000 00000000*
L0000656 00000000 00000000 00000000 00000000*
L0000688 00000000 00000000 00000000 00000000*
L0000720 000000 000000 000000 000000*
L0000744 000000 000000 000000 000000*
L0000768 000000 000000 000000 000000*
L0000768 000000 000000 110000 000000*
L0000792 000000 000000 000000 000000*
L0000816 000000 000000 000000 000000*
L0000840 000000 000000 000000 000000*
105,34 → 105,34
L0001704 000000 000000 000000 000000*
L0001728 00000000 00000000 00000000 00000000*
L0001760 00000000 00000000 00000000 00000000*
L0001792 00000000 00000000 00000000 00000000*
L0001824 00000000 00000000 00000000 00000000*
L0001792 00000000 00010000 00000000 00000000*
L0001824 00000000 00011000 00000000 00000000*
L0001856 00000000 00000000 00000000 00000000*
L0001888 00000000 00000000 00000000 00000000*
L0001920 00000000 00000000 00000000 00000000*
L0001920 00000000 00000000 00000000 00100000*
L0001952 00000000 00000000 00000000 00000000*
L0001984 00000000 00000000 00000000 00000000*
L0002016 000000 000000 000000 000000*
L0002016 010000 000000 000000 000000*
L0002040 000000 000000 000000 000000*
L0002064 000000 000000 000000 000000*
L0002088 000000 000000 000000 000000*
L0002112 000000 000000 000000 000000*
L0002136 000000 000000 000000 000000*
L0002160 00000000 00000000 00000000 00000000*
L0002192 00000000 00000000 00000000 00000000*
L0002224 00000000 00000000 00000000 00000000*
L0002256 00000000 00000000 00000000 00000000*
L0002288 00000000 00000000 00000000 00000000*
L0002320 00000000 00000000 00000000 00000000*
L0002352 00000000 00000000 00000000 00000000*
L0002384 00000000 00000000 00000000 00000000*
L0002416 00000000 00000000 00000000 00000000*
L0002448 000000 000000 000000 000000*
L0002472 000000 000000 000000 000000*
L0002496 000000 000000 000000 000000*
L0002520 000000 000000 000000 000000*
L0002544 000000 000000 000000 000000*
L0002568 000000 000000 000000 000000*
L0002064 010000 000000 000000 000000*
L0002088 000000 000001 000000 000000*
L0002112 001000 000000 000000 000000*
L0002136 000001 001000 000000 000000*
L0002160 00001100 00000000 00000000 00000000*
L0002192 00001100 00000000 00000000 00000000*
L0002224 10001100 00000000 00000000 00000000*
L0002256 00001100 00000000 00000000 00000000*
L0002288 00001100 00000000 00000000 00000000*
L0002320 00001000 00000000 00000000 00000000*
L0002352 00001000 00100000 00000000 00000000*
L0002384 00001000 00000100 00000000 00000000*
L0002416 00111000 00000100 00000000 00000000*
L0002448 000010 000000 000000 000000*
L0002472 000010 000000 000000 000000*
L0002496 000110 000000 000000 000000*
L0002520 001010 000000 000000 000000*
L0002544 000110 010010 000000 000000*
L0002568 000010 000000 000000 000000*
L0002592 00000010 00000000 00000000 00000000*
L0002624 00000011 00000000 00000000 00000000*
L0002656 00000010 00000000 00000000 00000000*
148,51 → 148,51
L0002952 000000 000000 000000 000000*
L0002976 000000 000000 000000 000000*
L0003000 000000 000000 000000 000000*
L0003024 00000010 01000000 00000000 00000000*
L0003024 00000010 00000000 00000000 00000000*
L0003056 00000011 00000000 00000000 00000000*
L0003088 00000000 00000000 00000000 00000000*
L0003120 00000011 00000000 00000000 00000000*
L0003152 00000010 00000000 00000000 00000000*
L0003184 00000011 00000000 00000000 00000000*
L0003216 00000110 00000000 00000000 00000000*
L0003216 00000010 00000000 00000000 00000000*
L0003248 00000000 00000000 00000000 00000000*
L0003280 00000000 00000000 00000000 00000000*
L0003312 000000 000000 000000 000000*
L0003336 000000 000000 000000 000000*
L0003360 000001 000000 000000 000001*
L0003360 000000 000000 000000 000000*
L0003384 000000 000000 000000 000000*
L0003408 000000 000000 000000 000000*
L0003432 000000 000000 000000 000000*
L0003456 00000000 00000000 00000000 00000000*
L0003488 00000000 00000000 00000000 00000000*
L0003520 00000000 00000000 00000000 00000000*
L0003552 00000000 00000000 00000000 00000000*
L0003584 00000000 00000000 00000000 00000000*
L0003520 00000000 00001000 00000000 00000000*
L0003552 10000000 00000000 00000000 00000000*
L0003584 00001000 00100100 00000000 00000000*
L0003616 00000000 00000000 00000000 00000000*
L0003648 00000000 00000000 00000000 00000000*
L0003680 00000000 00000000 00000000 00000000*
L0003712 00000000 00000000 00000000 00000000*
L0003744 000000 000000 000000 000000*
L0003768 000000 000000 000000 000010*
L0003792 000000 000000 000000 000000*
L0003816 000000 000000 000000 000000*
L0003840 000000 000000 000000 000000*
L0003864 000000 000000 000000 000000*
L0003888 00000000 00000000 00000000 00000000*
L0003920 00000000 00000000 00000000 00000000*
L0003952 00000000 00000000 00000000 00000000*
L0003984 00000000 00000000 00000000 00000000*
L0004016 00000000 00000000 00000000 00000000*
L0004048 00000000 00000000 00000000 00000000*
L0004080 00000000 00000000 00000000 00000000*
L0004112 00000000 00000000 00000000 00000000*
L0004144 00000000 00000000 00000000 00000000*
L0004176 000000 000000 000000 000000*
L0004200 000000 000000 000000 000000*
L0004224 000000 000000 000000 000000*
L0004248 000000 000000 000000 000000*
L0004272 000000 000000 000000 000000*
L0004296 000000 000000 000000 000000*
L0003680 00001000 00000000 00000000 00010000*
L0003712 00001000 00000000 00000000 00000100*
L0003744 000000 101100 000000 000000*
L0003768 000000 000000 000000 000000*
L0003792 000100 000001 000000 000000*
L0003816 000000 001000 000000 000000*
L0003840 000100 000000 000000 000000*
L0003864 001000 000000 000000 000000*
L0003888 00001100 00000000 00000000 00000000*
L0003920 00001100 00000000 00000000 00000000*
L0003952 00011100 00100000 00000000 00000000*
L0003984 00101100 00000100 00000000 00000000*
L0004016 00100100 00000000 00000000 00000000*
L0004048 00001100 00000000 00000000 00000000*
L0004080 00001100 00000000 00000000 00000000*
L0004112 00000100 00001000 00000000 00000100*
L0004144 00000100 10010000 00000000 00000000*
L0004176 000010 000010 000000 000000*
L0004200 100010 000000 000000 000000*
L0004224 100011 000000 000000 001000*
L0004248 100010 000000 000000 000000*
L0004272 100010 000000 000000 000000*
L0004296 100110 000000 000000 000100*
L0004320 00000000 00000000 00000000 00000000*
L0004352 00000000 00000000 00000000 00000000*
L0004384 00000000 00000000 00000000 00000000*
223,15 → 223,15
L0005112 000000 000000 000000 000000*
L0005136 000000 000000 000000 000000*
L0005160 000000 000000 000000 000000*
L0005184 00000000 00000001 00000000 00000000*
L0005216 00000011 00000001 00000000 00000001*
L0005248 00000000 00000011 00000000 00000000*
L0005280 00000000 00000011 00000000 00000000*
L0005312 00000000 00000011 00000000 00000010*
L0005344 00000000 00000011 00000000 00000001*
L0005376 00000000 00000011 00000001 00000000*
L0005408 00000011 00000011 00000000 00000011*
L0005440 00000001 00000011 00000000 00000001*
L0005184 00000000 00000000 00000011 00000001*
L0005216 00000011 00000000 00000011 00000000*
L0005248 00000001 00000010 00000011 00000001*
L0005280 00000010 00000000 00000011 00000000*
L0005312 00000010 00000001 00000011 00000001*
L0005344 00000000 00000011 00000011 00000001*
L0005376 00000000 00000000 00000011 00000011*
L0005408 00000010 00000000 00000011 00000010*
L0005440 00000001 00000010 00000011 00000011*
L0005472 000000 000000 000000 000000*
L0005496 000000 000000 000000 000000*
L0005520 000000 000000 000000 000000*
238,15 → 238,15
L0005544 000000 000000 000000 000000*
L0005568 000000 000000 000000 000000*
L0005592 000000 000000 000000 000000*
L0005616 00000000 00000000 00000000 00000000*
L0005648 00000000 00000000 00000000 00000000*
L0005680 00000000 00000000 00000000 00000000*
L0005712 00000000 00000001 00000000 00000000*
L0005744 00000000 00000000 00000000 00000000*
L0005776 00000000 00000000 00000000 00000000*
L0005808 00000000 00000001 00000000 00000000*
L0005840 00000000 00000001 00000000 00000000*
L0005872 00000000 00000001 00000000 00000000*
L0005616 00000011 00000011 00000000 00000011*
L0005648 00000000 00000011 00000000 00000011*
L0005680 00000011 00000011 00000001 00000011*
L0005712 00000011 00000011 00000001 00000001*
L0005744 00000011 00000010 00000000 00000000*
L0005776 00000011 00000000 00000000 00000001*
L0005808 00000011 00000011 00000001 00000011*
L0005840 00000001 00000011 00000001 00000001*
L0005872 00000011 00000001 00000001 00000001*
L0005904 000000 000000 000000 000000*
L0005928 000000 000000 000000 000000*
L0005952 000000 000000 000000 000000*
253,15 → 253,15
L0005976 000000 000000 000000 000000*
L0006000 000000 000000 000000 000000*
L0006024 000000 000000 000000 000000*
L0006048 00000000 00000001 00000000 00000000*
L0006080 00000011 00000001 00000000 00000000*
L0006112 00000000 00000001 00000000 00000000*
L0006144 00000000 00000010 00000000 00000000*
L0006176 00000000 00000001 00000000 00000000*
L0006208 00000000 00000011 00000000 00000000*
L0006240 00000000 00000011 00000000 00000000*
L0006272 00000011 00000011 00000000 00000000*
L0006304 00000001 00000011 00000000 00000001*
L0006048 00000000 00000000 00000001 00000001*
L0006080 00000011 00000000 00000010 00000000*
L0006112 00000001 00000010 00000011 00000001*
L0006144 00000000 00000000 00000011 00000000*
L0006176 00000010 00000001 00000011 00000000*
L0006208 00000000 00000011 00100010 00000001*
L0006240 00000000 00000000 00000011 00000001*
L0006272 00000010 00000000 00000011 00000010*
L0006304 00000001 00000010 00000011 00000011*
L0006336 000000 000000 000000 000000*
L0006360 000000 000000 000000 000000*
L0006384 000000 000000 000000 000000*
268,30 → 268,30
L0006408 000000 000000 000000 000000*
L0006432 000000 000000 000000 000000*
L0006456 000000 000000 000000 000000*
L0006480 00000000 00000000 00000000 00000000*
L0006512 00000000 01100000 00000000 00000000*
L0006544 00000000 00000000 00000000 00000000*
L0006576 00000000 00000000 00000000 00000000*
L0006608 00000000 00000000 00000000 00000000*
L0006640 00000000 00100000 00000000 00000000*
L0006672 00000100 00000000 00000000 00000000*
L0006704 00000000 00000000 00000000 00000000*
L0006736 00000000 00000000 00000000 00000000*
L0006480 00000011 00000011 00000010 00000010*
L0006512 00000000 00000011 01100000 00000011*
L0006544 00000010 00000001 00000000 00000000*
L0006576 00000011 00000011 00000000 00000001*
L0006608 00000001 00000010 00000000 00000001*
L0006640 00000011 00000000 00000000 00000000*
L0006672 00000011 00000011 11101000 00000000*
L0006704 00000001 00000011 00000000 00000001*
L0006736 00000010 00000001 00000000 00000000*
L0006768 000000 000000 000000 000000*
L0006792 000000 000000 000000 000000*
L0006816 000001 000000 000000 000001*
L0006840 000000 000000 000000 000000*
L0006816 000000 000000 110000 000000*
L0006840 000100 100000 000000 000101*
L0006864 000000 000000 000000 000000*
L0006888 000000 000000 000000 000000*
L0006912 00000000 00000000 00000000 00000000*
L0006912 00000000 00000000 00000000 00000001*
L0006944 00000011 00000000 00000000 00000000*
L0006976 00000000 00000000 00000000 00000000*
L0007008 00000000 00000001 00000000 00000000*
L0007040 00000000 00000000 00000000 00000000*
L0007072 00000000 00000011 00000000 00000000*
L0007104 00000000 00000001 00000000 00000000*
L0007136 00000010 00000001 00000000 00000000*
L0007168 00000000 00000011 00000000 00000000*
L0006976 00000001 00000010 00000001 00000001*
L0007008 00000010 00000000 00000001 00000000*
L0007040 00000010 00000001 00000000 00000000*
L0007072 00000000 00000011 00000000 00000001*
L0007104 00000000 00000000 00000001 00000011*
L0007136 00000010 00000000 00000001 00000000*
L0007168 00000001 00000010 00000001 00000001*
L0007200 000000 000000 000000 000000*
L0007224 000000 000000 000000 000000*
L0007248 000000 000000 000000 000000*
298,15 → 298,15
L0007272 000000 000000 000000 000000*
L0007296 000000 000000 000000 000000*
L0007320 000000 000000 000000 000000*
L0007344 00000000 00000000 00000000 00000000*
L0007376 00000000 00000000 00000000 00000000*
L0007408 00000000 00000000 00000000 00000000*
L0007440 00000000 00000001 00000000 00000000*
L0007472 00000000 00000000 00000000 00000000*
L0007504 00000000 00000000 00000000 00000000*
L0007536 00000000 00000001 00000000 00000000*
L0007568 00000000 00000001 00000000 00000000*
L0007600 00000000 00000001 00000000 00000000*
L0007344 00000011 00000011 00000000 00000011*
L0007376 00000000 00000011 00000000 00000011*
L0007408 00000011 00000010 00000001 00000001*
L0007440 00000011 00000011 00000001 00000001*
L0007472 00000011 00000010 00000000 00000001*
L0007504 00000011 00000000 00000000 00000001*
L0007536 00000011 00000011 00000001 00000011*
L0007568 00000001 00000011 00000001 00000001*
L0007600 00000011 00000001 00000001 00000001*
L0007632 000000 000000 000000 000000*
L0007656 000000 000000 000000 000000*
L0007680 000000 000000 000000 000000*
313,45 → 313,45
L0007704 000000 000000 000000 000000*
L0007728 000000 000000 000000 000000*
L0007752 000000 000000 000000 000000*
L0007776 00000000 00000000 00000000 00000000*
L0007808 00000001 00000000 00000000 00000001*
L0007840 00000000 00000000 00000000 00000000*
L0007776 00001000 00000000 00000000 00000000*
L0007808 00000011 00000000 00000000 00000000*
L0007840 00000001 11000010 00000000 10000001*
L0007872 00000000 00000000 00000000 00000000*
L0007904 00000000 00000010 00000001 00000010*
L0007936 00000000 00000011 00000000 00000001*
L0007968 00000000 00000000 00000001 00000000*
L0008000 00000010 00000000 00000000 00000011*
L0008032 00000000 00000010 00000000 00000000*
L0008064 000000 000000 000000 000000*
L0008088 000000 000000 000000 000000*
L0008112 000000 000000 000000 000000*
L0008136 000000 000000 000000 000000*
L0008160 000000 000000 000000 000000*
L0007904 00010010 00010000 00000000 00000000*
L0007936 00000000 00000011 00000000 00000000*
L0007968 10000000 00001000 00000000 10000000*
L0008000 10000010 00010000 00000000 00001000*
L0008032 01001000 00100010 00000001 00010001*
L0008064 000000 000001 000000 000000*
L0008088 000000 001000 000000 000000*
L0008112 100011 000000 000000 000000*
L0008136 000010 000000 000000 000000*
L0008160 010010 000000 000001 000000*
L0008184 000000 000000 000000 000000*
L0008208 00000000 00010000 00000000 00000000*
L0008240 00000000 01100000 00000000 00000001*
L0008272 00000000 00000000 00000000 00000000*
L0008304 00000000 00000000 00000000 00000000*
L0008336 00000000 00000010 00000001 00000010*
L0008368 00000000 00000000 00000000 00000001*
L0008400 00000100 00100000 00000001 00000000*
L0008432 00000000 00000000 00000000 00000011*
L0008464 00000000 00000000 00000000 00000000*
L0008496 000000 000000 000000 000000*
L0008208 00000011 00000011 00000000 00000011*
L0008240 00000000 10000011 10001100 00000011*
L0008272 00000010 00000000 00000000 00000000*
L0008304 00000011 00000011 00000000 00000101*
L0008336 01000001 10000001 00000000 00011101*
L0008368 00000011 00000000 00000000 00000000*
L0008400 00110011 00000011 00000100 00000001*
L0008432 00010001 01000011 00000001 00000001*
L0008464 00000111 00000000 00000000 00000000*
L0008496 000010 000000 000000 100010*
L0008520 000000 000000 000000 000000*
L0008544 000001 000000 000000 000001*
L0008568 000000 000000 000000 000000*
L0008592 000000 000000 000000 000000*
L0008616 000000 000000 000000 000000*
L0008544 000000 010010 001100 000000*
L0008568 000000 010010 000000 000000*
L0008592 000100 000000 000000 000000*
L0008616 100000 000000 000000 000000*
L0008640 00000000 00000000 00000000 00000000*
L0008672 00000000 00000000 00000000 00000000*
L0008704 00000000 00000000 00000000 00000000*
L0008672 00000011 00000000 00000000 00000000*
L0008704 00000000 00000010 00000000 00000001*
L0008736 00000000 00000000 00000000 00000000*
L0008768 00000000 00000000 00000000 00000000*
L0008800 00000000 00010001 00000000 00000000*
L0008800 00000000 00000011 00000000 00000000*
L0008832 00000000 00000000 00000000 00000000*
L0008864 00000000 00000000 00000000 00000000*
L0008896 00000000 00000000 00000000 00000000*
L0008864 00000010 00000000 00000000 00000000*
L0008896 00000000 00000000 00000001 00000001*
L0008928 000000 000000 000000 000000*
L0008952 000000 000000 000000 000000*
L0008976 000000 000000 000000 000000*
358,30 → 358,30
L0009000 000000 000000 000000 000000*
L0009024 000000 000000 000000 000000*
L0009048 000000 000000 000000 000000*
L0009072 00000000 00000000 00000000 00000000*
L0009104 00000000 01110000 00000000 00000000*
L0009136 00000000 00000000 00000000 00000000*
L0009168 00000000 00000000 00000000 00000000*
L0009200 00000000 00000000 00000000 00000000*
L0009232 00000000 00000000 00000000 00000000*
L0009264 00000100 00110000 00000000 00000000*
L0009296 00000000 00000000 00000000 00000000*
L0009328 00000000 00000000 00000000 00000000*
L0009072 00000011 00000011 00000000 00000011*
L0009104 00000000 00000011 00000000 00000011*
L0009136 00000011 00000000 00000000 00000000*
L0009168 00000011 00000011 00000000 00000001*
L0009200 00000011 00000001 00000000 00000001*
L0009232 00000011 00000000 00000000 00000000*
L0009264 00000011 00000011 00000000 00000001*
L0009296 00000000 00000011 00000000 00000001*
L0009328 00000011 00000010 00000000 00000000*
L0009360 000000 000000 000000 000000*
L0009384 000000 000000 000000 000000*
L0009408 000001 000000 000000 000001*
L0009408 000000 000000 000000 000000*
L0009432 000000 000000 000000 000000*
L0009456 000000 000000 000000 000000*
L0009480 000000 000000 000000 000000*
L0009504 00000000 00000000 00000000 00000000*
L0009536 00000000 00000000 00000001 00000000*
L0009568 00000000 00000000 00000000 00000000*
L0009600 00000000 00000001 00000000 00000000*
L0009632 00000000 00000001 00000001 00000000*
L0009536 00000010 00000000 00000000 00000000*
L0009568 00000000 00000010 00000000 00000001*
L0009600 00000000 00000000 00000000 00000000*
L0009632 00000000 00000001 00000000 00000000*
L0009664 00000000 00000000 00000000 00000000*
L0009696 00000000 00000000 00000000 00000000*
L0009696 00000000 00000000 00000000 00000010*
L0009728 00000000 00000000 00000000 00000000*
L0009760 00000000 00000000 00000000 00000000*
L0009760 00000000 00000010 00000000 00000001*
L0009792 000000 000000 000000 000000*
L0009816 000000 000000 000000 000000*
L0009840 000000 000000 000000 000000*
389,29 → 389,29
L0009888 000000 000000 000000 000000*
L0009912 000000 000000 000000 000000*
L0009936 00000000 00000000 00000000 00000000*
L0009968 00000000 00000000 00000000 00000000*
L0010000 00000000 00001100 00000000 00000000*
L0010032 00000000 00000000 00000000 00000000*
L0010064 00000000 00000000 00000000 00000000*
L0010096 00000000 00000000 00000000 00000000*
L0009968 00000011 00000000 00000000 00000000*
L0010000 00000000 00000010 00000000 00000001*
L0010032 00000010 00000000 00000000 00000000*
L0010064 00000010 00000001 00000000 00000000*
L0010096 00000000 00000001 00000000 00000000*
L0010128 00000000 00000000 00000000 00000000*
L0010160 00000000 00000100 00000000 00000000*
L0010192 00000000 00000000 00000000 00000000*
L0010160 00000010 00000000 00000000 00000000*
L0010192 00000001 00000000 00000001 00000001*
L0010224 000000 000000 000000 000000*
L0010248 000000 000000 000000 000000*
L0010272 000000 000000 000000 000000*
L0010296 000000 000001 000000 000000*
L0010296 000000 000000 000000 000000*
L0010320 000000 000000 000000 000000*
L0010344 000000 000000 000000 000000*
L0010368 00000000 00000000 00000000 00000000*
L0010400 00000000 00000000 00000000 00000000*
L0010432 00000000 00000000 00000000 00000000*
L0010400 00000001 00000000 00000000 00000010*
L0010432 00000001 00000010 00000000 00000001*
L0010464 00000000 00000000 00000000 00000000*
L0010496 00000000 00000000 00000000 00000000*
L0010528 00000000 00000000 00000000 00000000*
L0010528 00000000 00000011 00000000 00000000*
L0010560 00000000 00000000 00000000 00000000*
L0010592 00000000 00000000 00000000 00000000*
L0010624 00000000 00000000 00000000 00000000*
L0010624 00000000 00000010 00000001 00000001*
L0010656 000000 000000 000000 000000*
L0010680 000000 000000 000000 000000*
L0010704 000000 000000 000000 000000*
418,15 → 418,15
L0010728 000000 000000 000000 000000*
L0010752 000000 000000 000000 000000*
L0010776 000000 000000 000000 000000*
L0010800 00000000 00000000 00000000 00000000*
L0010832 00000000 00000000 00000000 00000000*
L0010864 00000000 00000000 00000000 00000000*
L0010896 00000000 00000000 00000000 00000000*
L0010928 00000000 00000000 00000000 00000000*
L0010960 00000000 00000000 00000000 00000000*
L0010992 00000000 00000000 00000000 00000000*
L0011024 00000000 00000000 00000000 00000000*
L0011056 00000000 00000000 00000000 00000000*
L0010800 00000000 00000001 00000010 00000010*
L0010832 00000000 00000001 00000000 00000010*
L0010864 00000001 00000001 00000000 00000010*
L0010896 00000001 00000010 00000000 00000001*
L0010928 00000001 00000010 00000000 00000001*
L0010960 00000001 00000000 00000000 00000000*
L0010992 00000001 00000011 00000000 00000000*
L0011024 00000000 00000011 00000000 00000000*
L0011056 00000000 00000011 00000000 00000000*
L0011088 000000 000000 000000 000000*
L0011112 000000 000000 000000 000000*
L0011136 000000 000000 000000 000000*
433,15 → 433,15
L0011160 000000 000000 000000 000000*
L0011184 000000 000000 000000 000000*
L0011208 000000 000000 000000 000000*
L0011232 00000000 00000001 00000000 00000000*
L0011264 00000011 00000001 00000001 00000011*
L0011296 00000000 00000011 00000000 00000000*
L0011328 00000000 00000011 00000000 00000000*
L0011360 00000000 00000011 00000001 00000011*
L0011392 00000000 00000011 00000001 00000001*
L0011424 00000000 00000011 00000001 00000000*
L0011456 00000011 00000011 00000000 00000011*
L0011488 00000001 00000011 00000000 00000001*
L0011232 00000000 00000000 00000011 00000001*
L0011264 00000011 00000000 00000011 00000010*
L0011296 00000001 00000010 00000011 00000001*
L0011328 00000010 00000000 00000011 00000000*
L0011360 00000010 00000001 00000011 00000001*
L0011392 00000000 00000011 00000011 00000001*
L0011424 00000000 00000000 00000011 00000011*
L0011456 00000010 00000000 00000011 00000010*
L0011488 00000001 00000010 00000011 00000011*
L0011520 000000 000000 000000 000000*
L0011544 000000 000000 000000 000000*
L0011568 000000 000000 000000 000000*
464,125 → 464,125
L0012048 000000 000000 000000 000000*
L0012072 000000 000000 000000 000000*
L0012096 00000000 00000000 00000000 00000000*
L0012128 00000000 00000000 00000000 00000000*
L0012128 10000000 10001000 10001100 00000000*
L0012160 00000000 00000000 00000000 00000000*
L0012192 00000000 00000000 00000000 00000000*
L0012224 00000000 00000000 00000000 00000000*
L0012192 00000000 00000000 00000000 00000100*
L0012224 01010000 10000000 00000000 00011100*
L0012256 00000000 00000000 00000000 00000000*
L0012288 00000000 00000000 00000000 00000000*
L0012320 00000000 00000000 00000000 00000000*
L0012352 00000000 00000000 00000000 00000000*
L0012384 000000 000000 000000 000000*
L0012408 000000 000000 000000 000000*
L0012432 000000 000000 000000 000000*
L0012288 00000000 00000000 00000100 00000000*
L0012320 00100000 01000000 00000000 00000000*
L0012352 00000100 00100000 00000000 00000000*
L0012384 000010 000001 000000 100010*
L0012408 000000 001000 000000 000000*
L0012432 000000 001000 001100 000000*
L0012456 000000 000000 000000 000000*
L0012480 000000 000000 000000 000000*
L0012504 000000 000000 000000 000000*
L0012528 00000000 00000000 00000000 00000000*
L0012560 00000000 00000000 00000000 00000000*
L0012592 00000000 00000000 00000000 00000000*
L0012624 00000000 00000000 00000000 00000000*
L0012480 010100 000000 000000 000000*
L0012504 100000 000000 000000 000000*
L0012528 00000100 00000000 00000000 00000000*
L0012560 00000000 01000100 00000000 10011000*
L0012592 00000100 00000100 00000000 00000000*
L0012624 00010000 00100000 00000000 00000000*
L0012656 00000000 00000000 00000000 00000000*
L0012688 00000000 00000000 00000000 00000000*
L0012720 00000000 00000000 00000000 00000000*
L0012752 00000000 00000000 00000000 00000000*
L0012688 00001100 01000000 00000000 10000000*
L0012720 11110000 00000000 00000000 00001000*
L0012752 00001000 00000000 00000000 00000000*
L0012784 00000000 00000000 00000000 00000000*
L0012816 000000 000000 000000 000000*
L0012840 000000 000000 000000 000000*
L0012864 000000 000000 000000 000000*
L0012840 100010 000000 000000 000000*
L0012864 000000 010010 000001 000000*
L0012888 000000 000000 000000 000000*
L0012912 000000 000000 000000 000000*
L0012936 000000 000000 000000 000000*
L0012960 00000000 00000000 00000000 00000000*
L0012992 00000011 00000000 00000001 00000011*
L0013024 00000000 00000000 00000000 00000000*
L0013056 00000000 00000000 00000000 00000000*
L0013088 00000000 00000011 00000001 00000011*
L0013120 00000000 00001011 00000001 00010001*
L0013152 00000000 00000000 00000001 00000000*
L0013184 00000010 00000000 00000000 00000011*
L0013216 00110000 00000010 00000000 00000000*
L0013248 000000 000000 000000 000000*
L0012992 10001011 00001000 10001101 00000010*
L0013024 00001000 00000100 00000000 00000000*
L0013056 01000000 00100000 00000000 00000000*
L0013088 01011100 10000001 00000001 00010101*
L0013120 00000000 00000011 00000001 00000000*
L0013152 00001000 00000000 00000100 00000000*
L0013184 00000110 01010000 00000000 00000000*
L0013216 00000100 00100010 00000100 00000000*
L0013248 000010 000001 000000 100010*
L0013272 000000 000000 000000 000000*
L0013296 000000 000000 000000 000000*
L0013296 000000 001000 000100 000000*
L0013320 000000 000000 000000 000000*
L0013344 000000 010000 000000 000000*
L0013368 000000 000010 000000 000000*
L0013344 100100 000000 000000 000000*
L0013368 100000 000000 000000 000000*
L0013392 00000000 00000000 00000000 00000000*
L0013424 00000000 00000000 00000000 00000000*
L0013456 00000000 00000000 00000000 00000000*
L0013488 00000000 00000000 00000000 00000000*
L0013520 00000000 00000000 00000000 00000000*
L0013552 10010000 00000000 00000000 00000000*
L0013584 10010000 00000000 00000000 00000000*
L0013616 00100000 00000000 00000000 00000000*
L0013648 00000000 00000000 00000000 00000000*
L0013680 000000 000000 000000 000000*
L0013704 000000 011010 000000 000000*
L0013728 000000 011110 000000 000000*
L0013752 000000 000000 000000 000000*
L0013424 01000000 10000100 00000000 00010000*
L0013456 00100000 00000000 00000000 00000000*
L0013488 00010000 10000000 00000000 00010100*
L0013520 00000000 01010000 00000000 00001000*
L0013552 00000000 00000000 00000000 00000000*
L0013584 00000100 00001000 00000000 00000000*
L0013616 00110000 00000000 00000000 00000000*
L0013648 00000000 00000000 00000000 10000000*
L0013680 000000 000000 000000 000101*
L0013704 000000 000000 000000 000000*
L0013728 000100 000000 001000 000010*
L0013752 100000 010010 000000 000000*
L0013776 000000 000000 000000 000000*
L0013800 000000 000000 000000 000000*
L0013824 00000000 00000000 00000000 00000000*
L0013856 00000011 00000000 00000001 00000010*
L0013888 00000000 00000001 00000000 00000000*
L0013920 00000000 00000000 00000000 00000000*
L0013952 00000000 00000001 00000000 00000001*
L0013984 00000000 00000011 00000001 00000000*
L0014016 00100000 00001000 00000000 00000000*
L0014048 10000010 00000000 00000000 00000000*
L0014080 00110000 00000010 00000000 00000000*
L0014112 000000 000000 000000 000000*
L0014136 000000 000000 000000 000000*
L0014160 000000 000100 000000 000000*
L0014184 000000 000000 000000 000000*
L0014208 000000 010000 000000 000000*
L0014232 000000 000010 000000 000000*
L0014256 00000000 00000001 00000000 00000000*
L0014288 00000000 00000101 00000000 00000001*
L0014320 00000000 00000010 00000000 00000000*
L0014352 00000000 00000011 00000000 00000000*
L0014384 00000000 00000010 00000001 00000010*
L0014416 00000000 00000000 00000000 00000001*
L0014448 10010000 00000011 00000001 00000000*
L0014480 00110001 00000011 00000000 00000011*
L0014512 00000001 00000001 00000000 00000001*
L0014544 000000 000000 000000 000000*
L0014568 000000 000000 000000 000000*
L0014592 000000 011010 000000 000000*
L0014616 000000 011010 000000 000000*
L0014640 000000 001010 000000 000000*
L0014664 000000 000000 000000 000000*
L0014688 00000000 00000000 00000000 00000000*
L0013800 000010 000000 000001 000000*
L0013824 00000000 10001000 00000001 00000000*
L0013856 01000011 00000000 00010001 00000010*
L0013888 00000000 10000000 00000000 00000000*
L0013920 01001000 10000000 00000000 00011000*
L0013952 00001000 10000001 00000000 10010101*
L0013984 00000100 01101011 00000001 10000000*
L0014016 01000100 01010100 00000000 10001000*
L0014048 00001110 00000000 00000000 10001010*
L0014080 01001000 00000010 00000110 10001010*
L0014112 000010 000000 000000 000000*
L0014136 100000 000000 000000 000000*
L0014160 100101 000000 000001 000000*
L0014184 100000 000000 000000 000000*
L0014208 100000 000000 000001 000000*
L0014232 000000 000000 000001 000000*
L0014256 00101000 01000000 00000010 10001001*
L0014288 00000000 01000000 10001110 10001000*
L0014320 00000001 01000010 00000011 10001001*
L0014352 00000010 01000000 00000011 10000000*
L0014384 01000110 01000000 00000011 00000000*
L0014416 10001000 00010100 00000010 00000001*
L0014448 00000000 00000000 00000011 00000011*
L0014480 00000000 01100000 00000011 00000000*
L0014512 00000101 00000000 00000001 00010001*
L0014544 000000 000000 000000 100111*
L0014568 001000 000001 000000 000000*
L0014592 000000 000000 000100 000000*
L0014616 010000 000000 000000 000000*
L0014640 000100 000000 000000 000000*
L0014664 100010 000000 000000 000000*
L0014688 00000000 00000000 00000000 00000001*
L0014720 00000000 00000000 00000000 00000000*
L0014752 00000000 00000000 00000000 00000000*
L0014784 00000000 00000001 00000000 00000000*
L0014816 00000000 00000000 00000000 00000000*
L0014848 00000000 00000000 00000000 00000000*
L0014880 00000000 00001001 00000000 00000000*
L0014912 00000000 00000001 00000000 00000000*
L0014944 00010000 00000001 00000000 00000000*
L0014976 000000 000000 000000 000000*
L0014752 00000001 00000010 00000001 00000001*
L0014784 00000010 00000000 00000001 00000000*
L0014816 10000010 00000000 00000000 00000000*
L0014848 00000000 00000000 00000000 00000001*
L0014880 00000000 00000000 00000001 00000011*
L0014912 00000000 00001000 00000001 00000000*
L0014944 10000001 00010000 00000001 00000001*
L0014976 000000 001100 000000 000000*
L0015000 000000 000000 000000 000000*
L0015024 000000 000000 000000 000000*
L0015048 000000 011000 000000 000000*
L0015072 000000 010010 000000 000000*
L0015096 000000 000010 000000 000000*
L0015120 00000000 00000000 00000000 00000000*
L0015152 00000000 00000100 00000000 00000000*
L0015184 00000000 00000000 00000000 00000000*
L0015216 00000000 00000000 00000000 00000000*
L0015248 00000000 00000000 00000000 00000000*
L0015280 10110000 00001000 00000000 00000000*
L0015312 00100000 00000100 00000000 00000000*
L0015344 10010000 00000000 00000000 00000000*
L0015376 00000000 00000000 00000000 00000000*
L0015408 000000 000000 000000 000000*
L0015432 000000 011010 000000 000000*
L0015456 000000 000100 000000 000000*
L0015480 000000 000010 000000 000000*
L0015504 000000 001000 000000 000000*
L0015528 000000 000000 000000 000000*
L0015024 000000 000001 000000 000000*
L0015048 000000 000000 000000 000000*
L0015072 000000 001000 000000 000100*
L0015096 011000 100000 000000 000001*
L0015120 00001100 00000000 00000000 00000000*
L0015152 00001100 00000000 00000000 00000000*
L0015184 00011100 00100000 00000000 00000000*
L0015216 00001100 00000000 00000000 00000000*
L0015248 00001100 00000000 00000000 00000000*
L0015280 00001000 00000000 00000000 00000000*
L0015312 00001000 00000000 00000000 00000000*
L0015344 00001000 00000000 00000000 00000000*
L0015376 00001000 00000000 00000000 00000000*
L0015408 001110 000010 000000 000000*
L0015432 000010 000000 000000 000000*
L0015456 000110 000000 000000 001000*
L0015480 000010 000000 000000 000000*
L0015504 000110 000000 000000 000000*
L0015528 000010 010010 000000 000000*
L0015552 00000000 00000000 00000000 00000000*
L0015584 00000000 00000000 00000000 00000000*
L0015616 00000000 00000000 00000000 00000000*
599,13 → 599,13
L0015936 000000 000000 000000 000000*
L0015960 000000 000000 000000 000000*
L0015984 00000000 00000000 00000000 00000000*
L0016016 00000000 00000000 00000000 00000001*
L0016016 00000000 00000000 00000000 00000000*
L0016048 00000000 00000000 00000000 00000000*
L0016080 00000000 00000000 00000000 00000000*
L0016112 00000000 00000010 00000001 00000010*
L0016144 00000000 00000000 00000000 00000001*
L0016176 00000000 00000000 00000001 00000000*
L0016208 00000000 00000000 00000000 00000011*
L0016112 00000000 00000000 00000000 00000000*
L0016144 00000000 00000000 00000000 00000000*
L0016176 00000000 00000000 00000000 00000000*
L0016208 00000000 00000000 00000000 00000000*
L0016240 00000000 00000000 00000000 00000000*
L0016272 000000 000000 000000 000000*
L0016296 000000 000000 000000 000000*
628,15 → 628,15
L0016776 000000 000000 000000 000000*
L0016800 000000 000000 000000 000000*
L0016824 000000 000000 000000 000000*
L0016848 00000000 00000001 00000000 00000000*
L0016880 00000000 00000000 00000000 00000000*
L0016912 00000000 00000000 00000000 00000000*
L0016944 00000000 00000011 00000000 00000000*
L0016976 00000000 00000000 00000000 00000000*
L0017008 00000000 00000000 00000000 00000000*
L0017040 00000000 00000011 00000000 00000000*
L0017072 00000001 00000011 00000000 00000000*
L0017104 00000001 00000001 00000000 00000001*
L0016848 00000000 00000000 00000000 00000001*
L0016880 00000000 00000000 00000010 00000000*
L0016912 00000001 00000010 00000011 00000001*
L0016944 00000010 00000000 00000011 00000000*
L0016976 00000010 00000000 00000011 00000000*
L0017008 00000000 00000000 00000010 00000001*
L0017040 00000000 00000000 00000011 00000011*
L0017072 00000000 00000000 00000001 00000000*
L0017104 00000001 00000000 00000001 00000001*
L0017136 000000 000000 000000 000000*
L0017160 000000 000000 000000 000000*
L0017184 000000 000000 000000 000000*
643,41 → 643,41
L0017208 000000 000000 000000 000000*
L0017232 000000 000000 000000 000000*
L0017256 000000 000000 000000 000000*
L0017280 00000000 00000000 00000000 00000000*
L0017312 00000000 00000000 00000000 00000000*
L0017344 00000000 00000000 00000000 00000000*
L0017376 00000000 00000000 00000000 00000000*
L0017408 00000000 00000000 00000000 00000000*
L0017440 00000000 00000000 00000000 00000000*
L0017472 00000000 00000000 00000000 00000000*
L0017504 00000000 00000000 00000000 00000000*
L0017536 00000000 00000000 00000000 00000000*
L0017568 000000 000000 000000 000000*
L0017592 000000 000000 000000 000000*
L0017616 000000 000000 000000 000000*
L0017640 000000 000000 000000 000000*
L0017664 000000 000000 000000 000000*
L0017280 10110000 00111000 00000000 00000000*
L0017312 10100000 00011100 00000000 00000000*
L0017344 00100000 00000100 00000000 00000000*
L0017376 00010000 00100000 00000000 00000000*
L0017408 00010000 00010000 00000000 00000000*
L0017440 10110000 00111100 00010000 00000000*
L0017472 10110000 00011100 00000000 00000000*
L0017504 10110000 00110000 00000000 00000000*
L0017536 00000000 00101000 00000000 00000000*
L0017568 000000 000001 000000 000000*
L0017592 001000 011011 000010 000000*
L0017616 001000 011010 000000 000000*
L0017640 010000 010010 000000 000000*
L0017664 010001 000000 000000 000000*
L0017688 000000 000000 000000 000000*
L0017712 00000000 00000000 00000000 00000000*
L0017744 00000000 00000000 00000000 00000000*
L0017776 00000000 00000000 00000000 00000000*
L0017808 00000000 00000000 00000000 00000000*
L0017840 00000000 00000000 00000000 00000000*
L0017872 00000000 00000000 00000000 00000000*
L0017904 00000000 00000000 00000000 00000000*
L0017936 00000000 00000000 00000000 00000000*
L0017968 00000000 00000000 00000000 00000000*
L0018000 000000 000000 000000 000000*
L0018024 000000 000000 000000 000000*
L0018048 000000 000000 000000 000000*
L0018072 000000 000000 000000 000000*
L0018096 000000 000000 000000 000000*
L0018120 000000 000000 000000 000000*
L0017712 00000000 00000100 00000000 00000000*
L0017744 00010000 00100000 00000000 00000000*
L0017776 10010000 00111000 00000000 00000000*
L0017808 10100000 00011100 00000000 00000000*
L0017840 10100000 00101100 00000000 00000000*
L0017872 00000000 00000000 00000000 00001000*
L0017904 00000000 00100000 00000000 00000000*
L0017936 00000000 00001100 00000000 00000000*
L0017968 10110000 00010100 00000000 00000000*
L0018000 111100 001110 000000 000000*
L0018024 010000 000100 000000 100000*
L0018048 010000 000101 000000 000000*
L0018072 001000 001001 000000 000000*
L0018096 001000 011010 000000 000000*
L0018120 011101 011010 000000 000000*
L0018144 00000000 00000000 00000000 00000000*
L0018176 00000000 00000000 00000000 00000000*
L0018208 00000000 00000000 00000000 00000000*
L0018240 00000000 00000000 00000000 00000000*
L0018272 00000000 00000000 00000000 00000000*
L0018272 00000000 00000000 00000001 00000000*
L0018304 00000000 00000000 00000000 00000000*
L0018336 00000000 00000000 00000000 00000000*
L0018368 00000000 00000000 00000000 00000000*
704,13 → 704,13
L0018960 000000 000000 000000 000000*
L0018984 000000 000000 000000 000000*
L0019008 00000000 00000000 00000000 00000000*
L0019040 00000011 00000000 00000001 00000011*
L0019040 00000011 00000000 00000001 00000010*
L0019072 00000000 00000000 00000000 00000000*
L0019104 00000000 00000000 00000000 00000000*
L0019136 00000000 00000011 00000001 00000011*
L0019168 00000000 00000011 00000001 00000001*
L0019200 00000000 00000000 00000001 00000000*
L0019232 00000010 00000000 00000000 00000011*
L0019136 00000000 00000001 00000001 00000001*
L0019168 00000000 00000011 00000001 00000000*
L0019200 00000000 00000000 00000000 00000000*
L0019232 00000010 00000000 00000000 00000000*
L0019264 00000000 00000010 00000000 00000000*
L0019296 000000 000000 000000 000000*
L0019320 000000 000000 000000 000000*
718,15 → 718,15
L0019368 000000 000000 000000 000000*
L0019392 000000 000000 000000 000000*
L0019416 000000 000000 000000 000000*
L0019440 00000000 00000001 00000000 00000000*
L0019472 00000011 00000001 00000000 00000001*
L0019504 00000000 00000011 00000000 00000000*
L0019536 00000000 00000011 00000000 00000000*
L0019568 00000000 00000011 00000000 00000010*
L0019600 00000000 00000011 00000000 00000001*
L0019632 00000000 00000011 00000001 00000000*
L0019664 00000011 00000011 00000000 00000011*
L0019696 00000001 00000011 00000000 00000001*
L0019440 00000011 00000011 00000011 00000011*
L0019472 00000011 00000011 00000011 00000011*
L0019504 00000011 00000011 00000011 00000011*
L0019536 00000011 00000011 00000011 00000001*
L0019568 00000011 00000011 00000011 00000001*
L0019600 00000011 00000011 00000011 00000001*
L0019632 00000011 00000011 00000011 00000011*
L0019664 00000011 00000011 00000011 00000011*
L0019696 00000011 00000011 00000011 00000011*
L0019728 000000 000000 000000 000000*
L0019752 000000 000000 000000 000000*
L0019776 000000 000000 000000 000000*
733,45 → 733,45
L0019800 000000 000000 000000 000000*
L0019824 000000 000000 000000 000000*
L0019848 000000 000000 000000 000000*
L0019872 00000000 00000001 00000000 00000000*
L0019904 00000011 00000001 00000000 00000000*
L0019936 00000000 00000001 00000000 00000000*
L0019968 00000000 00000010 00000000 00000000*
L0020000 00000000 00000001 00000000 00000000*
L0020032 00000000 00000011 00000000 00000100*
L0020064 00000000 00000011 00000000 00000000*
L0020096 00000011 00000011 00000000 00000000*
L0020128 00000001 00000011 00000000 00000001*
L0020160 000000 000000 000000 000000*
L0020184 000000 000000 000000 000000*
L0020208 000000 000000 000000 000000*
L0019872 00000011 10011011 00000011 00000011*
L0019904 00000011 00000011 10000010 00000011*
L0019936 00000011 00000011 00000011 00000001*
L0019968 00001011 00000011 00000011 00001001*
L0020000 01000011 00000011 00000011 10000001*
L0020032 00000011 00100011 00000010 00000001*
L0020064 00001011 00010111 00000011 00000001*
L0020096 00000011 01100011 00000011 00000011*
L0020128 00000111 00000011 00000011 00000011*
L0020160 000000 000000 000000 100010*
L0020184 000010 000001 000000 000000*
L0020208 001010 000000 000000 000000*
L0020232 000000 000000 000000 000000*
L0020256 000000 000000 000000 000000*
L0020280 000000 000000 000000 000000*
L0020304 00000000 00000000 00000000 00000000*
L0020336 00000011 00000000 00000000 00000000*
L0020368 00000000 00000000 00000000 00000000*
L0020400 00000000 00000001 00000000 00000000*
L0020432 00000000 00000000 00000000 00000000*
L0020464 00000000 00000011 00000000 00000000*
L0020496 00000100 00000001 00000000 00000000*
L0020528 00000010 00000001 00000000 00000000*
L0020560 00000000 00000011 00000000 00000000*
L0020256 000101 000000 000000 000000*
L0020280 100000 000000 000000 000000*
L0020304 00110011 01100011 00000000 10001011*
L0020336 01100011 00000011 00011000 00000011*
L0020368 00000011 10000010 00000001 00000001*
L0020400 01000011 10000011 00000001 00010001*
L0020432 00001111 00000011 00000000 00000001*
L0020464 00000111 01011111 00000000 10000001*
L0020496 01000111 01000011 00000001 10001011*
L0020528 00001111 00000011 00000001 10001001*
L0020560 01001011 00001011 00000101 10001001*
L0020592 000000 000000 000000 000000*
L0020616 000001 000000 000000 000000*
L0020640 000000 000000 000000 000000*
L0020664 000000 000000 000000 000000*
L0020688 000000 000000 000000 000000*
L0020712 000000 000000 000000 000000*
L0020736 00000000 00000000 00000000 00000000*
L0020768 00000001 00000000 00000000 00000001*
L0020800 00000000 00000000 00000000 00000000*
L0020832 00000000 00000000 00000000 00000000*
L0020864 00000000 00000010 00000001 00000010*
L0020896 00000000 00000011 00000000 01000001*
L0020928 00000000 00000000 00000001 00000000*
L0020960 00000010 00000000 00000000 00000011*
L0020992 00000000 00000010 00000000 00000000*
L0020616 101000 000000 000000 000000*
L0020640 100101 000000 000101 000000*
L0020664 100000 000000 000000 000000*
L0020688 100000 000000 000001 000000*
L0020712 000000 000000 000001 000000*
L0020736 00000011 00000011 00000000 00000011*
L0020768 00000011 00000011 00000000 00000011*
L0020800 00000011 00000010 00000000 00000001*
L0020832 00000011 00000011 00000000 00000001*
L0020864 00000011 00000001 00000000 00000001*
L0020896 00000011 00000011 00000000 00000000*
L0020928 00000011 00000011 00000000 00000001*
L0020960 00000011 00000011 00000001 00000001*
L0020992 00000011 00000010 00000001 00000001*
L0021024 000000 000000 000000 000000*
L0021048 000000 000000 000000 000000*
L0021072 000000 000000 000000 000000*
778,86 → 778,86
L0021096 000000 000000 000000 000000*
L0021120 000000 000000 000000 000000*
L0021144 000000 000000 000000 000000*
L0021168 00000000 00000000 00000000 00000000*
L0021200 00000000 00000000 00000000 00000000*
L0021232 00000000 00000000 00000000 00000000*
L0021264 00000000 00000000 00000000 00000000*
L0021296 00000000 00000000 00000000 00000000*
L0021328 00000000 00000001 00000000 00000000*
L0021360 00000000 00000000 00000000 00000000*
L0021392 00000000 00000000 00000000 00000000*
L0021424 00000000 00000000 00000000 00000000*
L0021168 00000011 00000011 00000000 00000011*
L0021200 00000011 00000011 01000000 00000011*
L0021232 00000011 00000010 00000000 00000001*
L0021264 00000011 00000011 00000000 00000001*
L0021296 00000011 00000001 00000000 00000001*
L0021328 00000011 00000011 01000000 00000000*
L0021360 00000011 00000011 10001000 00000001*
L0021392 00000010 00000011 00000000 00000001*
L0021424 00000011 00000010 00000001 00000001*
L0021456 000000 000000 000000 000000*
L0021480 000000 000000 000000 000000*
L0021504 000000 000000 000000 000000*
L0021504 000000 000000 100000 000000*
L0021528 000000 000000 000000 000000*
L0021552 000000 000000 000000 000000*
L0021576 000000 000000 000000 000000*
L0021600 00000000 00000000 00000100 00000000*
L0021632 00000000 00000000 00000000 00000000*
L0021600 00000000 00000000 10000010 00000000*
L0021632 00000000 00000000 01100000 00000000*
L0021664 00000000 00000000 00000000 00000000*
L0021696 00000000 00000000 00000000 00000000*
L0021728 00000000 00000000 00000000 00000000*
L0021760 00000000 00000000 00000000 00000000*
L0021792 00000000 00010000 00000000 00000000*
L0021728 00000000 00000000 00000010 00000000*
L0021760 00000000 00000000 00100000 00000000*
L0021792 00000000 00000000 11101000 00000000*
L0021824 00000000 00000000 00000000 00000000*
L0021856 00000000 00000000 00000000 00000000*
L0021888 000000 000000 000000 000000*
L0021912 000000 100000 000000 000000*
L0021936 000000 000000 000000 000000*
L0021912 000000 000000 000000 000000*
L0021936 000000 000000 110000 000000*
L0021960 000000 000000 000000 000000*
L0021984 000000 000000 000000 000000*
L0022008 000000 000000 000000 000000*
L0022032 00000000 00000000 00000000 00000000*
L0022064 00000000 01110000 00000000 00000000*
L0022032 00000000 00000000 01110000 00000001*
L0022064 00000000 00000000 00000000 00000000*
L0022096 00000000 00000000 00000000 00000000*
L0022128 00000000 00000000 00000000 00000000*
L0022160 00000000 00000000 00000000 00000000*
L0022192 00000000 00010000 00000000 00000000*
L0022224 00000100 00100000 00000000 00000000*
L0022160 00000000 00000000 00000000 00000001*
L0022192 00000000 00000000 11001000 00100000*
L0022224 00000000 00000000 00000000 00000000*
L0022256 00000000 00000000 00000000 00000000*
L0022288 00000000 00000000 00000000 00000000*
L0022320 000000 000000 000000 000000*
L0022344 000000 000000 000000 000000*
L0022368 000001 000000 000000 000001*
L0022344 000000 000000 111000 001000*
L0022368 000000 000000 000000 000000*
L0022392 000000 000000 000000 000000*
L0022416 000000 000000 000000 000000*
L0022440 000000 000000 000000 000000*
L0022464 00000000 00000000 00000000 00000000*
L0022496 00000000 01110000 00000000 00000000*
L0022528 00000000 00000000 00000000 00000000*
L0022560 00000000 00000000 00000000 00000000*
L0022592 00000000 00000000 00000000 00000000*
L0022624 00000000 00010000 00000000 00000000*
L0022656 00000100 00110000 00000000 00000000*
L0022688 00000000 00000000 00000000 00000000*
L0022464 10000000 00000000 00000001 00000000*
L0022496 00000010 00010010 00000000 00000010*
L0022528 00001100 00000000 00000000 00000000*
L0022560 00000100 00000000 00000000 00000000*
L0022592 00000010 00000010 00000001 00000010*
L0022624 10000000 00011000 00000000 00000000*
L0022656 00000000 00010000 00000000 00010100*
L0022688 00000000 10100000 00000000 00000000*
L0022720 00000000 00000000 00000000 00000000*
L0022752 000000 000000 000000 000000*
L0022776 000000 100000 000000 000000*
L0022800 000001 000000 000000 000001*
L0022824 000000 000000 000000 000000*
L0022848 000000 000000 000000 000000*
L0022776 000000 000001 000000 000000*
L0022800 001000 000000 000000 000000*
L0022824 010000 000000 000000 000000*
L0022848 000010 000000 000000 000000*
L0022872 000000 000000 000000 000000*
L0022896 00000000 01110000 00000000 00000000*
L0022928 00000010 00000010 00000000 00000010*
L0022960 00000000 00000000 00000000 00000000*
L0022992 00000000 00000000 00000000 00000000*
L0023024 00000010 00000010 00000000 00000010*
L0023056 00000100 00100000 00000000 00000000*
L0023088 00000000 00000000 00000000 00000000*
L0023120 00000000 00000000 00000000 00000000*
L0023152 00000000 00000000 00000000 00000000*
L0023184 000000 000000 000000 000000*
L0023208 000001 000100 000000 000001*
L0023232 000000 000000 000000 000000*
L0023256 000000 000000 000000 000000*
L0023280 000000 000000 000000 000000*
L0023304 000000 000000 000000 000000*
L0023328 00000000 00000000 00000000 00000010*
L0023360 00000000 00000000 00000000 00000010*
L0022896 00010000 00100000 00000000 00000000*
L0022928 01000000 00000000 10010000 00000000*
L0022960 00000000 10000000 00000000 00000000*
L0022992 01000000 10000000 00000000 00010000*
L0023024 01001000 00000000 00000000 00000000*
L0023056 00110100 01000000 00000000 10000000*
L0023088 01000100 01000000 00000000 10001000*
L0023120 00001100 01000000 00000000 10001000*
L0023152 01001100 00001000 00000100 10001000*
L0023184 000000 000000 000000 100010*
L0023208 100000 010010 000000 000000*
L0023232 100101 000000 000001 000000*
L0023256 100000 000000 000000 000000*
L0023280 100100 000000 000001 000000*
L0023304 100000 000000 000001 000000*
L0023328 00000000 00000000 00000000 00000000*
L0023360 00000000 00000000 00000000 00000000*
L0023392 00000000 00000000 00000000 00000000*
L0023424 00000000 00000000 00000000 00000000*
L0023456 00000000 00000000 00000000 00000010*
L0023456 00000010 00000010 00000000 00000010*
L0023488 00000000 00000000 00000000 00000000*
L0023520 00000000 00000000 00000000 00000000*
L0023552 00000000 00000000 00000000 00000000*
868,7 → 868,7
L0023688 000000 000000 000000 000000*
L0023712 000000 000000 000000 000000*
L0023736 000000 000000 000000 000000*
L0023760 00000000 00000000 00000000 00000000*
L0023760 00000001 00000001 00000001 00000001*
L0023792 00000000 00000000 00000000 00000000*
L0023824 00000000 00000000 00000000 00000000*
L0023856 00000000 00000000 00000000 00000000*
898,11 → 898,11
L0024552 000000 000000 000000 000000*
L0024576 000000 000000 000000 000000*
L0024600 000000 000000 000000 000000*
L0024624 00000000 00000000 00000000 00000000*
L0024624 00000011 00000011 00000011 00000011*
L0024656 00000010 00000010 00000000 00000010*
L0024688 00000000 00000000 00000000 00000000*
L0024688 00000000 00000000 00000000 00110100*
L0024720 00000000 00000000 00000000 00000000*
L0024752 00000010 00000010 00000000 00000010*
L0024752 00000011 00000011 00000011 00000011*
L0024784 00000000 00000000 00000000 00000000*
L0024816 00000000 00000000 00000000 00000000*
L0024848 00000000 00000000 00000000 00000000*
910,7 → 910,7
L0024912 000000 000000 000000 000000*
L0024936 000000 000000 000000 000000*
L0024960 000000 000000 000000 000000*
L0024984 000000 000000 000000 000000*
L0024984 000000 000000 000000 000010*
L0025008 000000 000000 000000 000000*
L0025032 000000 000000 000000 000000*
L0025056 00000000 00000000 00000000 00000000*
928,26 → 928,26
L0025416 000000 000000 000000 000000*
L0025440 000000 000000 000000 000000*
L0025464 000000 000000 000000 000000*
L0025488 00000000 00000000 00000000 00000000*
L0025520 00000010 00000010 00000000 00000010*
L0025488 00000011 00000010 00100011 00000010*
L0025520 00000011 00000010 01000011 00000010*
L0025552 00000000 00000000 00000000 00000000*
L0025584 00000000 00000000 00000000 00000000*
L0025616 00000010 00000010 00000000 00000010*
L0025616 00000011 00000010 00000011 00000010*
L0025648 00000000 00000000 00000000 00000000*
L0025680 00000000 00000000 00000000 00000000*
L0025680 00000000 00000000 11001000 00000000*
L0025712 00000000 00000000 00000000 00000000*
L0025744 00000000 00000000 00000000 00000000*
L0025776 000000 000000 000000 000000*
L0025800 000000 000000 000000 000000*
L0025824 000000 000000 000000 000000*
L0025824 000000 000000 110000 000000*
L0025848 000000 000000 000000 000000*
L0025872 000000 000000 000000 000000*
L0025896 000000 000000 000000 000000*
L0025920 00000000 00000000 00000000 00000000*
L0025952 00000010 00000010 00000000 00000010*
L0025952 00000000 00000000 00000000 00000000*
L0025984 00000000 00000000 00000000 00000000*
L0026016 00000000 00000000 00000000 00000000*
L0026048 00000010 00000010 00000000 00000010*
L0026048 00000000 00000000 00000000 00000000*
L0026080 00000000 00000000 00000000 00000000*
L0026112 00000000 00000000 00000000 00000000*
L0026144 00000000 00000000 00000000 00000000*
959,10 → 959,10
L0026304 000000 000000 000000 000000*
L0026328 000000 000000 000000 000000*
L0026352 00000000 00000000 00000000 00000000*
L0026384 00000000 00000010 00000000 00000000*
L0026384 00000000 00000000 00000000 00000000*
L0026416 00000000 00000000 00000000 00000000*
L0026448 00000000 00000000 00000000 00000000*
L0026480 00000000 00000010 00000000 00000000*
L0026480 00000001 00000001 00000000 00000001*
L0026512 00000000 00000000 00000000 00000000*
L0026544 00000000 00000000 00000000 00000000*
L0026576 00000000 00000000 00000000 00000000*
973,11 → 973,11
L0026712 000000 000000 000000 000000*
L0026736 000000 000000 000000 000000*
L0026760 000000 000000 000000 000000*
L0026784 00000000 00000000 00000000 00000000*
L0026784 00000000 00000000 00000001 00000000*
L0026816 00000000 00000000 00000000 00000000*
L0026848 00000000 00000000 00000000 00000000*
L0026880 00000000 00000000 00000000 00000000*
L0026912 00000000 00000000 00000000 00000000*
L0026912 00000000 00000000 00000001 00000000*
L0026944 00000000 00000000 00000000 00000000*
L0026976 00000000 00000000 00000000 00000000*
L0027008 00000000 00000000 00000000 00000000*
988,11 → 988,11
L0027144 000000 000000 000000 000000*
L0027168 000000 000000 000000 000000*
L0027192 000000 000000 000000 000000*
L0027216 00000000 00000000 00000000 00000000*
L0027216 00000000 00000000 00000001 00000000*
L0027248 00000000 00000000 00000000 00000000*
L0027280 00000000 00000000 00000000 00000000*
L0027312 00000000 00000000 00000000 00000000*
L0027344 00000000 00000000 00000000 00000000*
L0027344 00000000 00000000 00000001 00000000*
L0027376 00000000 00000000 00000000 00000000*
L0027408 00000000 00000000 00000000 00000000*
L0027440 00000000 00000000 00000000 00000000*
1003,41 → 1003,41
L0027576 000000 000000 000000 000000*
L0027600 000000 000000 000000 000000*
L0027624 000000 000000 000000 000000*
L0027648 00000000 00000000 00000000 00000001*
L0027680 00000001 00000001 00000000 00000001*
L0027712 00000000 00000000 00000000 00000000*
L0027744 00000000 00000000 00000000 00000000*
L0027776 00000001 00000001 00000000 00000001*
L0027808 00000000 00000000 00000000 00000000*
L0027840 00000000 00000000 00000000 00000000*
L0027872 00000000 00000000 00000000 00000000*
L0027904 00000000 00000000 00000000 00000000*
L0027936 000000 000000 000000 000000*
L0027960 000000 000000 000000 000000*
L0027984 000000 000000 000000 000000*
L0028008 000000 000000 000000 000000*
L0028032 000000 000000 000000 000000*
L0028056 000000 000000 000000 000000*
L0028080 00000000 00000000 00000000 00000010*
L0028112 00000010 00000010 00000000 00000000*
L0028144 00000000 00000000 00000000 00000000*
L0028176 00000000 00000000 00000000 00000000*
L0028208 00000010 00000010 00000000 00000010*
L0028240 00000000 00000000 00000000 00000000*
L0028272 00000000 00000000 00000000 00000000*
L0028304 00000000 00000000 00000000 00000000*
L0028336 00000000 00000000 00000000 00000000*
L0028368 000000 000000 000000 000000*
L0028392 000000 000000 000000 000000*
L0028416 000000 000000 000000 000000*
L0028440 000000 000000 000000 000000*
L0028464 000000 000000 000000 000000*
L0028488 000000 000000 000000 000000*
L0028512 00000000 00000000 00000000 00000000*
L0028544 00000010 00000010 00000000 00000000*
L0027648 00000010 00000010 00000011 00000010*
L0027680 10000010 00001110 00000010 00000010*
L0027712 00110000 00101100 00000000 00000000*
L0027744 10110000 00100100 00000000 00000000*
L0027776 10110010 00110110 00000011 00000010*
L0027808 00000000 00000000 00010000 00000000*
L0027840 10110000 00001000 00000000 00000000*
L0027872 10110000 00011000 00000000 00000000*
L0027904 10000000 00110000 00000000 00000000*
L0027936 001100 001111 000000 000000*
L0027960 000000 001000 000000 100000*
L0027984 000000 011011 000000 000000*
L0028008 000000 011010 000000 000000*
L0028032 010000 001000 000000 000000*
L0028056 011100 010010 000000 000000*
L0028080 10110010 00111110 00000010 00000010*
L0028112 00110010 00110010 00000010 00000010*
L0028144 10000000 00010000 00000000 00000000*
L0028176 00000000 00011000 00000000 00000000*
L0028208 00000010 00001010 00000010 00000010*
L0028240 10110000 00111100 00000000 00001000*
L0028272 00000000 00110100 00000000 00000000*
L0028304 00000000 00100100 00000000 00000000*
L0028336 00110000 00001100 00000000 00000000*
L0028368 110000 000000 000000 000000*
L0028392 011000 010111 000010 000000*
L0028416 011000 000100 000000 000000*
L0028440 011000 000001 000000 000000*
L0028464 001001 010010 000000 000000*
L0028488 000001 001000 000000 000000*
L0028512 00000010 00000010 00000011 00000010*
L0028544 00000000 00000000 00000000 00000000*
L0028576 00000000 00000000 00000000 00000000*
L0028608 00000000 00000000 00000000 00000000*
L0028640 00000010 00000010 00000000 00000000*
L0028640 00000010 00000010 00000011 00000010*
L0028672 00000000 00000000 00000000 00000000*
L0028704 00000000 00000000 00000000 00000000*
L0028736 00000000 00000000 00000000 00000000*
1048,11 → 1048,11
L0028872 000000 000000 000000 000000*
L0028896 000000 000000 000000 000000*
L0028920 000000 000000 000000 000000*
L0028944 00000000 00000000 00000000 00000000*
L0028976 00000010 00000010 00000000 00000000*
L0028944 00000001 00000001 00000000 00000001*
L0028976 00000001 00000001 00000000 00000001*
L0029008 00000000 00000000 00000000 00000000*
L0029040 00000000 00000000 00000000 00000000*
L0029072 00000010 00000010 00000000 00000000*
L0029072 00000011 00000011 00000000 00000011*
L0029104 00000000 00000000 00000000 00000000*
L0029136 00000000 00000000 00000000 00000000*
L0029168 00000000 00000000 00000000 00000000*
1063,41 → 1063,41
L0029304 000000 000000 000000 000000*
L0029328 000000 000000 000000 000000*
L0029352 000000 000000 000000 000000*
L0029376 00000000 00000000 00000000 00000000*
L0029408 00000001 00000001 00000000 00000001*
L0029440 00000000 00000000 00000000 00000000*
L0029472 00000000 00000000 00000000 00000000*
L0029504 00000001 00000001 00000000 00000001*
L0029536 00000000 00000000 00000000 00000000*
L0029568 00000000 00000000 00000000 00000000*
L0029600 00000000 00000000 00000000 00000000*
L0029632 00000000 00000000 00000000 00000000*
L0029664 000000 000000 000000 000000*
L0029688 000000 000000 000000 000000*
L0029712 000000 000000 000000 000000*
L0029736 000000 000000 000000 000000*
L0029760 000000 000000 000000 000000*
L0029784 000000 000000 000000 000000*
L0029808 00000000 00000001 00000000 00000000*
L0029840 00000000 00000001 00000000 00000000*
L0029376 00000000 00011000 00000000 00000000*
L0029408 01100000 00010000 10010000 00000000*
L0029440 00000000 10000000 00000000 00000000*
L0029472 01000000 10000000 00000000 00010000*
L0029504 01001000 00000000 00000000 00000000*
L0029536 00000100 01000000 00000000 10000000*
L0029568 01000100 01000000 00000000 10001000*
L0029600 00001100 01100000 00000000 10001000*
L0029632 01001100 00000000 00000100 10001000*
L0029664 000000 000000 000000 100010*
L0029688 100000 000001 000000 000000*
L0029712 101101 000000 000001 000000*
L0029736 110000 000000 000000 000000*
L0029760 100101 000000 000001 000000*
L0029784 100000 000000 000001 000000*
L0029808 10000100 00000000 00000001 00000000*
L0029840 00001100 00000000 00000000 00000000*
L0029872 00000000 00000000 00000000 00000000*
L0029904 00000000 00000000 00000000 00000000*
L0029936 00000000 00000001 00000000 00000000*
L0029968 00000000 00000000 00000000 00000000*
L0030000 00000000 00000000 00000000 00000000*
L0029936 00000001 00000001 00000001 00000001*
L0029968 00110000 00100100 00000000 00010100*
L0030000 00000000 10000100 00000000 00000000*
L0030032 00000000 00000000 00000000 00000000*
L0030064 00000000 00000000 00000000 00000000*
L0030096 000000 000000 000000 000000*
L0030120 000000 000000 000000 000000*
L0030144 000000 000000 000000 000000*
L0030168 000000 000000 000000 000000*
L0030120 001000 010010 000000 000000*
L0030144 000000 000000 000100 000000*
L0030168 000010 000000 000000 000000*
L0030192 000000 000000 000000 000000*
L0030216 000000 000000 000000 000000*
L0030240 00000001 00000000 00000000 00000001*
L0030272 00000001 00000000 00000000 00000001*
L0030240 00000010 00000010 00000010 00000010*
L0030272 00000010 00000010 00000010 00000010*
L0030304 00000000 00000000 00000000 00000000*
L0030336 00000000 00000000 00000000 00000000*
L0030368 00000001 00000000 00000000 00000001*
L0030368 00000000 00000000 00000000 00000000*
L0030400 00000000 00000000 00000000 00000000*
L0030432 00000000 00000000 00000000 00000000*
L0030464 00000000 00000000 00000000 00000000*
1108,7 → 1108,7
L0030600 000000 000000 000000 000000*
L0030624 000000 000000 000000 000000*
L0030648 000000 000000 000000 000000*
L0030672 00000000 00000000 00000000 00000000*
L0030672 00000001 00000001 00000001 00000001*
L0030704 00000000 00000000 00000000 00000000*
L0030736 00000000 00000000 00000000 00000000*
L0030768 00000000 00000000 00000000 00000000*
1123,11 → 1123,11
L0031032 000000 000000 000000 000000*
L0031056 000000 000000 000000 000000*
L0031080 000000 000000 000000 000000*
L0031104 00000000 00000000 00000000 00000000*
L0031136 00000000 00000000 00000000 00000000*
L0031104 00000001 00000001 00000001 00000001*
L0031136 00000001 00000001 00000001 00000001*
L0031168 00000000 00000000 00000000 00000000*
L0031200 00000000 00000000 00000000 00000000*
L0031232 00000000 00000000 00000000 00000000*
L0031232 00000001 00000001 00000001 00000001*
L0031264 00000000 00000000 00000000 00000000*
L0031296 00000000 00000000 00000000 00000000*
L0031328 00000000 00000000 00000000 00000000*
1138,26 → 1138,26
L0031464 000000 000000 000000 000000*
L0031488 000000 000000 000000 000000*
L0031512 000000 000000 000000 000000*
L0031536 00000000 00000000 00000000 00000010*
L0031568 00000000 00000000 00000000 00000010*
L0031600 00000000 00000000 00000000 00000000*
L0031536 00000010 00000010 00000010 00000010*
L0031568 00000000 00000000 00000000 00000000*
L0031600 01000000 00000000 00000000 00000000*
L0031632 00000000 00000000 00000000 00000000*
L0031664 00000010 00000000 00000000 00000010*
L0031664 00000010 00000010 00000010 00000010*
L0031696 00000000 00000000 00000000 00000000*
L0031728 00000000 00000000 00000000 00000000*
L0031760 00000000 00000000 00000000 00000000*
L0031760 01000000 00000000 00000000 00000000*
L0031792 00000000 00000000 00000000 00000000*
L0031824 000000 000000 000000 000000*
L0031848 000000 000000 000000 000000*
L0031872 000000 000000 000000 000000*
L0031896 000000 000000 000000 000000*
L0031896 000001 000000 000001 000000*
L0031920 000000 000000 000000 000000*
L0031944 000000 000000 000000 000000*
L0031968 00000000 00000000 00000000 00000010*
L0032000 00000000 00000000 00000000 00000010*
L0031968 00000000 00000000 00000010 00000000*
L0032000 00000000 00000000 00000000 00000000*
L0032032 00000000 00000000 00000000 00000000*
L0032064 00000000 00000000 00000000 00000000*
L0032096 00000000 00000000 00000000 00000010*
L0032096 00000000 00000000 00000010 00000000*
L0032128 00000000 00000000 00000000 00000000*
L0032160 00000000 00000000 00000000 00000000*
L0032192 00000000 00000000 00000000 00000000*
1168,11 → 1168,11
L0032328 000000 000000 000000 000000*
L0032352 000000 000000 000000 000000*
L0032376 000000 000000 000000 000000*
L0032400 00000000 00000000 00000010 00000000*
L0032432 00000010 00000010 00000000 00000010*
L0032464 00000000 00000000 00000000 00000000*
L0032400 00000001 00000001 00000000 00000001*
L0032432 00000000 00000000 00000000 00000000*
L0032464 00000000 00000000 00000010 00000010*
L0032496 00000000 00000000 00000000 00000000*
L0032528 00000010 00000010 00000010 00000010*
L0032528 00000001 00000001 00000000 00000001*
L0032560 00000000 00000000 00000000 00000000*
L0032592 00000000 00000000 00000000 00000000*
L0032624 00000000 00000000 00000000 00000000*
1183,38 → 1183,38
L0032760 000000 000000 000000 000000*
L0032784 000000 000000 000000 000000*
L0032808 000000 000000 000000 000000*
L0032832 00000000 00000000 00000000 00000000*
L0032864 00000000 00000000 00000000 00000000*
L0032896 00000010 00000010 00000000 00000010*
L0032832 00000011 00000011 00000010 00000011*
L0032864 00000001 00000001 00000000 00000001*
L0032896 10000000 00010000 00000000 00000000*
L0032928 00000000 00000000 00000000 00000000*
L0032960 00000000 00000000 00000000 00000000*
L0032960 00000011 00001011 00000010 00000011*
L0032992 00000000 00000000 00000000 00000000*
L0033024 00000000 00000000 00000000 00000000*
L0033056 00000000 00000000 00000000 00000000*
L0033088 00000000 00000000 00000000 00000000*
L0033120 000000 000000 000000 000000*
L0033144 000000 000000 000000 000000*
L0033168 000000 000000 000000 000000*
L0033192 000000 000000 000000 000000*
L0033216 000000 000000 000000 000000*
L0033240 000000 000000 000000 000000*
L0033264 00000000 00000000 00000000 00000000*
L0033296 00000000 00000000 00000000 00000000*
L0033120 110000 000000 000000 000000*
L0033144 000000 000100 000000 000000*
L0033168 000000 000100 000000 000000*
L0033192 000000 000001 000000 000000*
L0033216 001000 000000 000000 000000*
L0033240 000000 001000 000000 000000*
L0033264 00000000 00000100 00000000 00000000*
L0033296 00010000 00100000 00000000 00000000*
L0033328 00000000 00000000 00000000 00000000*
L0033360 00000000 00000000 00000000 00000000*
L0033392 00000000 00000000 00000000 00000000*
L0033424 00000000 00000000 00000000 00000000*
L0033456 00000000 00000000 00000000 00000000*
L0033456 00000000 00000000 00000000 00100000*
L0033488 00000000 00000000 00000000 00000000*
L0033520 00000000 00000000 00000000 00000000*
L0033520 00110000 00000000 00000000 00000000*
L0033552 000000 000000 000000 000000*
L0033576 000000 000000 000000 000000*
L0033600 000000 000000 000000 000000*
L0033624 000000 000000 000000 000000*
L0033648 000000 000000 000000 000000*
L0033648 000000 010010 000000 000000*
L0033672 000000 000000 000000 000000*
L0033696 00000010 00000010 00000010 00000010*
L0033728 00000000 00000000 00000000 00000000*
L0033728 00000010 00000010 00000010 00000010*
L0033760 00000000 00000000 00000000 00000000*
L0033792 00000000 00000000 00000000 00000000*
L0033824 00000000 00000000 00000000 00000000*
1228,18 → 1228,18
L0034056 000000 000000 000000 000000*
L0034080 000000 000000 000000 000000*
L0034104 000000 000000 000000 000000*
L0034128 00000000 00000000 00000000 00000000*
L0034128 00000000 00000000 01000000 00000000*
L0034160 00000000 00000000 00000000 00000000*
L0034192 00000000 00000000 00000000 00000000*
L0034224 00000000 00000000 00000000 00000000*
L0034256 00000000 00000000 00000000 00000000*
L0034288 00000000 00000000 00000000 00000000*
L0034320 00000000 00000000 00000000 00000000*
L0034320 00000000 00000000 10001000 00000000*
L0034352 00000000 00000000 00000000 00000000*
L0034384 00000000 00000000 00000000 00000000*
L0034416 000000 000000 000000 000000*
L0034440 000000 000000 000000 000000*
L0034464 000000 000000 000000 000000*
L0034464 000000 000000 100000 000000*
L0034488 000000 000000 000000 000000*
L0034512 000000 000000 000000 000000*
L0034536 000000 000000 000000 000000*
1264,11 → 1264,11
L0035088 00000000 00000000 00000000 00000000*
L0035120 00000000 00000000 00000000 00000000*
L0035152 00000000 00000000 00000000 00000000*
L0035184 00000000 00000000 00000000 00000000*
L0035184 00000000 00000000 10001000 00000000*
L0035216 00000000 00000000 00000000 00000000*
L0035248 00000000 00000000 00000000 00000000*
L0035280 000000 000000 000000 000000*
L0035304 000000 000000 000000 000000*
L0035304 000000 000000 100000 000000*
L0035328 000000 000000 000000 000000*
L0035352 000000 000000 000000 000000*
L0035376 000000 000000 000000 000000*
1278,31 → 1278,31
L0035488 00000000 00000000 00000000 00000000*
L0035520 00000000 00000000 00000000 00000000*
L0035552 00000000 00000000 00000000 00000000*
L0035584 00000000 00001000 00000000 00100000*
L0035616 00000000 00001000 00000000 00000000*
L0035648 10000000 00000000 00000000 00000000*
L0035584 00000000 00000000 00000000 00000000*
L0035616 00000000 00000000 00000000 00000000*
L0035648 00000000 00000000 00000000 00000000*
L0035680 00000000 00000000 00000000 00000000*
L0035712 000000 000000 000000 000000*
L0035736 000000 000000 000000 000000*
L0035760 000000 000100 000000 000000*
L0035784 000000 010000 000000 000000*
L0035808 000000 001010 000000 000000*
L0035760 000000 000000 000000 000000*
L0035784 000000 000000 000000 000000*
L0035808 000000 000000 000000 000000*
L0035832 000000 000000 000000 000000*
L0035856 00000000 00000000 00000000 00000000*
L0035888 00000000 00000100 00000000 00000000*
L0035888 00000000 00000000 00000000 00000000*
L0035920 00000000 00000000 00000000 00000000*
L0035952 00000000 00000000 00000000 00000000*
L0035984 00000000 00000000 00000000 00000000*
L0036016 00100000 00000000 00000000 00000000*
L0036048 00000000 00000100 00000000 00000000*
L0036080 00010000 00000000 00000000 00000000*
L0036112 00100000 00000000 00000000 00000000*
L0036016 00000000 00000000 10000000 00000000*
L0036048 00000000 00000000 00001000 00000000*
L0036080 00000000 00000000 00000000 00000000*
L0036112 00000000 00000000 00000000 00000000*
L0036144 000000 000000 000000 000000*
L0036168 000000 000000 000000 000000*
L0036192 000000 000001 000000 000000*
L0036216 000000 001010 000000 000000*
L0036240 000000 010000 000000 000000*
L0036264 000000 000010 000000 000000*
L0036192 000000 000000 000000 000000*
L0036216 000000 000000 000000 000000*
L0036240 000000 000000 000000 000000*
L0036264 000000 000000 000000 000000*
L0036288 00000000 00000000 00000000 00000000*
L0036320 00000000 00000000 00000000 00000000*
L0036352 00000000 00000000 00000000 00000000*
1350,49 → 1350,49
L0037560 000000 000000 000000 000000*
L0037584 00000000 00000000 00000000 00000000*
L0037616 00000000 00000000 00000000 00000000*
L0037648 00000000 00000000 00000000 00000000*
L0037648 00000000 00000000 00001100 00000000*
L0037680 00000000 00000000 00000000 00000000*
L0037712 00000000 00000000 00000000 00000000*
L0037744 00000000 00000000 00000000 00000000*
L0037776 00000000 00000000 00000000 00000000*
L0037808 00000000 00000000 00000000 00000000*
L0037808 00000000 00000000 00000100 00000000*
L0037840 00000000 00000000 00000000 00000000*
L0037872 000000 000000 000000 000000*
L0037896 000000 000000 000000 000000*
L0037920 000000 000000 000000 000000*
L0037944 000000 000000 000000 000000*
L0037944 000000 000000 000100 000000*
L0037968 000000 000000 000000 000000*
L0037992 000000 000000 000000 000000*
L0038016 00000000 00000000 00000000 00000000*
L0038048 00000000 00000000 00000000 00000000*
L0038080 00000000 00000000 00000000 00000000*
L0038112 00000000 00000000 00000000 00000000*
L0038144 00000000 00000000 00000000 00000000*
L0038112 00000000 00001000 00000000 00000000*
L0038144 00000000 00001000 00000000 00000000*
L0038176 00000000 00000000 00000000 00000000*
L0038208 00000000 00000000 00000000 00000000*
L0038208 00000000 00100000 00000000 00100000*
L0038240 00000000 00000000 00000000 00000000*
L0038272 00000000 00000000 00000000 00000000*
L0038272 00000000 00000100 00000000 00000000*
L0038304 000000 000000 000000 000000*
L0038328 000000 000000 000000 000000*
L0038352 000000 000000 000000 000000*
L0038352 000000 100100 000000 000001*
L0038376 000000 000000 000000 000000*
L0038400 000000 000000 000000 000000*
L0038424 000000 000000 000000 000000*
L0038448 00000000 00000000 00000000 00000000*
L0038480 00000000 00000000 00000000 00000000*
L0038512 00000000 00000000 00000000 00000000*
L0038544 00000000 00000000 00000000 00000000*
L0038576 00000000 00000000 00000000 00000000*
L0038608 00000000 00000000 00000000 00000000*
L0038640 00000000 00000000 00000000 00000000*
L0038672 00000000 00000000 00000000 00000000*
L0038704 00000000 00000000 00000000 00000000*
L0038736 000000 000000 000000 000000*
L0038760 000000 000000 000000 000000*
L0038784 000000 000000 000000 000000*
L0038808 000000 000000 000000 000000*
L0038832 000000 000000 000000 000000*
L0038856 000000 000000 000000 000000*
L0038448 00001100 00000000 00000000 00000000*
L0038480 00001100 00000000 00000000 00000000*
L0038512 00001100 00000000 00000000 00000000*
L0038544 00001100 00000000 00000000 00000000*
L0038576 00001100 00000000 00000000 00000000*
L0038608 00001000 00000000 00000000 00000000*
L0038640 00001000 00000000 00000000 00000000*
L0038672 00001000 00000100 00000000 00000000*
L0038704 00001000 00000000 00000000 00000000*
L0038736 110010 000000 000000 000000*
L0038760 010010 000100 000000 000000*
L0038784 000110 000000 000000 000000*
L0038808 001010 000001 000000 000000*
L0038832 000110 000000 000000 000000*
L0038856 000010 001000 000000 000000*
L0038880 00000000 00000000 00000000 00000000*
L0038912 00000000 00000000 00000000 00000000*
L0038944 00000000 00000000 00000000 00000000*
1408,51 → 1408,51
L0039240 000000 000000 000000 000000*
L0039264 000000 000000 000000 000000*
L0039288 000000 000000 000000 000000*
L0039312 00000000 00100000 00000000 00000000*
L0039344 00000000 01000000 00000000 00000000*
L0039312 00000000 00000000 00000000 00000000*
L0039344 00000000 00000000 00000000 00000000*
L0039376 00000000 00000000 00000000 00000000*
L0039408 00000000 00000000 00000000 00000000*
L0039440 00000000 00000000 00000000 00000000*
L0039472 00000000 00000000 00000000 00000000*
L0039504 00000100 00000000 00000000 00000000*
L0039504 00000000 00000000 00000000 00000000*
L0039536 00000000 00000000 00000000 00000000*
L0039568 00000000 00000000 00000000 00000000*
L0039600 000000 000000 000000 000000*
L0039624 000000 000000 000000 000000*
L0039648 000001 000000 000000 000001*
L0039648 000000 000000 000000 000000*
L0039672 000000 000000 000000 000000*
L0039696 000000 000000 000000 000000*
L0039720 000000 000000 000000 000000*
L0039744 00000000 00000000 00000000 00000000*
L0039776 00000000 00000000 00000000 00000000*
L0039808 00000000 00000000 00000000 00000000*
L0039840 00000000 00000000 00000000 00000000*
L0039872 00000000 00000000 00000000 00000000*
L0039904 00000000 01000000 00000000 00000000*
L0039936 00000000 00000000 00000000 00000000*
L0039968 00000000 00000000 00000000 00000000*
L0040000 00000000 00000000 00000000 00000000*
L0040032 000000 000000 000000 000000*
L0040056 000000 000000 000000 000000*
L0040080 000000 000000 000000 000000*
L0040104 000000 000000 000000 000000*
L0040128 000000 000000 000000 000000*
L0040152 000000 000000 000000 000000*
L0039744 00001100 00000000 00000000 00000000*
L0039776 00001100 00000000 00000000 00000000*
L0039808 00001100 00001000 00000000 00000000*
L0039840 10001100 00000000 00000000 00000000*
L0039872 00101100 00000000 00000000 00000000*
L0039904 00001000 00000000 00000000 00000000*
L0039936 00001000 00000000 00000000 00000000*
L0039968 00001000 00000000 00000000 00000000*
L0040000 00001000 00000000 00000000 00000000*
L0040032 000010 001000 000000 000000*
L0040056 000010 000000 000000 000000*
L0040080 000110 000001 000000 001000*
L0040104 000010 001000 000000 000000*
L0040128 000110 001000 000000 000000*
L0040152 011010 000000 000000 000000*
L0040176 00000000 00000000 00000000 00000000*
L0040208 00000000 00000000 00000000 00000000*
L0040208 00000000 00000000 01000000 00000000*
L0040240 00000000 00000000 00000000 00000000*
L0040272 00000000 00000000 00000000 00000000*
L0040304 00000000 00000000 00000000 00000000*
L0040336 00000000 00000000 00000000 00000000*
L0040368 00000000 00000000 00000000 00000000*
L0040400 00000000 00000000 00000000 00000000*
L0040432 00000000 00000000 00000000 00000000*
L0040464 000000 000000 000000 000000*
L0040488 000000 000000 000000 000000*
L0040512 000000 000000 000000 000000*
L0040272 00000000 00000100 00000000 00000000*
L0040304 10000000 00100100 00000000 00000000*
L0040336 00000100 00000000 00000000 00000000*
L0040368 00000100 00000000 11001000 00000000*
L0040400 00000100 00000000 00000000 00000000*
L0040432 00000100 00000000 00000000 00000000*
L0040464 001100 000000 000000 000000*
L0040488 000000 000000 010000 000000*
L0040512 000001 000000 100000 000100*
L0040536 000000 000000 000000 000000*
L0040560 000000 000000 000000 000000*
L0040584 000000 000000 000000 000000*
L0040560 000000 100000 000000 000001*
L0040584 000100 010010 000000 000000*
L0040608 00000000 00000000 00000000 00000000*
L0040640 00000000 00000000 00000000 00000000*
L0040672 00000000 00000000 00000000 00000000*
1474,75 → 1474,75
L0041136 00000000 00000000 00000000 00000000*
L0041168 00000000 00000000 00000000 00000000*
L0041200 00000000 00000000 00000000 00000000*
L0041232 00000100 00000000 00000000 00000000*
L0041232 00000000 00000000 00000000 00000000*
L0041264 00000000 00000000 00000000 00000000*
L0041296 00000000 00000000 00000000 00000000*
L0041328 000000 000000 000000 000000*
L0041352 000000 000000 000000 000001*
L0041376 000001 000000 000000 000000*
L0041352 000000 000000 000000 000000*
L0041376 000000 000000 000000 000000*
L0041400 000000 000000 000000 000000*
L0041424 000000 000000 000000 000000*
L0041448 000000 000000 000000 000000*
L0041472 00000000 00000000 00000000 00000000*
L0041472 01000000 00000000 00001100 00110100*
L0041504 00000000 00000000 00000000 00000000*
L0041536 00000000 00000000 00000000 00000000*
L0041568 00000000 00000000 00000000 00000000*
L0041600 00000000 00000000 00000000 00000000*
L0041632 00000000 00000000 00000000 00000000*
L0041632 01000000 00000000 00001100 00000000*
L0041664 00000000 00000000 00000000 00000000*
L0041696 00000000 00000000 00000000 00000000*
L0041728 00000000 00000000 00000000 00000000*
L0041760 000000 000000 000000 000000*
L0041784 000000 000000 000000 000000*
L0041784 000101 100000 000101 000111*
L0041808 000000 000000 000000 000000*
L0041832 000000 000000 000000 000000*
L0041856 000000 000000 000000 000000*
L0041880 000000 000000 000000 000000*
L0041904 00000000 00001100 00000000 00000000*
L0041904 00000000 00000000 00000000 00000000*
L0041936 00000000 00000000 00000000 00000000*
L0041968 00000000 00000000 00000000 00000000*
L0042000 00000000 00000000 00000100 00000000*
L0042000 00000000 00000000 00000000 00000000*
L0042032 00000000 00000000 00000000 00000000*
L0042064 00000100 00000100 00000000 00000000*
L0042064 00000000 00000000 00000000 00000000*
L0042096 00000000 00000000 00000000 00000000*
L0042128 00000000 00000000 00000000 00000000*
L0042160 00000000 01000000 00001000 01110100*
L0042160 00000000 00000000 00000000 00000000*
L0042192 000000 000000 000000 000000*
L0042216 000000 000001 000000 000000*
L0042216 000000 000000 000000 000000*
L0042240 000000 000000 000000 000000*
L0042264 000000 000000 000000 000000*
L0042288 000000 000000 000000 000010*
L0042288 000000 000000 000000 000000*
L0042312 000000 000000 000000 000000*
L0042336 00000000 00000000 00000000 00000000*
L0042368 00000000 00000000 00000000 00000000*
L0042400 00000000 00000000 00000000 00000000*
L0042432 00000000 00000000 00000000 00000000*
L0042464 00000000 00000000 00000000 00000000*
L0042368 00000000 10001000 00000000 00000000*
L0042400 00000000 00000100 00000000 00001000*
L0042432 01000000 01100000 00000000 10000100*
L0042464 00001000 00000000 00000000 00001000*
L0042496 00000000 00000000 00000000 00000000*
L0042528 00000000 00000000 00000000 00000000*
L0042560 00000000 00000000 00000000 00000000*
L0042592 00000000 00000000 00000000 00000000*
L0042528 00001000 01001000 00000000 00000000*
L0042560 00000100 00010000 00000000 10000000*
L0042592 00000000 00000000 00000100 00001000*
L0042624 000000 000000 000000 000000*
L0042648 000000 000000 000000 000000*
L0042672 000000 000000 000000 000000*
L0042672 000000 000000 001000 000000*
L0042696 000000 000000 000000 000000*
L0042720 000000 000000 000000 000000*
L0042720 100000 000000 000000 000000*
L0042744 000000 000000 000000 000000*
L0042768 00000000 00000000 00000000 00000000*
L0042800 00000000 00000000 00000000 00000000*
L0042832 00000000 00000000 00000000 00000000*
L0042864 00000000 00000000 00000000 00000000*
L0042896 00000000 00000000 00000000 00000000*
L0042800 01000100 00000100 10001100 00010100*
L0042832 00100000 00000000 00000000 00000000*
L0042864 00011100 00000000 00000000 00000000*
L0042896 01000000 10010000 00000000 00010100*
L0042928 00000000 00000000 00000000 00000000*
L0042960 00000000 00000000 00000000 00000000*
L0042992 00000000 00000000 00000000 00000000*
L0043024 00000000 00000000 00000000 00000000*
L0043056 000000 000000 000000 000000*
L0042960 00000100 00000000 00000100 00000000*
L0042992 10000000 01000000 00000000 00000000*
L0043024 00000100 00100000 00000000 00000000*
L0043056 000010 000001 000000 100010*
L0043080 000000 000000 000000 000000*
L0043104 000000 000000 000000 000000*
L0043128 000000 000000 000000 000000*
L0043152 000000 000000 000000 000000*
L0043176 000000 000000 000000 000000*
L0043104 000100 000000 000100 000010*
L0043128 100000 000000 000000 000000*
L0043152 010100 000000 000000 000000*
L0043176 100010 000000 000001 000000*
L0043200 00000000 00000000 00000000 00000000*
L0043232 00000000 00000000 00000000 00000000*
L0043264 00000000 00000000 00000000 00000000*
1603,65 → 1603,65
L0044856 000000 000000 000000 000000*
L0044880 000000 000000 000000 000000*
L0044904 000000 000000 000000 000000*
L0044928 00000000 00000000 00000000 00000000*
L0044960 00000000 00000000 00000000 00000000*
L0044992 00000000 00000000 00000000 00000000*
L0045024 00000000 00000000 00000000 00000000*
L0045056 00000000 00000000 00000000 00000000*
L0045088 00000000 00000000 00000000 00000000*
L0045120 00000000 00000000 00000000 00000000*
L0045152 00000000 00000000 00000000 00000000*
L0045184 00000000 00000000 00000000 00000000*
L0045216 000000 000000 000000 000000*
L0045240 000000 000000 000000 000000*
L0045264 000000 000000 000000 000000*
L0045288 000000 000000 000000 000000*
L0045312 000000 000000 000000 000000*
L0045336 000000 000000 000000 000000*
L0045360 00000000 00000000 00000000 00000000*
L0045392 00000000 00000000 00000000 00000000*
L0045424 00000000 00000000 00000000 00000000*
L0045456 00000000 00000000 00000000 00000000*
L0045488 00000000 00000000 00000000 00000000*
L0045520 00000000 00000000 00000000 00000000*
L0045552 00000000 00000000 00000000 00000000*
L0045584 00000000 00000000 00000000 00000000*
L0045616 00000000 00000000 00000000 00000000*
L0045648 000000 000000 000000 000000*
L0045672 000000 000000 000000 000000*
L0045696 000000 000000 000000 000000*
L0045720 000000 000000 000000 000000*
L0045744 000000 000000 000000 000000*
L0045768 000000 000000 000000 000000*
L0044928 00001100 00000000 00000000 00000000*
L0044960 00001100 00000000 00000000 00000000*
L0044992 00001100 10001000 00000000 00000000*
L0045024 00001100 10000000 00000000 00010000*
L0045056 00000100 00100100 00000000 00000000*
L0045088 00001000 01000000 00000000 10000000*
L0045120 00001000 01000000 00000000 10001000*
L0045152 00000000 01001000 00000000 10011000*
L0045184 00000000 00000000 00000000 10001100*
L0045216 000010 100100 000000 100010*
L0045240 000010 000000 000000 000000*
L0045264 000010 000000 000000 001000*
L0045288 000010 000000 000000 000000*
L0045312 000010 000000 000000 000000*
L0045336 000010 000000 000000 000000*
L0045360 00000000 11000000 00000000 10001000*
L0045392 01000000 01000000 00000000 10001000*
L0045424 00000000 01000000 00000000 10001000*
L0045456 01100000 01000100 00000000 10001000*
L0045488 01001000 11000000 00000000 10010100*
L0045520 00000100 00000000 00000000 00010100*
L0045552 01000100 10000000 00000000 00010100*
L0045584 00001100 10000000 00000000 00000100*
L0045616 11001100 10010000 00000000 00010000*
L0045648 000000 001000 000000 000101*
L0045672 100000 000000 000000 000000*
L0045696 100101 000001 000000 000000*
L0045720 100000 000000 000000 000000*
L0045744 100100 000000 000000 000000*
L0045768 110100 000000 000000 000100*
L0045792 00000000 00000000 00000000 00000000*
L0045824 00000000 00000000 00000000 00000000*
L0045856 00000000 00000000 00000000 00000000*
L0045888 00000000 00000000 00000000 00000000*
L0045888 00000000 00011000 00000000 00000000*
L0045920 00000000 00000000 00000000 00000000*
L0045952 00000000 00000000 00000000 00000000*
L0045984 00000000 00000000 00000000 00000000*
L0045952 00000000 10000000 00000000 00000000*
L0045984 00000000 00100000 00000000 00000000*
L0046016 00000000 00000000 00000000 00000000*
L0046048 00000000 00000000 00000000 00000000*
L0046048 00000000 00000100 00000000 00000000*
L0046080 000000 000000 000000 000000*
L0046104 000000 000000 000000 000000*
L0046128 000000 000000 000000 000000*
L0046152 000000 000000 000000 000000*
L0046176 000000 000000 000000 000000*
L0046200 000000 000000 000000 000000*
L0046224 00000000 00000000 00000000 00000000*
L0046256 00000000 00000000 00000000 00000000*
L0046288 00000000 00000000 00000000 00000000*
L0046320 00000000 00000000 00000000 00000000*
L0046352 00000000 00000000 00000000 00000000*
L0046384 00000000 00000000 00000000 00000000*
L0046416 00000000 00000000 00000000 00000000*
L0046448 00000000 00000000 00000000 00000000*
L0046480 00000000 00000000 00000000 00000000*
L0046512 000000 000000 000000 000000*
L0046536 000000 000000 000000 000000*
L0046560 000000 000000 000000 000000*
L0046584 000000 000000 000000 000000*
L0046608 000000 000000 000000 000000*
L0046632 000000 000000 000000 000000*
CABAB*
C548
L0046128 000000 000100 000000 000000*
L0046152 000000 000001 000000 000000*
L0046176 001000 000000 000000 000000*
L0046200 000001 001000 000000 000000*
L0046224 00001100 00000100 00000000 00000000*
L0046256 00011100 00100000 00000000 00000000*
L0046288 00001100 00000000 00000000 00000000*
L0046320 00001100 00000000 00000000 00000000*
L0046352 00001100 00001000 00000000 00000000*
L0046384 00001000 00000000 00000000 00000000*
L0046416 00001000 00000000 00000000 00100000*
L0046448 00001000 00000100 00000000 00000000*
L0046480 00001000 00000000 00000000 00000000*
L0046512 000010 000000 000000 000000*
L0046536 010010 000100 000000 000000*
L0046560 010110 000000 000000 000000*
L0046584 001010 000000 000000 000000*
L0046608 000110 000000 000000 000000*
L0046632 000010 000000 000000 000000*
CC4B7*
CBBE
/phr/trunk/codigo/cpld/test1/test1.xise
26,6 → 26,10
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="tb.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="PostRouteSimulation"/>
</file>
</files>
 
<properties>
37,8 → 41,10
<property xil_pn:name="Package" xil_pn:value="VQ44" xil_pn:valueState="non-default"/>
<property xil_pn:name="Preferred Language" xil_pn:value="VHDL" xil_pn:valueState="non-default"/>
<property xil_pn:name="Property Specification in Project File" xil_pn:value="Store non-default values only" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Module Instance Name" xil_pn:value="/tb" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="work.tb" xil_pn:valueState="non-default"/>
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Speed Grade" xil_pn:value="-5" xil_pn:valueState="default"/>
<property xil_pn:name="Speed Grade" xil_pn:value="-10" xil_pn:valueState="non-default"/>
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Target UCF File Name" xil_pn:value="test1.ucf" xil_pn:valueState="non-default"/>
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
46,6 → 52,7
<!-- -->
<!-- The following properties are for internal use only. These should not be modified.-->
<!-- -->
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="Architecture|tb|behavior" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="test1" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="xc9500xl" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2014-05-30T21:06:41" xil_pn:valueState="non-default"/>
/phr/trunk/codigo/cpld/test1/test1.prj
1,2 → 1,2
vhdl work "../PruebaKitCPLD_v30/contador.vhd"
vhdl work "contador.vhd"
vhdl work "test1.vhd"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.