OpenCores
URL https://opencores.org/ocsvn/phr/phr/trunk

Subversion Repositories phr

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 329 to Rev 330
    Reverse comparison

Rev 329 → Rev 330

/phr/trunk/codigo/cpld/test1/test1.vhd
43,16 → 43,17
 
signal tmp: std_logic_vector(11 downto 8);
signal tmptx: std_logic_vector(1 downto 0);
signal Suni, Sdec, Scen, Sumil, Sdig2seg, cntr: std_logic_vector(3 downto 0) := "0000";
signal Suni, Sdec, Scen, Sumil, Sdig2seg, cntr,Scntr,ScntrSshift: std_logic_vector(3 downto 0) := "0000";
signal Sseg,Ssegtmp: std_logic_vector(6 downto 0);
signal pulso_p, reset: std_logic;
signal clkdiv : std_logic;
signal Sled : std_logic_vector (7 downto 0) :=(others=>'0');
signal Q1, Q2, Q3, Q_OUT : std_logic;
signal Sshift : std_logic_vector(7 downto 0) :=(others=>'0');
signal Sshift : std_logic_vector(3 downto 0) :=(others=>'0');
signal Q1ce, Q2ce, Q3ce, ce_clk: std_logic;
signal Scountled : std_logic_vector (2 downto 0):=(others=>'0');
signal countdiv : std_logic_vector (2 downto 0) :=(others=>'0');
signal countdiv : std_logic_vector (1 downto 0) :=(others=>'0');
signal San : std_logic_vector (3 downto 0) := (others => '0');
 
 
component contador port (
88,11 → 89,21
 
--countdiv <= sw(1 downto 0);
 
cntr <= Suni when countdiv(1 downto 0) = "00" else
"0001" when countdiv(1 downto 0) = "01" else
"0010" when countdiv(1 downto 0) = "10" else
"0011";
Scntr <= Suni when countdiv = "00" else
"0001" when countdiv = "01" else
"0010" when countdiv = "10" else
"0011" when countdiv = "11" else
"0000";
ScntrSshift <= Suni when Sshift = "0001" else
"0001" when Sshift = "0010" else
"0010" when Sshift = "0100" else
"0011" when Sshift = "1000" else
"0000";
cntr <= Scntr when sw(0) = '1' else
ScntrSshift;
led(0) <= not clkdiv;
led(2 downto 1) <= countdiv(1 downto 0);
 
110,17 → 121,20
 
seg(6 downto 0) <= not Sseg;
 
an <= "1000" when countdiv(1 downto 0) = "00" else
"0100" when countdiv(1 downto 0) = "01" else
"0010" when countdiv(1 downto 0) = "10" else
"0001";
San <= "1000" when countdiv = "00" else
"0100" when countdiv = "01" else
"0010" when countdiv = "10" else
"0001" when countdiv = "11" else
"0000";
 
an <= San when sw(0) = '1' else
Sshift;
-------------- TEST MUX AN ------------
muxan: process(clock)
begin
if(clock'event and clock='1') then
if(btn(0) = '1') then
countdiv <= "000";
countdiv <= "00";
else
countdiv <= countdiv + 1;
end if;
127,5 → 141,23
end if;
end process;
 
sr:process(clock)
begin
if (clock'event and clock='1') then
if (btn(0) = '1' or Sshift(3) = '1') then
Sshift <= "0000";
elsif (Sshift = "0000") then
Sshift <= Sshift(2 downto 0) & '1';
else
Sshift <= Sshift(2 downto 0) & '0';
end if;
end if;
end process;
 
led(4) <= Sshift(3);
led(5) <= Sshift(2);
led(6) <= Sshift(1);
led(7) <= Sshift(0);
 
end Behavioral;
 
/phr/trunk/codigo/cpld/test1/test1.jed
1,5 → 1,5
Programmer Jedec Bit Map
Date Extracted: Thu Jun 5 22:30:43 2014
Date Extracted: Fri Jun 6 22:30:36 2014
 
QF46656*
QP44*
104,7 → 104,7
L0001680 000000 000000 000000 000000*
L0001704 000000 000000 000000 000000*
L0001728 00000000 00000000 00000000 00000000*
L0001760 00000000 00000000 00000000 00000000*
L0001760 00000000 00000000 00010000 00000000*
L0001792 00000000 00000000 00000000 00000000*
L0001824 00000000 00000000 00000000 00000000*
L0001856 00000000 00000000 00000000 00000000*
113,34 → 113,34
L0001952 00000000 00000000 00000000 00000000*
L0001984 00000000 00000000 00000000 00000000*
L0002016 000000 000000 000000 000000*
L0002040 000000 000000 000000 000000*
L0002040 000000 000000 100000 000000*
L0002064 000000 000000 000000 000000*
L0002088 000000 000000 000000 000000*
L0002112 000000 000000 000000 000000*
L0002136 000000 000000 000000 000000*
L0002160 00000000 00000000 00000000 00000000*
L0002192 00000000 00000000 00000000 00000000*
L0002160 00000000 00000000 00010000 00000000*
L0002192 00000000 00000000 01100000 00000000*
L0002224 00000000 00000000 00000000 00000000*
L0002256 00000000 00000000 00000000 00000000*
L0002288 00000000 00000000 00000000 00000000*
L0002320 00000000 00000000 00000000 00000000*
L0002352 00000000 00000000 00000000 00000000*
L0002352 00000000 00000000 01101000 00000000*
L0002384 00000000 00000000 00000000 00000000*
L0002416 00000000 00000000 00000000 00000000*
L0002448 000000 000000 000000 000000*
L0002472 000000 000000 000000 000000*
L0002496 000000 000000 000000 000000*
L0002496 000000 000000 011000 000000*
L0002520 000000 000000 000000 000000*
L0002544 000000 000000 000000 000000*
L0002568 000000 000000 000000 000000*
L0002592 00000010 00000000 00000000 00000000*
L0002624 00000011 00000000 00000000 00000000*
L0002656 00000010 00000000 00000000 00000000*
L0002592 00000000 00000000 00000000 00000000*
L0002624 00000000 00000000 00000000 00000000*
L0002656 00000000 00000000 00000000 00000000*
L0002688 00000000 00000000 00000000 00000000*
L0002720 00000010 00000000 00000000 00000000*
L0002752 00000001 00000000 00000000 00000000*
L0002784 00000010 00000000 00000000 00000000*
L0002816 00000010 00000000 00000000 00000000*
L0002720 00000000 00000000 00000000 00000000*
L0002752 00000000 00000000 00000000 00000000*
L0002784 00000000 00000000 00000000 00000000*
L0002816 00000000 00000000 00000000 00000000*
L0002848 00000000 00000000 00000000 00000000*
L0002880 000000 000000 000000 000000*
L0002904 000000 000000 000000 000000*
148,13 → 148,13
L0002952 000000 000000 000000 000000*
L0002976 000000 000000 000000 000000*
L0003000 000000 000000 000000 000000*
L0003024 00000010 00000000 00000000 00000000*
L0003056 00000011 00000000 00000000 00000000*
L0003024 00000000 00000000 01000000 00000000*
L0003056 00000000 00000000 00000000 00000000*
L0003088 00000000 00000000 00000000 00000000*
L0003120 00000011 00000000 00000000 00000000*
L0003152 00000010 00000000 00000000 00000000*
L0003184 00000011 00000000 00000000 00000000*
L0003216 00000010 00000000 00000000 00000000*
L0003120 00000000 00000000 00000000 00000000*
L0003152 00000000 00000000 00000000 00000000*
L0003184 00000000 00000000 00000000 00000000*
L0003216 00000000 00000000 00001000 00000000*
L0003248 00000000 00000000 00000000 00000000*
L0003280 00000000 00000000 00000000 00000000*
L0003312 000000 000000 000000 000000*
163,30 → 163,30
L0003384 000000 000000 000000 000000*
L0003408 000000 000000 000000 000000*
L0003432 000000 000000 000000 000000*
L0003456 00000000 00000000 00000000 00000000*
L0003488 00000000 00000000 00000000 00000000*
L0003520 00000000 00000000 00000000 00000000*
L0003552 00000000 00000000 00000000 00000000*
L0003584 00000000 00000000 00000000 00000000*
L0003616 00000000 00000000 00000000 00000000*
L0003648 00000000 00000000 00000000 00000000*
L0003680 00000000 00000000 00000000 00000000*
L0003712 00000000 00000000 00000000 00000000*
L0003744 000000 000000 000000 000000*
L0003768 000000 000000 000000 000000*
L0003792 000000 000000 000000 000000*
L0003816 000000 000000 000000 000000*
L0003456 10000000 00110100 00000000 00000000*
L0003488 10000000 00100100 00000000 00000000*
L0003520 00110000 00101100 00000000 00000000*
L0003552 00100000 00001100 00000000 00000000*
L0003584 00000000 00001100 00000000 00000000*
L0003616 00000000 01100000 00000000 01000100*
L0003648 00100000 00100000 00000000 00000000*
L0003680 00100000 01000000 00000000 00000000*
L0003712 00100000 00001000 00000000 00000000*
L0003744 101100 000000 000000 000000*
L0003768 100100 000100 000000 000010*
L0003792 000100 000000 000000 000000*
L0003816 000100 000000 000000 000000*
L0003840 000000 000000 000000 000000*
L0003864 000000 000000 000000 000000*
L0003864 000000 011000 000000 000000*
L0003888 00000000 00000000 00000000 00000000*
L0003920 00000000 00000000 00000000 00000000*
L0003920 00000000 00001000 00000000 00000000*
L0003952 00000000 00000000 00000000 00000000*
L0003984 00000000 00000000 00000000 00000000*
L0004016 00000000 00000000 00000000 00000000*
L0004048 00000000 00000000 00000000 00000000*
L0004048 00100000 00000000 00000000 00001000*
L0004080 00000000 00000000 00000000 00000000*
L0004112 00000000 00000000 00000000 00000000*
L0004144 00000000 00000000 00000000 00000000*
L0004144 00010000 00000000 00000000 00000000*
L0004176 000000 000000 000000 000000*
L0004200 000000 000000 000000 000000*
L0004224 000000 000000 000000 000000*
199,39 → 199,39
L0004416 00000000 00000000 00000000 00000000*
L0004448 00000000 00000000 00000000 00000000*
L0004480 00000000 00000000 00000000 00000000*
L0004512 00000000 00000000 00000100 00000000*
L0004512 00000000 00000000 00000000 00000000*
L0004544 00000000 00000000 00000000 00000000*
L0004576 00000000 00000000 00000000 00000000*
L0004608 000000 000000 000000 000000*
L0004632 000000 000000 010000 000000*
L0004632 000000 000000 000000 000000*
L0004656 000000 000000 000000 000000*
L0004680 000000 000000 000000 000000*
L0004704 000000 000000 000000 000000*
L0004728 000000 000000 000000 000000*
L0004752 00000000 00000000 00000000 00000000*
L0004784 00000000 00000000 00111000 00000000*
L0004784 00000000 00000000 01000000 00000000*
L0004816 00000000 00000000 00000000 00000000*
L0004848 00000000 00000000 00000000 00000000*
L0004880 00000000 00000000 00000000 00000000*
L0004912 00000000 00000000 00000100 00000000*
L0004944 00000000 00000000 00101000 00000000*
L0004912 00000000 00000000 00000000 00000000*
L0004944 00000000 00000000 01001000 00000000*
L0004976 00000000 00000000 00000000 00000000*
L0005008 00000000 00000000 00000000 00000000*
L0005040 000000 000000 000000 000000*
L0005064 000000 000000 000000 000000*
L0005088 000000 000000 001100 000000*
L0005064 000000 000000 010000 000000*
L0005088 000000 000000 000000 000000*
L0005112 000000 000000 000000 000000*
L0005136 000000 000000 000000 000000*
L0005160 000000 000000 000000 000000*
L0005184 00000000 00000000 00000001 00000000*
L0005216 00000011 00000000 00000001 00000011*
L0005248 00000000 00000000 00000001 00000000*
L0005280 00000000 00000000 00000001 00000000*
L0005312 00000000 00000001 00000001 00000001*
L0005344 00000001 00000011 00000011 00000000*
L0005376 00000001 00000000 00000011 00000000*
L0005408 00000011 00000000 00000011 00000000*
L0005440 00000001 00000010 00000011 00000000*
L0005248 00000000 00000000 00000011 00000000*
L0005280 00000000 00000000 00000011 00000000*
L0005312 00000000 00000011 00000011 00000011*
L0005344 00000000 00000011 00000011 00000001*
L0005376 00000000 00000000 00000011 00000000*
L0005408 00000010 00000000 00000011 00000001*
L0005440 00000000 00000011 00000011 00000000*
L0005472 000000 000000 000000 000000*
L0005496 000000 000000 000000 000000*
L0005520 000000 000000 000000 000000*
238,15 → 238,15
L0005544 000000 000000 000000 000000*
L0005568 000000 000000 000000 000000*
L0005592 000000 000000 000000 000000*
L0005616 00000000 00000000 00000000 00000000*
L0005616 00000011 00000001 00000000 00000000*
L0005648 00000000 00000000 00000000 00000000*
L0005680 00000000 00000000 00000000 00000000*
L0005712 00000000 00000000 00000000 00000000*
L0005680 00000011 00000001 00000001 00000000*
L0005712 00000000 00000001 00000001 00000000*
L0005744 00000000 00000000 00000000 00000000*
L0005776 00000000 00000000 00000000 00000000*
L0005808 00000001 00000000 00000000 00000000*
L0005840 00000001 00000000 00000000 00000000*
L0005872 00000001 00000000 00000001 00000000*
L0005808 00000010 00000011 00000000 00000000*
L0005840 00000000 00000010 00000001 00000000*
L0005872 00000010 00000000 00000001 00000000*
L0005904 000000 000000 000000 000000*
L0005928 000000 000000 000000 000000*
L0005952 000000 000000 000000 000000*
254,14 → 254,14
L0006000 000000 000000 000000 000000*
L0006024 000000 000000 000000 000000*
L0006048 00000000 00000000 00000001 00000000*
L0006080 00000011 00000000 00000000 00000001*
L0006112 00000000 00000000 00000001 00000000*
L0006144 00000000 00000000 00000001 00000000*
L0006176 00000000 00000001 00000001 00000000*
L0006208 00000001 00000011 00000000 00000000*
L0006240 00000001 00000000 00000010 00000000*
L0006272 00000011 00000000 00000011 00000000*
L0006304 00000001 00000010 00000010 00000000*
L0006080 00000011 00000000 00000001 00000011*
L0006112 00000000 00000000 00000000 00000000*
L0006144 00000000 00000000 00000011 00000000*
L0006176 00000000 00000001 00000011 00000001*
L0006208 00000000 00000011 00000011 00000000*
L0006240 00000000 00000000 00000010 00000000*
L0006272 00000010 00000000 00000011 00000000*
L0006304 00000000 00000011 00000011 00000000*
L0006336 000000 000000 000000 000000*
L0006360 000000 000000 000000 000000*
L0006384 000000 000000 000000 000000*
268,30 → 268,30
L0006408 000000 000000 000000 000000*
L0006432 000000 000000 000000 000000*
L0006456 000000 000000 000000 000000*
L0006480 00000000 00000000 00000000 00000000*
L0006512 00000000 00000000 00100000 00000000*
L0006544 00000000 00000000 00000000 00000000*
L0006576 00000000 00000000 00000000 00000000*
L0006608 00000000 00000000 00000000 00000000*
L0006480 00000011 00000000 00000000 00000000*
L0006512 00000000 00000000 01100000 00000000*
L0006544 00000001 00000000 00000000 00000000*
L0006576 00000000 00000001 00000000 00000000*
L0006608 00000000 00000010 00000000 00000000*
L0006640 00000000 00000000 00100000 00000000*
L0006672 00000000 00000000 00001000 00000000*
L0006704 00000000 00000000 00000000 00000000*
L0006736 00000000 00000000 00000000 00000000*
L0006672 00000010 00000011 01001000 00000000*
L0006704 00000000 00000010 00000000 00000000*
L0006736 00000010 00000000 00000000 00000000*
L0006768 000000 000000 000000 000000*
L0006792 000000 000000 000000 000000*
L0006816 000000 000000 000000 000000*
L0006816 000000 000000 010000 000000*
L0006840 000000 000000 000000 000000*
L0006864 000000 000000 000000 000000*
L0006888 000000 000000 000000 000000*
L0006912 00000000 00000000 00000000 00000000*
L0006944 00000011 00000000 00000000 00000000*
L0006976 00000000 00000000 00000000 00000000*
L0007008 00000000 00000000 00000000 00000000*
L0006976 00000000 00000000 00000001 00000000*
L0007008 00000000 00000000 00000001 00000000*
L0007040 00000000 00000001 00000000 00000000*
L0007072 00000000 00000011 00000000 00000000*
L0007104 00000001 00000000 00000000 00000000*
L0007136 00000011 00000000 00000000 00000000*
L0007168 00000001 00000010 00000001 00000000*
L0007104 00000000 00000000 00000000 00000000*
L0007136 00000010 00000000 00000001 00000000*
L0007168 00000000 00000010 00000001 00000000*
L0007200 000000 000000 000000 000000*
L0007224 000000 000000 000000 000000*
L0007248 000000 000000 000000 000000*
298,15 → 298,15
L0007272 000000 000000 000000 000000*
L0007296 000000 000000 000000 000000*
L0007320 000000 000000 000000 000000*
L0007344 00000000 00000000 00000000 00000000*
L0007344 00000001 00000000 00000000 00000000*
L0007376 00000000 00000000 00000000 00000000*
L0007408 00000000 00000000 00000000 00000000*
L0007440 00000000 00000000 00000000 00000000*
L0007472 00000000 00000000 00000000 00000000*
L0007408 00000001 00000000 00000001 00000000*
L0007440 00000000 00000001 00000001 00000000*
L0007472 00000000 00000010 00000000 00000000*
L0007504 00000000 00000000 00000000 00000000*
L0007536 00000001 00000000 00000000 00000000*
L0007568 00000001 00000000 00000000 00000000*
L0007600 00000001 00000000 00000001 00000000*
L0007536 00000010 00000011 00000000 00000000*
L0007568 00000000 00000000 00000001 00000000*
L0007600 00000010 00000000 00000001 00000000*
L0007632 000000 000000 000000 000000*
L0007656 000000 000000 000000 000000*
L0007680 000000 000000 000000 000000*
313,7 → 313,7
L0007704 000000 000000 000000 000000*
L0007728 000000 000000 000000 000000*
L0007752 000000 000000 000000 000000*
L0007776 00000000 00000000 00000000 00000000*
L0007776 00000000 00000000 00010000 00000000*
L0007808 00000011 00000000 00000000 00000000*
L0007840 00000000 00000000 00000000 00000000*
L0007872 00000000 00000000 00000000 00000000*
328,60 → 328,60
L0008136 000000 000000 000000 000000*
L0008160 000000 000000 000000 000000*
L0008184 000000 000000 000000 000000*
L0008208 00000000 00000000 00010000 00000000*
L0008240 00000000 00000000 00100000 00000000*
L0008208 00000000 00000000 00000000 00000000*
L0008240 00000000 00000000 01110000 00000000*
L0008272 00000000 00000000 00000000 00000000*
L0008304 00000000 00000000 00000000 00000000*
L0008304 00000000 00000001 00000000 00000000*
L0008336 00000000 00000001 00000000 00000000*
L0008368 00000000 00000000 00000000 00000000*
L0008400 00000000 00000000 00101000 00000000*
L0008400 00000010 00000001 01101000 00000000*
L0008432 00000000 00000000 00000000 00000000*
L0008464 00000000 00000000 00000000 00000000*
L0008464 00000010 00000000 00000000 00000000*
L0008496 000000 000000 000000 000000*
L0008520 000000 000000 000000 000000*
L0008544 000000 000000 001000 000000*
L0008544 000000 000000 011000 000000*
L0008568 000000 000000 000000 000000*
L0008592 000000 000000 000000 000000*
L0008616 000000 000000 000000 000000*
L0008640 00000000 00000000 00000000 00000000*
L0008672 00000001 00000000 00000000 00000000*
L0008640 00000000 00001000 00000000 00000000*
L0008672 00000011 00000000 00000000 00000000*
L0008704 00000000 00000000 00000000 00000000*
L0008736 00000000 00000000 00000000 00000000*
L0008768 00000000 00000000 00000000 00000000*
L0008800 00110000 00000011 00000000 10011000*
L0008832 00110000 00000000 00000000 00000000*
L0008864 00110000 00000000 00000000 00000000*
L0008896 00110000 00001000 00000000 00000000*
L0008928 000100 000000 000000 000000*
L0008800 00000000 00000011 00000000 00000000*
L0008832 00000000 01001000 00000000 10011000*
L0008864 00000010 00001000 00000000 00000000*
L0008896 00000000 00000010 00000000 00000000*
L0008928 000000 000010 000000 000000*
L0008952 000000 011010 000000 000000*
L0008976 000000 011010 000000 000000*
L0009000 000000 011010 000000 000000*
L0009024 000000 011010 000000 000000*
L0009048 000000 010010 000000 000000*
L0009072 00000000 00000000 00000000 00000000*
L0009048 000000 000010 000000 000000*
L0009072 00100000 00000000 00000000 00000000*
L0009104 00000000 00000000 00000000 00000000*
L0009136 00000000 00000000 00000000 00000000*
L0009168 00000000 00000000 00000000 00000000*
L0009200 00000000 00000000 00000000 00000000*
L0009232 10000000 00001000 00010000 00000000*
L0009264 00000000 00000000 00000000 00010000*
L0009168 00000000 00000001 00000000 00000000*
L0009200 00000000 00000001 00000000 00000000*
L0009232 10010000 00000000 00000000 00010000*
L0009264 00000000 00000001 00010000 00000000*
L0009296 00000000 00000000 00000000 00000000*
L0009328 00000000 00000000 00000000 00000000*
L0009360 000000 000000 000000 000000*
L0009384 000000 000000 000010 000000*
L0009408 000000 000000 000000 000000*
L0009384 000000 000000 000000 000000*
L0009408 000000 000000 000010 000000*
L0009432 000000 000000 000000 000000*
L0009456 000000 000000 000000 000000*
L0009480 000000 000000 000000 000000*
L0009504 00000000 00000000 00000000 00000000*
L0009536 00000000 00000000 00000000 00000000*
L0009568 00000000 00000000 00000000 00000000*
L0009536 00000001 00000000 00000000 00000000*
L0009568 00000000 00000000 00000001 00000000*
L0009600 00000000 00000000 00000000 00000000*
L0009632 00000000 00000001 00000000 00000000*
L0009632 00000000 00000000 00000000 00000000*
L0009664 00000000 00000000 00000000 00000000*
L0009696 00000000 00000000 00000000 00000000*
L0009728 00000010 00000000 00000000 00000000*
L0009760 00000000 00000000 00000001 00000000*
L0009728 00000000 00000000 00000000 00000000*
L0009760 00000000 00000000 00000000 00000000*
L0009792 000000 000000 000000 000000*
L0009816 000000 000000 000000 000000*
L0009840 000000 000000 000000 000000*
389,14 → 389,14
L0009888 000000 000000 000000 000000*
L0009912 000000 000000 000000 000000*
L0009936 00000000 00000000 00000000 00000000*
L0009968 00000000 00000000 00000000 00000000*
L0009968 00000011 00000000 00000000 00000000*
L0010000 00000000 00000000 00000000 00000000*
L0010032 00000000 00000000 00000000 00000000*
L0010064 00000000 00000000 00000000 00000000*
L0010096 00000000 00000001 00000000 00000000*
L0010064 00000000 00000001 00000000 00000000*
L0010096 00000000 00000011 00000000 00000000*
L0010128 00000000 00000000 00000000 00000000*
L0010160 00000000 00000000 00000000 00000000*
L0010192 00000000 00000000 00000000 00000000*
L0010160 00000010 00000000 00000000 00000000*
L0010192 00000000 00000010 00000000 00000000*
L0010224 000000 000000 000000 000000*
L0010248 000000 000000 000000 000000*
L0010272 000000 000000 000000 000000*
404,14 → 404,14
L0010320 000000 000000 000000 000000*
L0010344 000000 000000 000000 000000*
L0010368 00000000 00000000 00000000 00000000*
L0010400 00000000 00000000 00000000 00000000*
L0010400 00000011 00000000 00000000 00000000*
L0010432 00000000 00000000 00000000 00000000*
L0010464 00000000 00000000 00000000 00000000*
L0010496 00000000 00000000 00000000 00000000*
L0010528 00000000 00000000 00000000 00000000*
L0010528 00000000 00000011 00000000 00000000*
L0010560 00000000 00000000 00000000 00000000*
L0010592 00000000 00000000 00000000 00000000*
L0010624 00000000 00000000 00000000 00000000*
L0010592 00000010 00000000 00000000 00000000*
L0010624 00000000 00000010 00000000 00000000*
L0010656 000000 000000 000000 000000*
L0010680 000000 000000 000000 000000*
L0010704 000000 000000 000000 000000*
418,15 → 418,15
L0010728 000000 000000 000000 000000*
L0010752 000000 000000 000000 000000*
L0010776 000000 000000 000000 000000*
L0010800 00000000 00000000 00000000 00000000*
L0010800 00000000 00000001 00000000 00000000*
L0010832 00000000 00000000 00000000 00000000*
L0010864 00000000 00000000 00000000 00000000*
L0010864 00000011 00000000 00000000 00000000*
L0010896 00000000 00000000 00000000 00000000*
L0010928 00000000 00000000 00000000 00000000*
L0010960 00000000 00000000 00000000 00000000*
L0010992 00000000 00000000 00000000 00000000*
L0010992 00000000 00000011 00000000 00000000*
L0011024 00000000 00000000 00000000 00000000*
L0011056 00000000 00000000 00000000 00000000*
L0011056 00000010 00000000 00000000 00000000*
L0011088 000000 000000 000000 000000*
L0011112 000000 000000 000000 000000*
L0011136 000000 000000 000000 000000*
435,13 → 435,13
L0011208 000000 000000 000000 000000*
L0011232 00000000 00000000 00000001 00000000*
L0011264 00000011 00000000 00000001 00000011*
L0011296 00000000 00000000 00000001 00000000*
L0011328 00000000 00000000 00000001 00000000*
L0011360 00000000 00000001 00000001 00000001*
L0011392 00000001 00000011 00000011 00000000*
L0011424 00000001 00000000 00000011 00000000*
L0011456 00000011 00000000 00000011 00000000*
L0011488 00000001 00000010 00000011 00000000*
L0011296 00000000 00000000 00000011 00000000*
L0011328 00000000 00000000 00000011 00000000*
L0011360 00000000 00000011 00000011 00000011*
L0011392 00000000 00000011 00000011 00000001*
L0011424 00000000 00000000 00000011 00000000*
L0011456 00000010 00000000 00000011 00000001*
L0011488 00000000 00000011 00000011 00000000*
L0011520 000000 000000 000000 000000*
L0011544 000000 000000 000000 000000*
L0011568 000000 000000 000000 000000*
480,41 → 480,41
L0012504 000000 000000 000000 000000*
L0012528 00000000 00000000 00000000 00000000*
L0012560 00000000 00000000 00000000 00000000*
L0012592 00000100 00000000 00000000 00000000*
L0012592 00000000 00000000 00000000 00000000*
L0012624 00000000 00000000 00000000 00000000*
L0012656 00000000 00000000 00000000 00000000*
L0012688 00000000 00000000 00000000 00000000*
L0012720 00000000 00000000 00000000 00000000*
L0012752 00000100 00000000 00000000 00000000*
L0012752 00000000 00000000 00000000 00000000*
L0012784 00000000 00000000 00000000 00000000*
L0012816 000000 000000 000000 000000*
L0012840 000000 000000 000000 000000*
L0012864 000000 000000 000000 000000*
L0012888 000001 000000 000001 000000*
L0012888 000000 000000 000000 000000*
L0012912 000000 000000 000000 000000*
L0012936 000000 000000 000000 000000*
L0012960 00000000 00000000 00000100 00000000*
L0012992 00000011 00000000 00000001 00000011*
L0012960 00010000 00000000 00000100 00000000*
L0012992 00010011 00000000 00000001 00000011*
L0013024 00000000 00000000 00000000 00000000*
L0013056 00000000 00000000 00000000 00000000*
L0013088 00000000 00000001 00000001 00000001*
L0013120 10100000 00001011 00000001 00001000*
L0013152 10100000 00001000 00000001 00010000*
L0013184 10100010 00001000 00000000 00000000*
L0013216 10100000 00000010 00000000 00000000*
L0013088 00000000 00000011 00000001 00000011*
L0013120 10000000 00000011 00000001 00010001*
L0013152 10010000 00000000 00000001 00001000*
L0013184 10010010 00000000 00000000 00000001*
L0013216 10000000 00000010 00000000 00000000*
L0013248 000000 000000 000000 000000*
L0013272 000000 001000 000010 000000*
L0013296 000000 001000 000000 000000*
L0013272 000000 001000 000000 000000*
L0013296 000000 001000 000010 000000*
L0013320 000000 001000 000000 000000*
L0013344 000000 001000 000000 000000*
L0013368 000000 000000 000000 000000*
L0013392 00000000 00000000 00000000 00000000*
L0013424 00000000 00000000 00000000 00000000*
L0013424 00100000 00000000 00000000 00000000*
L0013456 00000000 00000000 00000000 00000000*
L0013488 00000000 00000000 00000000 00000000*
L0013520 00000000 00000000 00000000 00000000*
L0013552 00010000 00000000 00010000 10010000*
L0013584 00000000 00000000 00000000 00000000*
L0013552 00000000 00001000 00000000 00000000*
L0013584 00000000 00000000 00010000 10010000*
L0013616 00000000 00000000 00000000 00000000*
L0013648 00000000 00000000 00000000 00000000*
L0013680 000000 000000 000000 000000*
523,66 → 523,66
L0013752 000000 000000 000000 000000*
L0013776 000000 000000 000000 000000*
L0013800 000000 000000 000000 000000*
L0013824 00000000 00000000 00000100 00000000*
L0013856 00000011 00000000 00111001 00000010*
L0013888 00000000 00000000 00000000 00000000*
L0013824 00000000 00000000 00010100 00000000*
L0013856 00010011 00000000 01110001 00000010*
L0013888 00010000 00000000 00000000 00000000*
L0013920 00000000 00000000 00000000 00000000*
L0013952 00000000 00000001 00000000 00000001*
L0013984 00000001 00000011 00000101 00010000*
L0014016 00000000 00000000 00101100 00010000*
L0014048 00000010 00001000 00000000 00000000*
L0014080 00000000 00001010 00000000 00000000*
L0014112 000000 000000 000000 000000*
L0014136 000000 000000 010000 000000*
L0014160 000000 000000 001100 000000*
L0014184 000000 000000 000000 000000*
L0014208 000000 000000 000000 000000*
L0014232 000000 010000 000000 000000*
L0014256 00000000 00000000 00111001 00000000*
L0014288 00000000 00000000 00000000 00000001*
L0014320 00000000 00000000 00000001 00000000*
L0014352 00000000 00000000 00000001 00000000*
L0014384 00000000 00000000 00000001 00000000*
L0014416 00000000 00000000 00101010 00000000*
L0014448 00000001 00000000 00000011 00000000*
L0014480 00000001 00000000 00000011 00000000*
L0014512 00000001 00000000 00000011 00000000*
L0013984 00000000 01000011 00000001 01010100*
L0014016 00000000 00000000 01101000 00010000*
L0014048 00010010 00000000 00000000 00000000*
L0014080 10100000 00000011 00000000 00000000*
L0014112 001000 000000 000000 000000*
L0014136 100000 000000 100000 000010*
L0014160 001000 000000 011000 000000*
L0014184 000100 000000 000000 000000*
L0014208 001000 000000 000000 000000*
L0014232 000000 000000 000000 000000*
L0014256 00010000 00000000 01100001 00000000*
L0014288 10000000 00000000 00000000 00000001*
L0014320 00000000 00000000 00000011 00000000*
L0014352 00100000 00000000 00000011 00000000*
L0014384 00000000 00000010 00000011 00000010*
L0014416 00000000 00000000 01101010 00000001*
L0014448 00000000 00000000 00000011 00000000*
L0014480 10000000 00000000 00000011 00000001*
L0014512 00000000 00000000 00000011 00000000*
L0014544 000000 000000 000000 000000*
L0014568 000010 000000 001100 000000*
L0014592 000000 001000 000000 000000*
L0014616 000000 011010 000000 000000*
L0014640 000000 011010 000000 000000*
L0014664 000000 000010 000000 000000*
L0014568 000000 000001 011000 000000*
L0014592 000100 000000 000000 000000*
L0014616 001000 000000 000000 000000*
L0014640 000000 000000 000000 000000*
L0014664 000000 000000 000000 000000*
L0014688 00000000 00000000 00000000 00000000*
L0014720 00000000 00000000 00000000 00000000*
L0014752 00000000 00000000 00000000 00000000*
L0014784 00000000 00000000 00000000 00000000*
L0014752 00000000 00000000 00000001 00000000*
L0014784 00000000 00000000 00000001 00000000*
L0014816 00000000 00000000 00000000 00000000*
L0014848 00100000 00000000 00000000 00000000*
L0014880 00100001 00001000 00000000 00000000*
L0014912 00000001 00001000 00000000 00000000*
L0014944 10000001 00000000 00000001 00000000*
L0014848 00000000 00000000 00000000 00000000*
L0014880 00000000 00000000 00000000 00000000*
L0014912 00000000 00000000 00000001 00000000*
L0014944 00000000 00000000 00000001 00000000*
L0014976 000000 000000 000000 000000*
L0015000 000000 000000 000000 000000*
L0015024 000010 000000 000000 000000*
L0015024 000000 000000 000000 000000*
L0015048 000000 000000 000000 000000*
L0015072 000000 011000 000000 000000*
L0015096 000000 000010 000000 000000*
L0015072 000000 000000 000000 000000*
L0015096 000000 000000 000000 000000*
L0015120 00000000 00000000 00000000 00000000*
L0015152 00000100 00000000 00000000 00000000*
L0015184 00000000 00000000 00000000 00000000*
L0015152 00000000 00000000 00000000 00000000*
L0015184 00000000 00000000 00001000 00000000*
L0015216 00000000 00000000 00000000 00000000*
L0015248 00000000 00000000 00000000 00000000*
L0015280 00000000 00000000 00000000 00000000*
L0015312 00000100 00000000 00000000 00000000*
L0015344 00000000 00000000 00000000 00000000*
L0015376 00010000 00001000 00000000 00000000*
L0015312 00000000 00000000 00000000 00000000*
L0015344 00000000 00000000 00000100 00000000*
L0015376 00000000 00000000 00000000 00000000*
L0015408 000000 000000 000000 000000*
L0015432 000000 000000 000000 000000*
L0015456 000001 000000 000000 000000*
L0015480 000000 001000 000000 000000*
L0015504 000000 000010 000000 000000*
L0015528 000000 010000 000000 000000*
L0015456 000000 000000 000000 000000*
L0015480 000000 000000 000101 000000*
L0015504 000000 000000 000000 000000*
L0015528 000000 000000 000000 000000*
L0015552 00000000 00000000 00000000 00000000*
L0015584 00000000 00000000 00000000 00000000*
L0015616 00000000 00000000 00000000 00000000*
628,15 → 628,15
L0016776 000000 000000 000000 000000*
L0016800 000000 000000 000000 000000*
L0016824 000000 000000 000000 000000*
L0016848 00000000 00000000 00000001 00000000*
L0016848 00000000 00000000 00000000 00000000*
L0016880 00000000 00000000 00000000 00000000*
L0016912 00000000 00000000 00000001 00000000*
L0016944 00000000 00000000 00000001 00000000*
L0016976 00000000 00000000 00000001 00000000*
L0017008 00000000 00000000 00000000 00000000*
L0017040 00000001 00000000 00000010 00000000*
L0017072 00000001 00000000 00000010 00000000*
L0017104 00000001 00000000 00000011 00000000*
L0016944 00000000 00000000 00000011 00000000*
L0016976 00000000 00000000 00000011 00000000*
L0017008 00000000 00000000 00000010 00000000*
L0017040 00000000 00000000 00000010 00000000*
L0017072 00000000 00000000 00000011 00000000*
L0017104 00000000 00000000 00000011 00000000*
L0017136 000000 000000 000000 000000*
L0017160 000000 000000 000000 000000*
L0017184 000000 000000 000000 000000*
707,10 → 707,10
L0019040 00000011 00000000 00000001 00000011*
L0019072 00000000 00000000 00000000 00000000*
L0019104 00000000 00000000 00000000 00000000*
L0019136 00000000 00000001 00000001 00000001*
L0019168 00000000 00000011 00000001 00000000*
L0019136 00000000 00000011 00000001 00000011*
L0019168 00000000 00000011 00000001 00000001*
L0019200 00000000 00000000 00000001 00000000*
L0019232 00000010 00000000 00000000 00000000*
L0019232 00000010 00000000 00000000 00000001*
L0019264 00000000 00000010 00000000 00000000*
L0019296 000000 000000 000000 000000*
L0019320 000000 000000 000000 000000*
718,15 → 718,15
L0019368 000000 000000 000000 000000*
L0019392 000000 000000 000000 000000*
L0019416 000000 000000 000000 000000*
L0019440 00000000 00000000 00000001 00000000*
L0019440 00000011 00000001 00000001 00000000*
L0019472 00000011 00000000 00000001 00000011*
L0019504 00000000 00000000 00000001 00000000*
L0019536 00000000 00000000 00000001 00000000*
L0019568 00000000 00000001 00000001 00000001*
L0019600 00000001 00000011 00000011 00000000*
L0019632 00000001 00000000 00000011 00000000*
L0019664 00000011 00000000 00000011 00000000*
L0019696 00000001 00000010 00000011 00000000*
L0019504 00000011 00000001 00000011 00000000*
L0019536 00000000 00000001 00000011 00000000*
L0019568 00000000 00000011 00000011 00000011*
L0019600 00000000 00000011 00000011 00000001*
L0019632 00000010 00000011 00000011 00000000*
L0019664 00000010 00000010 00000011 00000001*
L0019696 00000010 00000011 00000011 00000000*
L0019728 000000 000000 000000 000000*
L0019752 000000 000000 000000 000000*
L0019776 000000 000000 000000 000000*
733,45 → 733,45
L0019800 000000 000000 000000 000000*
L0019824 000000 000000 000000 000000*
L0019848 000000 000000 000000 000000*
L0019872 00000000 00000000 00000001 00000000*
L0019904 00000011 00000000 00000000 00000001*
L0019936 00000000 00000000 00000001 00000000*
L0019968 00000000 00000000 00000001 00000000*
L0020000 00000000 00000001 00000001 00000000*
L0020032 00100001 00000011 00000000 00000000*
L0020064 00000001 00000000 00000010 00000000*
L0020096 00100011 00001000 00000011 00000000*
L0020128 00100001 00001010 00000010 00000000*
L0020160 000100 000000 000000 000000*
L0020184 000000 000000 000000 000000*
L0020208 000000 000000 000000 000000*
L0020232 000000 001000 000000 000000*
L0020256 000000 010000 000000 000000*
L0020280 000000 010010 000000 000000*
L0020304 00000000 00000000 00000000 00000000*
L0020336 00000111 00000000 00000000 00000000*
L0020368 00000000 00000000 00000000 00000000*
L0020400 00000000 00000000 00000000 00000000*
L0020432 00000000 00000001 00000000 00000000*
L0020464 00000000 00000011 00000000 00000000*
L0020496 10010101 00001000 00000000 00000000*
L0020528 00000011 00000000 00000000 00000000*
L0020560 10010001 00000010 00000001 00000000*
L0020592 000000 000000 000000 000000*
L0020616 000000 001000 000000 000000*
L0020640 000010 010010 000000 000000*
L0019872 10000011 00110100 00000001 00000000*
L0019904 10000011 00101100 00000001 00000011*
L0019936 00110001 00101100 00000000 00000000*
L0019968 00100000 00001101 00000011 00000000*
L0020000 00000000 00001111 00000011 00000001*
L0020032 00100000 01100011 00000011 00000000*
L0020064 00100010 00100011 00000010 00000000*
L0020096 00000010 01000010 00000011 00000000*
L0020128 00110010 00001011 00000011 00000000*
L0020160 001000 000000 000000 000000*
L0020184 100100 000100 000000 000000*
L0020208 000100 000000 000000 000000*
L0020232 000100 000000 000000 000000*
L0020256 000000 000000 000000 000000*
L0020280 000000 011000 000000 000000*
L0020304 00000001 00000000 00000000 00000000*
L0020336 00000011 00000000 00000000 00000000*
L0020368 00000001 00000000 00000001 00000000*
L0020400 00000000 00000001 00000001 00000000*
L0020432 00000000 00000011 00000000 00000000*
L0020464 00000000 00000011 00000000 11000000*
L0020496 00000010 00000011 00000000 00000000*
L0020528 00100010 00000000 00000001 00000000*
L0020560 00000010 00000010 00000001 00000000*
L0020592 100100 000000 000000 000000*
L0020616 000000 000000 000000 000000*
L0020640 000000 000000 000000 000000*
L0020664 000000 000000 000000 000000*
L0020688 000000 001010 000000 000000*
L0020688 000000 000000 000000 000000*
L0020712 000000 000000 000000 000000*
L0020736 00000000 00000000 00000000 00000000*
L0020768 00000011 00000000 00000000 00000000*
L0020800 00000000 00000000 00000000 00000000*
L0020832 00000000 00000000 00000000 00000000*
L0020832 00000000 00000001 00000000 00000000*
L0020864 00000000 00000001 00000000 00000000*
L0020896 00000000 00000011 00000000 00000000*
L0020928 00000000 00000000 00000000 00000000*
L0020928 00000010 00000001 00000000 00000000*
L0020960 00000010 00000000 00000000 00000000*
L0020992 00000000 00000010 00000000 00000000*
L0020992 00000010 00000010 00000000 00000000*
L0021024 000000 000000 000000 000000*
L0021048 000000 000000 000000 000000*
L0021072 000000 000000 000000 000000*
779,14 → 779,14
L0021120 000000 000000 000000 000000*
L0021144 000000 000000 000000 000000*
L0021168 00000000 00000000 00000000 00000000*
L0021200 00000001 00000000 00000000 00000000*
L0021200 00000011 00000000 01000000 00000000*
L0021232 00000000 00000000 00000000 00000000*
L0021264 00000000 00000000 00000000 00000000*
L0021296 00000000 00000000 00000000 00000000*
L0021328 00000000 00000011 00000000 00000000*
L0021360 00000000 00000000 00000000 00000000*
L0021392 00000000 00000000 00000000 00000000*
L0021424 00000000 00000000 00000000 00000000*
L0021264 00000000 00000001 00000000 00000000*
L0021296 00000000 00000001 00000000 00000000*
L0021328 00000000 00000011 01000000 00000000*
L0021360 00000000 00000001 00001000 00000000*
L0021392 00000010 00000000 00000000 00000000*
L0021424 00000000 00000010 00000000 00000000*
L0021456 000000 000000 000000 000000*
L0021480 000000 000000 000000 000000*
L0021504 000000 000000 000000 000000*
793,17 → 793,17
L0021528 000000 000000 000000 000000*
L0021552 000000 000000 000000 000000*
L0021576 000000 000000 000000 000000*
L0021600 00000100 00000000 00000000 00000000*
L0021600 00000000 00000000 00001000 00000000*
L0021632 00000000 00000000 00000000 00000000*
L0021664 00000000 00000000 00000000 00000000*
L0021696 00000000 00000000 00000000 00000000*
L0021728 00000000 00000000 00000000 00000000*
L0021760 00000100 00000000 00001000 00000000*
L0021760 00000000 00000000 00001100 00000000*
L0021792 00000000 00000000 00000000 00000000*
L0021824 00000000 00000000 00000000 00000000*
L0021856 00000000 00000000 00000000 00000000*
L0021888 000000 000000 000000 000000*
L0021912 000001 000000 000001 000000*
L0021912 000000 000000 000101 000000*
L0021936 000000 000000 000000 000000*
L0021960 000000 000000 000000 000000*
L0021984 000000 000000 000000 000000*
823,41 → 823,41
L0022392 000000 000000 000000 000000*
L0022416 000000 000000 000000 000000*
L0022440 000000 000000 000000 000000*
L0022464 00000000 00000000 00000001 00000000*
L0022496 00000000 00000000 00000000 00000000*
L0022464 00000000 00000000 00000011 00000000*
L0022496 00000000 00100000 00000000 00000000*
L0022528 00000000 00000000 00000000 00000000*
L0022560 00000000 00000000 00000000 00000000*
L0022592 00000000 00000000 00000001 00000000*
L0022560 00000000 00000100 00000000 00000000*
L0022592 00000000 00000000 00000011 00000000*
L0022624 00000000 00000000 00000000 00000000*
L0022656 00000000 00000000 00000000 00000000*
L0022656 00010000 00100000 00000000 00000000*
L0022688 00000000 00000000 00000000 00000000*
L0022720 00000000 00000000 00000000 00000000*
L0022720 10000000 00000000 00000000 00000000*
L0022752 000000 000000 000000 000000*
L0022776 000000 000000 000000 000000*
L0022800 000000 000000 000000 000000*
L0022776 100100 000000 000000 000000*
L0022800 000000 000001 000000 000000*
L0022824 000000 000000 000000 000000*
L0022848 000000 000000 000000 000000*
L0022872 000000 000000 000000 000000*
L0022896 00000000 00000000 00000000 00000000*
L0022928 00000000 00000000 00110000 00000000*
L0022960 00000000 00000000 00000000 00000000*
L0022992 00000000 00000000 00000000 00000000*
L0023024 00000000 00000000 00000000 00000000*
L0022848 000000 011000 000000 000000*
L0022872 000000 000010 000000 000000*
L0022896 00000000 00011000 00000010 00000000*
L0022928 00000000 00000000 00001000 00000000*
L0022960 00100000 00100100 00000000 00000000*
L0022992 00000000 00001000 00000000 00000000*
L0023024 00000000 00000100 00000010 00000000*
L0023056 00000000 00000000 00000000 00000000*
L0023088 00000000 00000000 00101000 00000000*
L0023088 00000000 01000000 00000100 00000000*
L0023120 00000000 00000000 00000000 00000000*
L0023152 00000000 00000000 00000000 00000000*
L0023184 000000 000000 000000 000000*
L0023208 000000 000000 000100 000000*
L0023232 000000 000000 001000 000000*
L0023256 000000 000000 000000 000000*
L0023280 000000 000000 000000 000000*
L0023184 001000 000010 000000 000000*
L0023208 001000 000000 000000 000000*
L0023232 000000 000000 000001 000000*
L0023256 000000 001000 000000 000000*
L0023280 001000 000010 000000 000000*
L0023304 000000 000000 000000 000000*
L0023328 00000000 00000000 00000000 00000000*
L0023360 00000000 00000000 00000000 00000000*
L0023328 00000010 00000010 00000000 00000010*
L0023360 00000010 00000010 00000000 00000010*
L0023392 00000000 00000000 00000000 00000000*
L0023424 00000000 00000000 00000000 00000000*
L0023456 00000000 00000000 00000000 00000000*
L0023456 00000010 00000010 00000000 00000010*
L0023488 00000000 00000000 00000000 00000000*
L0023520 00000000 00000000 00000000 00000000*
L0023552 00000000 00000000 00000000 00000000*
868,7 → 868,7
L0023688 000000 000000 000000 000000*
L0023712 000000 000000 000000 000000*
L0023736 000000 000000 000000 000000*
L0023760 00000000 00000000 00000010 00000000*
L0023760 00000001 00000001 00000011 00000001*
L0023792 00000000 00000000 00000000 00000000*
L0023824 00000000 00000000 00000000 00000000*
L0023856 00000000 00000000 00000000 00000000*
898,18 → 898,18
L0024552 000000 000000 000000 000000*
L0024576 000000 000000 000000 000000*
L0024600 000000 000000 000000 000000*
L0024624 00000000 00000000 00000011 00000000*
L0024656 00000000 00000000 00100000 00000000*
L0024624 00000001 00000001 00000010 00000000*
L0024656 00000000 00000000 01100000 00000000*
L0024688 00000000 00000000 00000000 00000000*
L0024720 00000000 00000000 00000000 00000000*
L0024752 00000000 00000000 00000011 00000000*
L0024752 00000001 00000001 00000010 00000000*
L0024784 00000000 00000000 00000000 00000000*
L0024816 00000000 00000000 00101000 00000000*
L0024816 00000000 00000000 01101000 00000000*
L0024848 00000000 00000000 00000000 00000000*
L0024880 00000000 00000000 00000000 00000000*
L0024912 000000 000000 000000 000000*
L0024936 000000 000000 001000 000000*
L0024960 000000 000000 000000 000000*
L0024960 000000 000000 010000 000000*
L0024984 000000 000000 000000 000000*
L0025008 000000 000000 000000 000000*
L0025032 000000 000000 000000 000000*
929,17 → 929,17
L0025440 000000 000000 000000 000000*
L0025464 000000 000000 000000 000000*
L0025488 00000000 00000000 00100010 00000000*
L0025520 00000000 00000000 00000000 00000000*
L0025520 00000000 00000000 01000000 00000000*
L0025552 00000000 00000000 00000000 00000000*
L0025584 00000000 00000000 00000000 00000000*
L0025616 00000000 00000000 00000010 00000000*
L0025648 00000000 00000000 00000000 00000000*
L0025680 00000000 00000000 00001000 00000000*
L0025680 00000000 00000000 01001000 00000000*
L0025712 00000000 00000000 00000000 00000000*
L0025744 00000000 00000000 00000000 00000000*
L0025776 000000 000000 000000 000000*
L0025800 000000 000000 000000 000000*
L0025824 000000 000000 000000 000000*
L0025824 000000 000000 010000 000000*
L0025848 000000 000000 000000 000000*
L0025872 000000 000000 000000 000000*
L0025896 000000 000000 000000 000000*
989,10 → 989,10
L0027168 000000 000000 000000 000000*
L0027192 000000 000000 000000 000000*
L0027216 00000000 00000000 00000000 00000000*
L0027248 00000000 00000000 00000000 00000000*
L0027248 00000001 00000001 00000001 00000001*
L0027280 00000000 00000000 00000000 00000000*
L0027312 00000000 00000000 00000000 00000000*
L0027344 00000000 00000000 00000000 00000000*
L0027344 00000001 00000001 00000001 00000001*
L0027376 00000000 00000000 00000000 00000000*
L0027408 00000000 00000000 00000000 00000000*
L0027440 00000000 00000000 00000000 00000000*
1003,41 → 1003,41
L0027576 000000 000000 000000 000000*
L0027600 000000 000000 000000 000000*
L0027624 000000 000000 000000 000000*
L0027648 00000000 00000000 00000000 00000000*
L0027680 00000000 00000000 00000000 00000000*
L0027712 00000000 00000000 00000000 00000000*
L0027744 00000000 00000000 00000000 00000000*
L0027776 00000010 00000000 00000010 00000000*
L0027808 00000000 00000000 00000000 00000000*
L0027840 00000000 00000000 00000000 00000000*
L0027872 00000000 00000000 00000000 00000000*
L0027904 00000000 00000000 00000000 00000000*
L0027936 000000 000000 000000 000000*
L0027960 000000 000000 000000 000000*
L0027984 000000 000000 000000 000000*
L0028008 000000 000000 000000 000000*
L0027648 10000000 00110100 00000000 00000000*
L0027680 10000000 00101100 00000000 00000000*
L0027712 00010000 00101100 00000000 00000000*
L0027744 00000000 00001100 00000000 00000000*
L0027776 00000000 00001100 00000000 00000000*
L0027808 00100000 00100000 00010000 10001000*
L0027840 00100000 00100000 00000000 00000000*
L0027872 00100000 01000000 00000000 00000000*
L0027904 00010000 00001000 00000000 00000000*
L0027936 100100 000000 000000 000000*
L0027960 100100 000100 000010 000000*
L0027984 000100 000000 000000 000000*
L0028008 000100 000000 000000 000000*
L0028032 000000 000000 000000 000000*
L0028056 000000 000000 000000 000000*
L0028080 00000010 00000010 00000010 00000010*
L0028112 00000000 00000000 00000000 00000000*
L0028056 000000 011000 000000 000000*
L0028080 00110010 00001010 00000010 00000010*
L0028112 00110000 00000000 00000000 00000000*
L0028144 00000000 00000000 00000000 00000000*
L0028176 00000000 00000000 00000000 00000000*
L0028208 00000010 00000010 00000010 00000010*
L0028240 00000000 00000000 00000000 00000000*
L0028272 00000000 00000000 00000000 00000000*
L0028304 00000000 00000000 00000000 00000000*
L0028336 00000000 00000000 00000000 00000000*
L0028368 000000 000000 000000 000000*
L0028392 000000 000000 000000 000000*
L0028416 000000 000000 000000 000000*
L0028440 000000 000000 000000 000000*
L0028464 000000 000000 000000 000000*
L0028488 000000 000000 000000 000000*
L0028512 00000000 00000000 00000000 00000000*
L0028240 10010000 00001000 00000000 00000000*
L0028272 10010000 01001000 00010000 10001000*
L0028304 10010000 00001000 00000000 00000000*
L0028336 10000000 00000000 00000000 00000000*
L0028368 000000 000010 000000 000000*
L0028392 001000 011010 000000 000000*
L0028416 001000 011010 000010 000000*
L0028440 001000 011010 000000 000000*
L0028464 001000 011010 000000 000000*
L0028488 000000 000010 000000 000000*
L0028512 00000010 00000000 00000000 00000000*
L0028544 00000000 00000000 00000000 00000000*
L0028576 00000010 00000000 00000010 00000010*
L0028576 00000000 00000010 00000010 00000010*
L0028608 00000000 00000000 00000000 00000000*
L0028640 00000000 00000010 00000000 00000000*
L0028640 00000010 00000000 00000000 00000000*
L0028672 00000000 00000000 00000000 00000000*
L0028704 00000000 00000000 00000000 00000000*
L0028736 00000000 00000000 00000000 00000000*
1048,11 → 1048,11
L0028872 000000 000000 000000 000000*
L0028896 000000 000000 000000 000000*
L0028920 000000 000000 000000 000000*
L0028944 00000010 00000010 00000001 00000000*
L0028976 00000000 00000000 00000000 00000000*
L0028944 00000000 00000001 00000001 00000000*
L0028976 00000000 00000000 00000010 00000000*
L0029008 00000000 00000000 00000000 00000000*
L0029040 00000000 00000000 00000000 00000000*
L0029072 00000010 00000010 00000001 00000000*
L0029072 00000000 00000001 00000011 00000000*
L0029104 00000000 00000000 00000000 00000000*
L0029136 00000000 00000000 00000000 00000000*
L0029168 00000000 00000000 00000000 00000000*
1063,36 → 1063,36
L0029304 000000 000000 000000 000000*
L0029328 000000 000000 000000 000000*
L0029352 000000 000000 000000 000000*
L0029376 00000000 00000000 00000000 00000000*
L0029408 00000000 00000000 00000000 00000000*
L0029440 00000000 00000000 00000000 00000000*
L0029376 00010001 00000001 00000001 00000001*
L0029408 00000001 00000001 00000001 00000001*
L0029440 00010000 00100000 00000000 00000000*
L0029472 00000000 00000000 00000000 00000000*
L0029504 00000000 00000000 00000000 00000000*
L0029504 00000001 00000101 00000001 00000001*
L0029536 00000000 00000000 00000000 00000000*
L0029568 00000000 00000000 00000000 00000000*
L0029600 00000000 00000000 00000000 00000000*
L0029568 00010000 01000000 00000000 00000000*
L0029600 00010000 00000000 00000000 00000000*
L0029632 00000000 00000000 00000000 00000000*
L0029664 000000 000000 000000 000000*
L0029688 000000 000000 000000 000000*
L0029712 000000 000000 000000 000000*
L0029664 001000 000010 000000 000000*
L0029688 000100 000000 000000 000000*
L0029712 000100 000000 000000 000000*
L0029736 000000 000000 000000 000000*
L0029760 000000 000000 000000 000000*
L0029760 001000 000000 000000 000000*
L0029784 000000 000000 000000 000000*
L0029808 00000000 00000000 00001000 00000000*
L0029840 00000000 00000000 00110000 00000000*
L0029808 10000000 00100100 00000000 00000000*
L0029840 10010000 00000100 00000000 00000000*
L0029872 00000000 00000000 00000000 00000000*
L0029904 00000000 00000000 00000000 00000000*
L0029936 00000000 00000000 00000000 00000000*
L0029968 00000000 00000000 00000000 00000000*
L0030000 00000000 00000000 00101000 00000000*
L0030032 00000000 00000000 00000000 00000000*
L0029904 00100000 00000000 00000000 00000000*
L0029936 00000000 00001000 00000000 00000000*
L0029968 00000000 00100000 00000000 00000000*
L0030000 10000000 00001000 00000000 00000000*
L0030032 10000000 01000000 00000000 00000000*
L0030064 00000000 00000000 00000000 00000000*
L0030096 000000 000000 000000 000000*
L0030120 000000 000000 000000 000000*
L0030144 000000 000000 001100 000000*
L0030168 000000 000000 000000 000000*
L0030120 000000 001000 000000 000000*
L0030144 000000 011011 000000 000000*
L0030168 001100 010010 000000 000000*
L0030192 000000 000000 000000 000000*
L0030216 000000 000000 000000 000000*
L0030216 000000 001000 000000 000000*
L0030240 00000000 00000000 00000000 00000000*
L0030272 00000000 00000000 00000000 00000000*
L0030304 00000000 00000000 00000000 00000000*
1112,7 → 1112,7
L0030704 00000000 00000000 00000000 00000000*
L0030736 00000000 00000000 00000000 00000000*
L0030768 00000000 00000000 00000000 00000000*
L0030800 00000001 00000000 00000000 00000000*
L0030800 00000000 00000000 00000000 00000000*
L0030832 00000000 00000000 00000000 00000000*
L0030864 00000000 00000000 00000000 00000000*
L0030896 00000000 00000000 00000000 00000000*
1138,11 → 1138,11
L0031464 000000 000000 000000 000000*
L0031488 000000 000000 000000 000000*
L0031512 000000 000000 000000 000000*
L0031536 00000000 00000000 00000000 00000000*
L0031568 00000000 00000000 00000000 00000000*
L0031536 00000010 00000010 00000000 00000010*
L0031568 00000010 00000010 00000000 00000010*
L0031600 00000000 00000000 00000000 00000000*
L0031632 00000000 00000000 00000000 00000000*
L0031664 00000010 00000010 00000000 00000000*
L0031664 00000010 00000010 00000000 00000010*
L0031696 00000000 00000000 00000000 00000000*
L0031728 00000000 00000000 00000000 00000000*
L0031760 00000000 00000000 00000000 00000000*
1153,11 → 1153,11
L0031896 000000 000000 000000 000000*
L0031920 000000 000000 000000 000000*
L0031944 000000 000000 000000 000000*
L0031968 00000000 00000000 00000000 00000000*
L0031968 00000000 00000000 00000010 00000000*
L0032000 00000000 00000000 00000000 00000000*
L0032032 00000000 00000000 00000000 00000000*
L0032064 00000000 00000000 00000000 00000000*
L0032096 00000000 00000000 00000000 00000000*
L0032096 00000000 00000000 00000010 00000000*
L0032128 00000000 00000000 00000000 00000000*
L0032160 00000000 00000000 00000000 00000000*
L0032192 00000000 00000000 00000000 00000000*
1168,11 → 1168,11
L0032328 000000 000000 000000 000000*
L0032352 000000 000000 000000 000000*
L0032376 000000 000000 000000 000000*
L0032400 00000010 00000000 00000010 00000000*
L0032400 00000001 00000001 00000011 00000000*
L0032432 00000000 00000000 00000000 00000000*
L0032464 00000000 00000000 00000000 00000000*
L0032496 00000000 00000000 00000000 00000000*
L0032528 00000011 00000001 00000010 00000000*
L0032528 00000001 00000001 00000011 00000000*
L0032560 00000000 00000000 00000000 00000000*
L0032592 00000000 00000000 00000000 00000000*
L0032624 00000000 00000000 00000000 00000000*
1183,11 → 1183,11
L0032760 000000 000000 000000 000000*
L0032784 000000 000000 000000 000000*
L0032808 000000 000000 000000 000000*
L0032832 00000000 00000000 00000010 00000000*
L0032832 00000010 00000010 00000010 00000000*
L0032864 00000000 00000000 00000000 00000000*
L0032896 00000000 00000000 00000000 00000000*
L0032928 00000000 00000000 00000000 00000000*
L0032960 00000000 00000000 00000010 00000000*
L0032960 00000010 00000010 00000010 00000000*
L0032992 00000000 00000000 00000000 00000000*
L0033024 00000000 00000000 00000000 00000000*
L0033056 00000000 00000000 00000000 00000000*
1214,7 → 1214,7
L0033648 000000 000000 000000 000000*
L0033672 000000 000000 000000 000000*
L0033696 00000010 00000010 00000010 00000010*
L0033728 00000000 00000010 00000000 00000000*
L0033728 00000010 00000010 00000000 00000000*
L0033760 00000000 00000000 00000000 00000000*
L0033792 00000000 00000000 00000000 00000000*
L0033824 00000000 00000000 00000000 00000000*
1244,35 → 1244,35
L0034512 000000 000000 000000 000000*
L0034536 000000 000000 000000 000000*
L0034560 00000000 00000000 00000000 00000000*
L0034592 00000000 00000000 00000000 00000000*
L0034624 00000000 00000000 00000000 00000000*
L0034592 00000000 00001000 00000000 00000000*
L0034624 00000000 00001000 00000000 00000000*
L0034656 00000000 00000000 00000000 00000000*
L0034688 00000000 00000000 00000000 00000000*
L0034720 00000000 00000000 00000000 00000000*
L0034752 00000000 00000000 00000000 00000000*
L0034784 00000000 00000000 00000000 00000000*
L0034816 00000000 00000000 00000000 00000000*
L0034848 000000 000000 000000 000000*
L0034720 00100000 01000000 00000000 00000000*
L0034752 00100000 00000000 00000000 00000000*
L0034784 00100000 00000000 00000000 00000000*
L0034816 00010000 00001000 00000000 00000000*
L0034848 100100 000000 000000 000000*
L0034872 000000 000000 000000 000000*
L0034896 000000 000000 000000 000000*
L0034920 000000 000000 000000 000000*
L0034944 000000 000000 000000 000000*
L0034968 000000 000000 000000 000000*
L0034992 00000000 00000000 00000000 00000000*
L0035024 00000000 00000000 00000000 00000000*
L0035056 00000000 00000000 00000000 00000000*
L0035088 00000000 00000000 00000000 00000000*
L0035120 00000000 00000000 00000000 00000000*
L0035152 00000000 00000000 00000000 00000000*
L0035184 00000000 00000000 00000000 00000000*
L0035216 00000000 00000000 00000000 00000000*
L0035248 00000000 00000000 00000000 00000000*
L0035280 000000 000000 000000 000000*
L0035304 000000 000000 000000 000000*
L0035328 000000 000000 000000 000000*
L0035352 000000 000000 000000 000000*
L0034968 000000 010000 000000 000000*
L0034992 10000000 00110100 00000000 00000000*
L0035024 10000000 00100100 00000000 00000000*
L0035056 00110000 00100100 00000000 00000000*
L0035088 00100000 00001100 00000000 00000000*
L0035120 00000000 00001100 00000000 00000000*
L0035152 00000000 00100000 00010000 00000100*
L0035184 00000000 00100000 00000000 00000000*
L0035216 00000000 01000000 00000000 00000000*
L0035248 00100000 00000000 00000000 00000000*
L0035280 001000 000000 000000 000000*
L0035304 100100 000100 000000 000000*
L0035328 000100 000000 000000 000000*
L0035352 000100 000000 000000 000000*
L0035376 000000 000000 000000 000000*
L0035400 000000 000000 000000 000000*
L0035400 000000 001000 000000 000000*
L0035424 00000000 00000000 00000000 00000000*
L0035456 00000000 00000000 00000000 00000000*
L0035488 00000000 00000000 00000000 00000000*
1365,64 → 1365,64
L0037992 000000 000000 000000 000000*
L0038016 00000000 00000000 00000000 00000000*
L0038048 00000000 00000000 00000000 00000000*
L0038080 00000000 00000000 00000000 00000000*
L0038080 00000000 00100000 00000000 00000000*
L0038112 00000000 00000000 00000000 00000000*
L0038144 00000000 00000000 00000000 00000000*
L0038176 00000000 00000000 00000100 00000000*
L0038208 00000000 00000000 00000000 00000000*
L0038144 00000000 00000100 00000000 00000000*
L0038176 00000000 00000000 00000000 00000000*
L0038208 00000000 01000000 00000000 00000000*
L0038240 00000000 00000000 00000000 00000000*
L0038272 00000000 00000000 00000000 00000000*
L0038304 000000 000000 000000 000000*
L0038304 000000 000010 000000 000000*
L0038328 000000 000000 000000 000000*
L0038352 000000 000000 000000 000000*
L0038352 000000 000001 000000 000000*
L0038376 000000 000000 000000 000000*
L0038400 000000 000000 000000 000000*
L0038424 000000 000000 000000 000000*
L0038448 00000000 00000000 00000000 00000000*
L0038480 00000000 00000000 00111000 00000000*
L0038512 00000000 00000000 00000000 00000000*
L0038544 00000000 00000000 00000000 00000000*
L0038448 00000000 00010000 00000000 00000000*
L0038480 00000000 00100100 00001000 00000000*
L0038512 00000000 00000100 00000000 00000000*
L0038544 00000000 00000100 00000000 00000000*
L0038576 00000000 00000000 00000000 00000000*
L0038608 00000000 00000000 00000000 00000000*
L0038640 00000000 00000000 00101100 00000000*
L0038672 00000000 00000000 00000000 00000000*
L0038640 00000000 00100000 00000000 00000000*
L0038672 00000000 01001000 00000000 00000000*
L0038704 00000000 00000000 00000000 00000000*
L0038736 000000 000000 000000 000000*
L0038760 000000 000000 000000 000000*
L0038784 000000 000000 001100 000000*
L0038808 000000 000000 000000 000000*
L0038832 000000 000000 000000 000000*
L0038856 000000 000000 000000 000000*
L0038880 00000000 00000000 00000000 00000000*
L0038912 00000000 00000000 00000000 00000000*
L0038944 00000000 00000000 00000000 00000000*
L0038976 00000000 00000000 00000000 00000000*
L0039008 00000000 00000000 00000000 00000000*
L0039040 00000000 00000000 00000000 00000000*
L0039072 00000000 00000000 00000000 00000000*
L0039104 00000000 00000000 00000000 00000000*
L0039136 00000000 00000000 00000000 00000000*
L0039168 000000 000000 000000 000000*
L0039192 000000 000000 000000 000000*
L0039216 000000 000000 000000 000000*
L0039240 000000 000000 000000 000000*
L0038760 000000 000100 000000 000000*
L0038784 000000 001000 000000 000000*
L0038808 000000 011010 000000 000000*
L0038832 000000 011010 000000 000000*
L0038856 000000 001010 000000 000000*
L0038880 10000000 00110100 00000000 00000000*
L0038912 10000000 00101100 00000000 00000000*
L0038944 00110000 00100100 00000000 00000000*
L0038976 00100000 00001100 00000000 00000000*
L0039008 00000000 00001100 00000000 00000000*
L0039040 00100000 01100000 00000000 00000000*
L0039072 00000000 00100000 00000000 00000000*
L0039104 00100000 01000000 00000000 00000000*
L0039136 00110000 00000000 00000000 00000000*
L0039168 101100 000000 000000 000000*
L0039192 100100 000100 000000 000000*
L0039216 000100 000000 000000 000000*
L0039240 000100 000000 000000 000000*
L0039264 000000 000000 000000 000000*
L0039288 000000 000000 000000 000000*
L0039288 000000 001000 000000 000000*
L0039312 00000000 00000000 00000000 00000000*
L0039344 00000000 00000000 00000000 00000000*
L0039376 00000000 00000000 00000000 00000000*
L0039376 00000000 00001000 00000000 00000000*
L0039408 00000000 00000000 00000000 00000000*
L0039440 00000000 00000000 00000000 00000000*
L0039472 00000000 00000000 00000000 00000000*
L0039504 00000000 00000000 00000000 00000000*
L0039504 00100000 00000000 00000000 00000000*
L0039536 00000000 00000000 00000000 00000000*
L0039568 00000000 00000000 00000000 00000000*
L0039568 00000000 00001000 00000000 00000000*
L0039600 000000 000000 000000 000000*
L0039624 000000 000000 000000 000000*
L0039624 000000 000000 000010 000010*
L0039648 000000 000000 000000 000000*
L0039672 000000 000000 000000 000000*
L0039696 000000 000000 000000 000000*
L0039720 000000 000000 000000 000000*
L0039720 000000 010000 000000 000000*
L0039744 00000000 00000000 00000000 00000000*
L0039776 00000000 00000000 00000000 00000000*
L0039808 00000000 00000000 00000000 00000000*
1488,25 → 1488,25
L0041536 00000000 00000000 00000000 00000000*
L0041568 00000000 00000000 00000000 00000000*
L0041600 00000000 00000000 00000000 00000000*
L0041632 00100000 00000000 00000000 00000000*
L0041632 00000000 00000000 00000000 00000000*
L0041664 00000000 00000000 00000000 00000000*
L0041696 00000000 00000000 00000000 00000000*
L0041728 10100000 00000000 00000000 00000000*
L0041760 000100 000000 000000 000000*
L0041728 00000000 00000000 00000000 00000000*
L0041760 000000 000000 000000 000000*
L0041784 000000 000000 000000 000000*
L0041808 000010 000000 000000 000000*
L0041808 000000 000000 000000 000000*
L0041832 000000 000000 000000 000000*
L0041856 000000 000000 000000 000000*
L0041880 000000 000000 000000 000000*
L0041904 00000000 00000000 00000000 00000000*
L0041936 00000100 00000000 00000000 00000000*
L0041936 00000000 00000000 00000000 00000000*
L0041968 00000000 00000000 00000000 00000000*
L0042000 00000000 00000000 00000000 00000000*
L0042032 00000000 00000000 00000000 00000000*
L0042064 00000000 00000000 00000000 00000000*
L0042096 00100000 00000000 00000000 00000000*
L0042128 10110000 00000000 00000000 00000000*
L0042160 00010000 00000000 00000000 00000000*
L0042096 00000000 00000000 00000000 00000000*
L0042128 00000000 00000000 00000000 00000000*
L0042160 00000000 00000000 00000000 00000000*
L0042192 000000 000000 000000 000000*
L0042216 000000 000000 000000 000000*
L0042240 000000 000000 000000 000000*
1603,35 → 1603,35
L0044856 000000 000000 000000 000000*
L0044880 000000 000000 000000 000000*
L0044904 000000 000000 000000 000000*
L0044928 00000000 00000000 00000000 00000000*
L0044960 00000000 00000000 00000000 00000000*
L0044992 00000000 00000000 00000000 00000000*
L0045024 00000000 00000000 00000000 00000000*
L0045056 00000000 00000000 00000000 00000000*
L0044928 00010000 00010000 00000000 00000000*
L0044960 00010000 00100000 00000000 00000000*
L0044992 00000000 00100000 00000000 00000000*
L0045024 00000000 00000100 00000000 00000000*
L0045056 00000000 00000100 00000000 00000000*
L0045088 00000000 00000000 00000000 00000000*
L0045120 00100000 00001000 00000000 00000000*
L0045152 00100000 00000000 00000000 00000000*
L0045184 00000000 00001000 00000000 00000000*
L0045216 000100 000000 000000 000000*
L0045240 000000 000000 000000 000000*
L0045264 000000 000000 000000 000000*
L0045288 000000 000000 000000 000000*
L0045312 000000 000000 000000 000000*
L0045336 000000 010000 000000 000000*
L0045360 00000000 00000000 00000000 00000000*
L0045392 00000000 00000000 00000000 00000000*
L0045424 00000000 00000000 00000000 00000000*
L0045120 00000000 01000000 00000000 00000000*
L0045152 00000000 00001000 00000000 00000000*
L0045184 00000000 00000000 00000000 00000000*
L0045216 000000 000010 000000 000000*
L0045240 000000 000100 000000 000000*
L0045264 000100 000000 000000 000000*
L0045288 000100 001000 000000 000000*
L0045312 000000 010000 000000 000000*
L0045336 000000 000010 000000 000000*
L0045360 10000000 00100100 00000000 00000000*
L0045392 00000000 00000000 00001000 00000000*
L0045424 00110000 00000100 00000000 00000000*
L0045456 00000000 00000000 00000000 00000000*
L0045488 00000000 00000000 00000000 00000000*
L0045520 00000000 00000000 00000000 00000000*
L0045552 10010000 00000000 00000000 00000000*
L0045584 10010000 00000000 00000000 00000000*
L0045616 00100000 00000000 00000000 00000000*
L0045488 00000000 00001000 00000000 00000000*
L0045520 00000000 00100000 00000000 00000000*
L0045552 10010000 00101000 00000100 00000000*
L0045584 00010000 00000000 00000000 00000000*
L0045616 10100000 00000000 00000000 00000000*
L0045648 000000 000000 000000 000000*
L0045672 000000 001000 000000 000000*
L0045696 000010 011010 000000 000000*
L0045720 000000 010010 000000 000000*
L0045744 000000 000000 000000 000000*
L0045672 101100 001000 000000 000000*
L0045696 001000 010011 000000 000000*
L0045720 000000 000000 000000 000000*
L0045744 000000 001010 000000 000000*
L0045768 000000 000000 000000 000000*
L0045792 00000000 00000000 00000000 00000000*
L0045824 00000000 00000000 00000000 00000000*
1663,5 → 1663,6
L0046584 000000 000000 000000 000000*
L0046608 000000 000000 000000 000000*
L0046632 000000 000000 000000 000000*
C939A*
C53A
CD786*
UH0000D786*
C988
/phr/trunk/codigo/cpld/test1/test1.xise
33,6 → 33,8
</files>
 
<properties>
<property xil_pn:name="Autosignature Generation" xil_pn:value="true" xil_pn:valueState="non-default"/>
<property xil_pn:name="Create IEEE 1532 Configuration File" xil_pn:value="true" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device" xil_pn:value="xc9572xl" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Family" xil_pn:value="XC9500XL CPLDs" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Architecture|test1|Behavioral" xil_pn:valueState="non-default"/>
48,6 → 50,7
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Target UCF File Name" xil_pn:value="test1.ucf" xil_pn:valueState="non-default"/>
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
<property xil_pn:name="User Browsed Strategy Files" xil_pn:value="/opt/Xilinx/12.3/ISE_DS/ISE/data/default.xds" xil_pn:valueState="non-default"/>
<property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
<!-- -->
<!-- The following properties are for internal use only. These should not be modified.-->

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.