OpenCores
URL https://opencores.org/ocsvn/phr/phr/trunk

Subversion Repositories phr

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 334 to Rev 335
    Reverse comparison

Rev 334 → Rev 335

/phr/trunk/codigo/cpld/test2/test2.vhd
0,0 → 1,60
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 21:39:28 06/10/2014
-- Design Name:
-- Module Name: test2 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
 
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
 
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
 
entity test2 is
Port ( clock : in STD_LOGIC; -- 730Hz
sw : in STD_LOGIC_VECTOR (7 downto 0);
btn : in STD_LOGIC_VECTOR (4 downto 0);
seg : out STD_LOGIC_VECTOR (7 downto 0);
an : out STD_LOGIC_VECTOR (3 downto 0);
led : out STD_LOGIC_VECTOR (7 downto 0));
end test2;
 
architecture Behavioral of test2 is
 
begin
 
count:process(clock)
begin
if(clock'event and clock='1') then
if(btn(0) = '1') then
Scount<=(others=>'0');
else
Scount <= Scount + 1;
end if;
end if;
end process;
 
 
led <= not sw;
 
end Behavioral;
 
/phr/trunk/codigo/cpld/test2/test2.gise
0,0 → 1,127
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
 
<!-- -->
 
<!-- For tool use only. Do not edit. -->
 
<!-- -->
 
<!-- ProjectNavigator created generated project file. -->
 
<!-- For use in tracking generated file and other information -->
 
<!-- allowing preservation of process status. -->
 
<!-- -->
 
<!-- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. -->
 
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
 
<sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="test2.xise"/>
 
<files xmlns="http://www.xilinx.com/XMLSchema">
<file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="_ngo"/>
<file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
<file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/xst.xmsgs"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGDBUILD_LOG" xil_pn:name="test2.bld"/>
<file xil_pn:fileType="FILE_CMD_LOG" xil_pn:name="test2.cmd_log"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_GYD" xil_pn:name="test2.gyd"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_JEDEC" xil_pn:name="test2.jed"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_LSO" xil_pn:name="test2.lso"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_MFD" xil_pn:name="test2.mfd"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGA" xil_pn:name="test2.nga"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="test2.ngc"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGD" xil_pn:name="test2.ngd"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGR" xil_pn:name="test2.ngr"/>
<file xil_pn:fileType="FILE_PAD_MISC" xil_pn:name="test2.pad"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PNX" xil_pn:name="test2.pnx"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="test2.prj"/>
<file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="test2.rpt"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_STX" xil_pn:name="test2.stx"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_REPORT" xil_pn:name="test2.syr"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VM6" xil_pn:name="test2.vm6"/>
<file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="test2.xml"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST" xil_pn:name="test2.xst"/>
<file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="test2_build.xml"/>
<file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="test2_html"/>
<file xil_pn:fileType="FILE_XRPT" xil_pn:name="test2_ngdbuild.xrpt"/>
<file xil_pn:fileType="FILE_PAD_EXCEL_REPORT" xil_pn:name="test2_pad.csv"/>
<file xil_pn:fileType="FILE_HTML" xil_pn:name="test2_summary.html"/>
<file xil_pn:fileType="FILE_XRPT" xil_pn:name="test2_xst.xrpt"/>
<file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="webtalk_pn.xml"/>
<file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="xlnx_auto_0_xdb"/>
<file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="xst"/>
</files>
 
<transforms xmlns="http://www.xilinx.com/XMLSchema">
<transform xil_pn:end_ts="1402447267" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1402447266">
<status xil_pn:value="SuccessfullyRun"/>
</transform>
<transform xil_pn:end_ts="1402447267" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-8572194374040596859" xil_pn:start_ts="1402447267">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1402447276" xil_pn:in_ck="167600982059842" xil_pn:name="TRANEXT_xstsynthesize_xc9500xl" xil_pn:prop_ck="9158618408949729856" xil_pn:start_ts="1402447267">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="InputChanged"/>
<outfile xil_pn:name="_xmsgs/xst.xmsgs"/>
<outfile xil_pn:name="test2.lso"/>
<outfile xil_pn:name="test2.ngc"/>
<outfile xil_pn:name="test2.ngr"/>
<outfile xil_pn:name="test2.prj"/>
<outfile xil_pn:name="test2.stx"/>
<outfile xil_pn:name="test2.syr"/>
<outfile xil_pn:name="test2.xst"/>
<outfile xil_pn:name="test2_xst.xrpt"/>
<outfile xil_pn:name="webtalk_pn.xml"/>
<outfile xil_pn:name="xst"/>
</transform>
<transform xil_pn:end_ts="1402447276" xil_pn:in_ck="167600980872669" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="-5168503112142248374" xil_pn:start_ts="1402447276">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1402447282" xil_pn:in_ck="-3224364869354291307" xil_pn:name="TRAN_ngdbuild" xil_pn:prop_ck="-2808641355923232019" xil_pn:start_ts="1402447276">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<outfile xil_pn:name="_ngo"/>
<outfile xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
<outfile xil_pn:name="test2.bld"/>
<outfile xil_pn:name="test2.ngd"/>
<outfile xil_pn:name="test2_ngdbuild.xrpt"/>
</transform>
<transform xil_pn:end_ts="1402447289" xil_pn:in_ck="167600982051097" xil_pn:name="TRANEXT_vm6File_xc9500xl" xil_pn:prop_ck="-364702554236015080" xil_pn:start_ts="1402447282">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<outfile xil_pn:name="test2.gyd"/>
<outfile xil_pn:name="test2.mfd"/>
<outfile xil_pn:name="test2.nga"/>
<outfile xil_pn:name="test2.pad"/>
<outfile xil_pn:name="test2.pnx"/>
<outfile xil_pn:name="test2.rpt"/>
<outfile xil_pn:name="test2.vm6"/>
<outfile xil_pn:name="test2.xml"/>
<outfile xil_pn:name="test2_build.xml"/>
<outfile xil_pn:name="test2_html"/>
<outfile xil_pn:name="test2_pad.csv"/>
</transform>
<transform xil_pn:end_ts="1402448341" xil_pn:in_ck="167600982059961" xil_pn:name="TRANEXT_crtProg_xc9500" xil_pn:prop_ck="-9203742317728595283" xil_pn:start_ts="1402448339">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<outfile xil_pn:name="test2.jed"/>
</transform>
<transform xil_pn:end_ts="1402447289" xil_pn:in_ck="167600982059961" xil_pn:name="TRAN_timRpt" xil_pn:prop_ck="111903974446" xil_pn:start_ts="1402447288">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
</transform>
</transforms>
 
</generated_project>
/phr/trunk/codigo/cpld/test2/test2.xise
0,0 → 1,201
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
 
<header>
<!-- ISE source project file created by Project Navigator. -->
<!-- -->
<!-- This file contains project source information including a list of -->
<!-- project source files, project and process properties. This file, -->
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
<!-- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. -->
</header>
 
<version xil_pn:ise_version="12.3" xil_pn:schema_version="2"/>
 
<files>
<file xil_pn:name="test2.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="test1.ucf" xil_pn:type="FILE_UCF">
<association xil_pn:name="Implementation"/>
</file>
</files>
 
<properties>
<property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Top" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Autosignature Generation" xil_pn:value="true" xil_pn:valueState="non-default"/>
<property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bus Delimiter" xil_pn:value="&lt;>" xil_pn:valueState="default"/>
<property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/>
<property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Clock Enable" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Collapsing Input Limit (2-54)" xil_pn:value="54" xil_pn:valueState="default"/>
<property xil_pn:name="Collapsing Pterm Limit (1-90)" xil_pn:value="25" xil_pn:valueState="default"/>
<property xil_pn:name="Compile CPLD Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile uni9000 (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Name" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Create IEEE 1532 Configuration File" xil_pn:value="true" xil_pn:valueState="non-default"/>
<property xil_pn:name="Create Programmable GND Pins on Unused I/O" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Data Flow window" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Default Powerup Value of Registers" xil_pn:value="Low" xil_pn:valueState="default"/>
<property xil_pn:name="Delay Values To Be Read from SDF ModelSim" xil_pn:value="Setup Time" xil_pn:valueState="default"/>
<property xil_pn:name="Device" xil_pn:value="xc9572xl" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Family" xil_pn:value="XC9500XL CPLDs" xil_pn:valueState="non-default"/>
<property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Message Filtering" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Exhaustive Fit Mode" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Multiple Hierarchical Netlist Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Fit Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate RTL Schematic" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Generate SAIF File for Power Optimization/Estimation" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Testbench File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Verbose Library Compilation Messages" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="HDL Equations Style" xil_pn:value="Source" xil_pn:valueState="default"/>
<property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/>
<property xil_pn:name="I/O Pin Termination" xil_pn:value="Keeper" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore Pre-Compiled Library Warning Check" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Template" xil_pn:value="Optimize Balance" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Architecture|test2|Behavioral" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top File" xil_pn:value="test2.vhd" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/test2" xil_pn:valueState="non-default"/>
<property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include sdf_annotate task in Verilog File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Keep Hierarchy CPLD" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Last Applied Goal" xil_pn:value="Balanced" xil_pn:valueState="default"/>
<property xil_pn:name="Last Applied Strategy" xil_pn:value="Xilinx Default (unlocked)" xil_pn:valueState="default"/>
<property xil_pn:name="Last Unlock Status" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="List window" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Log All Signals In Behavioral Simulation" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Log All Signals In Post-Fit Simulation" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Logic Optimization" xil_pn:value="Speed" xil_pn:valueState="default"/>
<property xil_pn:name="Macro Preserve" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Macrocell Power Setting" xil_pn:value="Std" xil_pn:valueState="default"/>
<property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/>
<property xil_pn:name="ModelSim Post-Fit UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Mux Extraction" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Effort" xil_pn:value="Normal" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/>
<property xil_pn:name="Other CPLD Fitter Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compxlib Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other NETGEN Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Ngdbuild Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Programming Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Timing Report Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other VCOM Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other VLOG Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other VSIM Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Output File Name" xil_pn:value="test2" xil_pn:valueState="default"/>
<property xil_pn:name="Output Slew Rate" xil_pn:value="Fast" xil_pn:valueState="default"/>
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Package" xil_pn:value="VQ44" xil_pn:valueState="non-default"/>
<property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/>
<property xil_pn:name="Post Place &amp; Route Simulation Model Name" xil_pn:value="test2_timesim.vhd" xil_pn:valueState="default"/>
<property xil_pn:name="Preferred Language" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Preserve Unused Inputs" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Process window" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Produce Advanced Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Project Description" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Entity to" xil_pn:value="test2" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Module To" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Signal window" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Signature /User Code" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Model Target" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Resolution" xil_pn:value="Default (1 ps)" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Modelsim" xil_pn:value="1000ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulator" xil_pn:value="Modelsim-XE VHDL" xil_pn:valueState="non-default"/>
<property xil_pn:name="Source window" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Speed Grade" xil_pn:value="-5" xil_pn:valueState="default"/>
<property xil_pn:name="Structure window" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Report Format" xil_pn:value="Summary" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
<property xil_pn:name="Use Automatic Do File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Configuration Name" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Do File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Do File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Explicit Declarations Only" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Global Clocks" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Global Output Enables" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Global Set/Reset" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Location Constraints" xil_pn:value="Always" xil_pn:valueState="default"/>
<property xil_pn:name="Use Multi-level Logic Optimization" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Timing Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="VCCIO Reference Voltage" xil_pn:value="LVTTL" xil_pn:valueState="default"/>
<property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/>
<property xil_pn:name="VHDL Syntax" xil_pn:value="93" xil_pn:valueState="default"/>
<property xil_pn:name="Variables window" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog 2001 Xst" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="WYSIWYG" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Wave window" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
<property xil_pn:name="XOR Preserve" xil_pn:value="true" xil_pn:valueState="default"/>
<!-- -->
<!-- The following properties are for internal use only. These should not be modified.-->
<!-- -->
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="test2" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="xc9500xl" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostFitSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PreSynthesis" xil_pn:value="PreSynthesis" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2014-06-10T21:39:07" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="A349E49D7FC7520B81CAB1084AB46A8C" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
</properties>
 
<bindings/>
 
<libraries/>
 
<autoManagedFiles>
<!-- The following files are identified by `include statements in verilog -->
<!-- source files and are automatically managed by Project Navigator. -->
<!-- -->
<!-- Do not hand-edit this section, as it will be overwritten when the -->
<!-- project is analyzed based on files automatically identified as -->
<!-- include files. -->
</autoManagedFiles>
 
</project>
/phr/trunk/codigo/cpld/test2/test2.prj
0,0 → 1,201
vhdl work "test2.vhd"
/phr/trunk/codigo/cpld/test2/test1.ucf
0,0 → 1,49
NET clock LOC=P1;
# --- LEDS---
NET led<0> LOC=P13;
NET led<1> LOC=P18;
NET led<2> LOC=P22;
NET led<3> LOC=P21;
NET led<4> LOC=P20;
NET led<5> LOC=P27;
NET led<6> LOC=P28;
NET led<7> LOC=P30;
# --- PUSH BOTTON ---
NET btn<0> LOC=P7;
NET btn<1> LOC=P6;
NET btn<2> LOC=P16;
NET btn<3> LOC=P8;
NET btn<4> LOC=P29;
# --- SWITCHES---
NET sw<0> LOC=P32;
NET sw<1> LOC=P40;
NET sw<2> LOC=P38;
NET sw<3> LOC=P34;
NET sw<4> LOC=P41;
NET sw<5> LOC=P43;
NET sw<6> LOC=P2;
NET sw<7> LOC=P5;
 
# --- DISPLAY ---
NET seg<0> LOC=P31; #Seg A
NET seg<1> LOC=P33; #Seg B
NET seg<2> LOC=P39; #Seg C
NET seg<3> LOC=P37; #Seg D
NET seg<4> LOC=P36; #Seg E
NET seg<5> LOC=P42; #Seg F
NET seg<6> LOC=P44; #Seg G
NET seg<7> LOC=P3; #Seg DP
NET an<0> LOC=P12;
NET an<1> LOC=P14;
NET an<2> LOC=P19;
NET an<3> LOC=P23;
/phr/trunk/codigo/cpld/test2/test2.isc
0,0 → 1,420
IEEE_1532_Data
(Header (STD_Version STD_1532_2001
Creation_Date 20140610.2159
Creator "hprep6"
Entity xc9572xl_vq44_1532
)
Data array (
Initialize (00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000)
Repeat (00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,02000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,20000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,80000000,00000000,
00000000,40804000,80000000,00800000,
c0000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000200,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
80000000,00000000,00000000,40804000,
80000000,00800000,c0000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
80000000,00000000,00000000,40804000,
80000000,00800000,c0000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,80000000,00000000,
00000000,40804000,80000000,00800000,
c0000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00200000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,80000000,00000000,
00000000,40804000,80000000,00800000,
c0000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,80000000,00000000,00000000,
40804000,80000000,00800000,c0000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,40000000,40000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,40000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00800000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00004000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00100000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00400000,
80400000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,80000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,80000000,80000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,40404000,
00000000,00000000,00000000,00000000,
00000000,40404040,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
08000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
04000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,10000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000,00000000,00000000,00000000,
00000000)
data_CRC (2b2244e9)
),
Data usercode (
Initialize (00000000)
)
)
5447

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.