OpenCores
URL https://opencores.org/ocsvn/iqcorrection/iqcorrection/trunk

Subversion Repositories iqcorrection

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 37 to Rev 38
    Reverse comparison

Rev 37 → Rev 38

/iqcorrection/trunk/IQGainPhaseCorrection_entity.vhd
0,0 → 1,24
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
 
 
 
entity IQGainPhaseCorrection is
 
generic(width:natural);
 
port(
clk :in std_logic;
x1 :in signed(width-1 downto 0);
y1 :in signed(width-1 downto 0);
gain_error :out signed(width-1 downto 0);
gain_lock :out bit;
phase_error :out signed(width-1 downto 0);
phase_lock :out bit;
corrected_x1 :out signed(width-1 downto 0);
corrected_y1 :out signed(width-1 downto 0)
);
 
end IQGainPhaseCorrection;
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.