URL
https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk
Subversion Repositories bluespec-h264
Compare Revisions
- This comparison shows the changes necessary to convert path
/
- from Rev 38 to Rev 39
- ↔ Reverse comparison
Rev 38 → Rev 39
/trunk/src/mkDeblockFilter.bsv
382,6 → 382,7
|
// Debugging register |
Reg#(Bit#(32)) fifo_full_count <- mkReg(0); |
Reg#(Bit#(32)) fifo_empty_count <- mkReg(0); |
Reg#(Bit#(32)) total_cycles <- mkReg(0); |
|
//----------------------------------------------------------- |
391,6 → 392,13
total_cycles <= total_cycles + 1; |
endrule |
|
rule emptyFIFO; |
if(!infifo.notEmpty) |
begin |
fifo_empty_count <= fifo_empty_count + 1; |
$display("DEBLOCK FIFO EMPTY: %d of %d",fifo_empty_count, total_cycles); |
end |
endrule |
|
rule checkFIFO ( True ); |
$display( "Trace DeblockFilter: checkFIFO %h cycle: %d", infifo.first(), total_cycles ); |
399,7 → 407,7
begin |
fifo_full_count <= fifo_full_count + 1; |
$display("DEBLOCK FIFO FULL: %d of %d",fifo_full_count, total_cycles); |
end |
end |
endrule |
|
rule memReqMergeRowToColumnConversion; |