OpenCores
URL https://opencores.org/ocsvn/amber/amber/trunk

Subversion Repositories amber

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 39 to Rev 40
    Reverse comparison

Rev 39 → Rev 40

/amber/trunk/doc/amber-spec.pdf Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/amber/trunk/doc/ReleaseChecklist.txt
1,7 → 1,11
1. Run all hw tests using both A23 and A25 using all cache size configurations for Spartan6, Virtex6 and generic libs.
2. Synthesise both a23 and a25 cores.
1. Run all tests in $AMBER_BASE/hw/tests with all combinations of the following;
- Core: A23/A25
- Library: Spartan6/Virtex6/Generic
- AMBER_WISHBONE_DEBUG: on/off
 
2. Run boot-loader, ethmac-test, dhry and vmlinux with A23/A25
 
3. Synthesise both a23 and a25 cores.
Both cores must pass timing; Spartan6 at 40MHz, Virtex6 at 80Mhz, with max caches
Check all synthesis warnings, clean up as many as possible.
 
 
/amber/trunk/sw/vmlinux/vmlinux Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
amber/trunk/sw/vmlinux/vmlinux Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: amber/trunk/sw/vmlinux/README.txt =================================================================== --- amber/trunk/sw/vmlinux/README.txt (revision 39) +++ amber/trunk/sw/vmlinux/README.txt (revision 40) @@ -4,12 +4,27 @@ patch-2.4.27-amber2.bz2 Amber Linux patch file patch-2.4.27-vrs1.bz2 ARM Linux patch file README.txt This file +vmlinux Kernel executable file vmlinux.dis Kernel disassembly file vmlinux.mem Kernel .mem file for Verilog simulations If you build the kernal from source these 2 files get replaced. +# +++++++++++++++++++++++++++++++++++++++++++ +# How to run Amber Linux kernel on a development board +# +++++++++++++++++++++++++++++++++++++++++++ +1. Download the bitfile to configure the FPGA using Impact ir Chipscope +2. Connect HyperTerminal to the serial port on the FPGA to connect to the boot loader +3. Download the disk image +> b 800000 +Then select the file $AMBER_BASE/sw/vmlinux/initrd to transfer +4. Download the kernel image +> l +Then select the file $AMBER_BASE/sw/vmlinux/vmlinux to transfer +5. Execute th ekernel +> j + # +++++++++++++++++++++++++++++++++++++++++++ # How to build Amber Linux kernel from source # +++++++++++++++++++++++++++++++++++++++++++
/amber/trunk/sw/boot-loader/boot-loader.c
243,7 → 243,8
void load_run( int type, unsigned int address )
{
int file_size;
char * message = "Send file w/ 1K Xmodem protocol from terminal emulator now...\n";
/* testing tyhe boot loader itself in simulation */
if ( type == 2 ) {
print_help();
255,7 → 256,7
/* Load a file but don't run it */
else if ( type == 1 ) {
/* Load a file using the xmodem protocol */
printf ("Send file w/ 1K Xmodem protocol from terminal emulator now...\n");
printf ("%s", message);
 
/* Destination, Destination Size */
file_size = xmodemReceive((char *) FILE_LOAD_BASE, FILE_MAX_SIZE);
276,6 → 277,8
 
/* Load a binary file into memory */
else if ( type == 5 ) {
/* Load a file using the xmodem protocol */
printf ("%s", message);
/* Destination, Destination Size */
file_size = xmodemReceive((char *) address, FILE_MAX_SIZE);
if (file_size < 0 || file_size > FILE_MAX_SIZE) {
/amber/trunk/hw/tools/run.sh
149,10 → 149,18
#--------------------------------------------------------
 
if [ $SET_G == 1 ]; then
RUN_OPTIONS="-do cmd.do"
if [ $SET_5 == 1 ]; then
RUN_OPTIONS="-do cmd_a25.do"
else
RUN_OPTIONS="-do cmd_a23.do"
fi
else
if [ $SET_L == 1 ]; then
RUN_OPTIONS="${RUN_OPTIONS} -c -do run-log.do"
if [ $SET_5 == 1 ]; then
RUN_OPTIONS="${RUN_OPTIONS} -c -do run_log_a25.do"
else
RUN_OPTIONS="${RUN_OPTIONS} -c -do run_log_a23.do"
fi
else
RUN_OPTIONS="${RUN_OPTIONS} -c -do run.do"
fi
/amber/trunk/hw/tests/timeouts.txt
1,6 → 1,5
flow4 3936
tmp 2756
add 3576
adc 3228
sub 3356
sbc 4256
58,3 → 57,4
inflate_bug 3220
swp_lock_bug 3220
cache_swap_bug 25448
add 1692
/amber/trunk/hw/vlog/system/boot_mem128.v
64,22 → 64,43
 
wire start_write;
wire start_read;
reg start_read_r = 'd0;
wire [WB_DWIDTH-1:0] read_data;
wire [WB_DWIDTH-1:0] write_data;
wire [WB_SWIDTH-1:0] byte_enable;
wire [MADDR_WIDTH-1:0] address;
 
`ifdef AMBER_WISHBONE_DEBUG
reg [7:0] jitter_r = 8'h0f;
reg [1:0] start_read_r = 'd0;
`else
reg start_read_r = 'd0;
`endif
 
// Can't start a write while a read is completing. The ack for the read cycle
// needs to be sent first
assign start_write = i_wb_stb && i_wb_we && !start_read_r;
assign start_read = i_wb_stb && !i_wb_we && !start_read_r;
`ifdef AMBER_WISHBONE_DEBUG
assign start_write = i_wb_stb && i_wb_we && !(|start_read_r) && jitter_r[0];
`else
assign start_write = i_wb_stb && i_wb_we && !(|start_read_r);
`endif
assign start_read = i_wb_stb && !i_wb_we && !(|start_read_r);
 
`ifdef AMBER_WISHBONE_DEBUG
always @( posedge i_wb_clk )
jitter_r <= {jitter_r[6:0], jitter_r[7] ^ jitter_r[4] ^ jitter_r[1]};
always @( posedge i_wb_clk )
if (start_read)
start_read_r <= {3'd0, start_read};
else if (o_wb_ack)
start_read_r <= 'd0;
else
start_read_r <= {start_read_r[2:0], start_read};
`else
always @( posedge i_wb_clk )
start_read_r <= start_read;
`endif
 
always @( posedge i_wb_clk )
start_read_r <= start_read;
 
assign o_wb_err = 1'd0;
 
assign write_data = i_wb_dat;
86,9 → 107,14
assign byte_enable = i_wb_sel;
assign o_wb_dat = read_data;
assign address = i_wb_adr[MADDR_WIDTH+3:4];
assign o_wb_ack = i_wb_stb && ( start_write || start_read_r );
 
`ifdef AMBER_WISHBONE_DEBUG
assign o_wb_ack = i_wb_stb && ( start_write || start_read_r[jitter_r[1]] );
`else
assign o_wb_ack = i_wb_stb && ( start_write || start_read_r );
`endif
 
 
// ------------------------------------------------------
// Instantiate SRAMs
// ------------------------------------------------------
/amber/trunk/hw/vlog/system/system_config_defines.v
72,6 → 72,9
// Debug switches
// --------------------------------------------------------------------
 
// Add jitter to wishbone accesses
//`define AMBER_WISHBONE_DEBUG
 
// Print UART debug messages
//`define AMBER_UART_DEBUG
 
/amber/trunk/hw/vlog/system/boot_mem32.v
63,7 → 63,12
 
wire start_write;
wire start_read;
reg start_read_r = 'd0;
`ifdef AMBER_WISHBONE_DEBUG
reg [7:0] jitter_r = 8'h0f;
reg [1:0] start_read_r = 'd0;
`else
reg start_read_r = 'd0;
`endif
wire [WB_DWIDTH-1:0] read_data;
wire [WB_DWIDTH-1:0] write_data;
wire [WB_SWIDTH-1:0] byte_enable;
72,12 → 77,29
 
// Can't start a write while a read is completing. The ack for the read cycle
// needs to be sent first
assign start_write = i_wb_stb && i_wb_we && !start_read_r;
`ifdef AMBER_WISHBONE_DEBUG
assign start_write = i_wb_stb && i_wb_we && !(|start_read_r) && jitter_r[0];
`else
assign start_write = i_wb_stb && i_wb_we && !(|start_read_r);
`endif
assign start_read = i_wb_stb && !i_wb_we && !start_read_r;
 
 
always @( posedge i_wb_clk )
start_read_r <= start_read;
`ifdef AMBER_WISHBONE_DEBUG
always @( posedge i_wb_clk )
jitter_r <= {jitter_r[6:0], jitter_r[7] ^ jitter_r[4] ^ jitter_r[1]};
always @( posedge i_wb_clk )
if (start_read)
start_read_r <= {3'd0, start_read};
else if (o_wb_ack)
start_read_r <= 'd0;
else
start_read_r <= {start_read_r[2:0], start_read};
`else
always @( posedge i_wb_clk )
start_read_r <= start_read;
`endif
 
assign o_wb_err = 1'd0;
 
85,8 → 107,13
assign byte_enable = i_wb_sel;
assign o_wb_dat = read_data;
assign address = i_wb_adr[MADDR_WIDTH+1:2];
assign o_wb_ack = i_wb_stb && ( start_write || start_read_r );
 
`ifdef AMBER_WISHBONE_DEBUG
assign o_wb_ack = i_wb_stb && ( start_write || start_read_r[jitter_r[1]] );
`else
assign o_wb_ack = i_wb_stb && ( start_write || start_read_r );
`endif
 
// ------------------------------------------------------
// Instantiate SRAMs
// ------------------------------------------------------
/amber/trunk/hw/sim/wave_a23.do
0,0 → 1,127
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -format Literal -radix decimal -radixenum numeric /tb/clk_count
add wave -noupdate -expand -group System -height 20 -group {uart 0} -format Logic /tb/u_system/u_uart0/i_uart_rxd
add wave -noupdate -expand -group System -height 20 -group {uart 0} -format Literal {/tb/u_system/u_uart0/rx_fifo[0]}
add wave -noupdate -expand -group System -height 20 -group {uart 0} -format Logic /tb/u_system/u_uart0/fifo_enable
add wave -noupdate -expand -group System -height 20 -group {uart 0} -format Logic /tb/u_system/u_uart0/rx_fifo_push
add wave -noupdate -expand -group System -height 20 -group {uart 0} -format Logic /tb/u_system/u_uart0/rx_fifo_push_not_full
add wave -noupdate -expand -group System -height 20 -group {uart 0} -format Literal /tb/u_system/u_uart0/rx_byte
add wave -noupdate -expand -group System -height 20 -group {uart 0} -format Literal -radix ascii /tb/u_system/u_uart0/xRXD_STATE
add wave -noupdate -expand -group System -height 20 -group {uart 0} -format Literal -radix decimal /tb/u_system/u_uart0/TX_BITADJUST_COUNT
add wave -noupdate -expand -group System -height 20 -group {uart 0} -format Literal -radix decimal /tb/u_system/u_uart0/TX_BITPULSE_COUNT
add wave -noupdate -expand -group System -height 20 -group {uart 0} -format Literal -radix ascii /tb/u_system/u_uart0/xTXD_STATE
add wave -noupdate -expand -group System -height 20 -group tb_uart -format Logic /tb/u_tb_uart/i_uart_rxd
add wave -noupdate -expand -group System -height 20 -group tb_uart -format Logic /tb/u_tb_uart/o_uart_txd
add wave -noupdate -expand -group System -height 20 -group tb_uart -format Literal /tb/u_tb_uart/rx_bit_count
add wave -noupdate -expand -group System -height 20 -group tb_uart -format Logic /tb/u_tb_uart/rx_bit_start
add wave -noupdate -expand -group System -height 20 -group tb_uart -format Literal /tb/u_tb_uart/rx_byte
add wave -noupdate -expand -group System -height 20 -group {DDR3 Bus} -format Literal /tb/u_system/ddr3_addr
add wave -noupdate -expand -group System -height 20 -group {DDR3 Bus} -format Literal /tb/u_system/ddr3_ba
add wave -noupdate -expand -group System -height 20 -group {DDR3 Bus} -format Logic /tb/u_system/ddr3_cas_n
add wave -noupdate -expand -group System -height 20 -group {DDR3 Bus} -format Logic /tb/u_system/ddr3_ck_n
add wave -noupdate -expand -group System -height 20 -group {DDR3 Bus} -format Logic /tb/u_system/ddr3_ck_p
add wave -noupdate -expand -group System -height 20 -group {DDR3 Bus} -format Logic /tb/u_system/ddr3_cke
add wave -noupdate -expand -group System -height 20 -group {DDR3 Bus} -format Literal /tb/u_system/ddr3_dm
add wave -noupdate -expand -group System -height 20 -group {DDR3 Bus} -format Literal /tb/u_system/ddr3_dq
add wave -noupdate -expand -group System -height 20 -group {DDR3 Bus} -format Literal /tb/u_system/ddr3_dqs_n
add wave -noupdate -expand -group System -height 20 -group {DDR3 Bus} -format Literal /tb/u_system/ddr3_dqs_p
add wave -noupdate -expand -group System -height 20 -group {DDR3 Bus} -format Logic /tb/u_system/ddr3_odt
add wave -noupdate -expand -group System -height 20 -group {DDR3 Bus} -format Logic /tb/u_system/ddr3_ras_n
add wave -noupdate -expand -group System -height 20 -group {DDR3 Bus} -format Logic /tb/u_system/ddr3_reset_n
add wave -noupdate -expand -group System -height 20 -group {DDR3 Bus} -format Logic /tb/u_system/ddr3_we_n
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Literal /tb/u_system/u_amber/u_execute/pc
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Literal -radix ascii /tb/u_system/u_amber/u_decode/u_decompile/xINSTRUCTION_EXECUTE
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Literal -radix ascii /tb/u_system/u_amber/u_decode/xCONTROL_STATE
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Literal -radix ascii /tb/u_system/u_amber/u_execute/xMODE
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Logic /tb/u_system/u_amber/fetch_stall
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Logic /tb/u_system/u_amber/u_execute/o_write_enable
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Literal /tb/u_system/u_amber/u_execute/o_write_data
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Logic /tb/u_system/u_amber/u_fetch/u_cache/o_stall
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Logic /tb/u_system/u_amber/u_fetch/u_cache/read_stall
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Logic /tb/u_system/u_amber/u_fetch/u_cache/o_wb_req
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Literal -radix ascii /tb/u_system/u_amber/u_fetch/u_cache/xC_STATE
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Literal /tb/u_system/u_amber/u_fetch/u_cache/miss_address
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Logic /tb/u_system/u_amber/u_fetch/u_cache/read_miss
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Literal /tb/u_system/u_amber/u_fetch/u_cache/o_read_data
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Logic /tb/u_system/u_amber/u_coprocessor/o_cache_enable
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Logic /tb/u_system/u_amber/u_fetch/u_cache/i_core_stall
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Logic /tb/u_system/u_amber/u_fetch/u_cache/i_select
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Logic /tb/u_system/u_amber/u_fetch/sel_cache
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Literal /tb/u_system/u_amber/u_fetch/u_cache/tag_wdata
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Literal /tb/u_system/u_amber/u_fetch/u_cache/tag_address
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Logic /tb/u_system/u_amber/u_fetch/u_cache/tag_wenable
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Literal -radix ascii /tb/u_system/u_amber/u_decode/xMODE
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Logic /tb/u_system/u_amber/u_decode/instruction_execute
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Logic /tb/u_system/u_amber/u_decode/interrupt
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Literal /tb/u_system/u_amber/u_decode/mtrans_num_registers
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Logic /tb/u_system/u_amber/u_decode/pre_fetch_instruction_wen
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Literal /tb/u_system/u_amber/u_decode/instruction
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Logic /tb/u_system/u_amber/u_decode/instruction_valid
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Logic /tb/u_system/u_amber/u_decode/saved_current_instruction_wen
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Logic /tb/u_system/u_amber/u_decode/use_saved_current_instruction
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Logic /tb/u_system/u_amber/u_decode/pc_wen_nxt
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Logic /tb/u_system/u_amber/u_decode/write_pc
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -format Logic /tb/u_system/u_amber/u_execute/execute
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -format Literal -radix ascii /tb/u_system/u_amber/u_decode/u_decompile/xINSTRUCTION_EXECUTE
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -format Literal /tb/u_system/u_amber/u_execute/i_pc_sel
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/reg_write_nxt
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group Registers -format Literal -radix hexadecimal /tb/u_system/u_amber/u_execute/i_reg_bank_wen
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r0
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r1
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r2
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r3
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r8
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r12_out
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r13_out
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r14_irq
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r14_svc
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r14_out
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group Registers -format Logic /tb/u_system/u_amber/u_execute/pc_wen
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/pc_nxt
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/rn
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r15
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group internals -format Logic /tb/u_system/u_amber/u_decode/instruction_execute
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group internals -format Literal /tb/u_system/u_amber/u_decode/pre_fetch_instruction
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group internals -format Literal -radix ascii /tb/u_system/u_amber/u_decode/xCONTROL_STATE
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group internals -format Literal -radix ascii /tb/u_system/u_amber/u_decode/xMODE
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group internals -format Literal /tb/u_system/u_amber/u_execute/i_pc_sel
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group internals -format Logic /tb/u_system/u_amber/u_decode/o_pc_wen
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group internals -format Logic /tb/u_system/u_amber/u_decode/u_decompile/execute_valid
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group internals -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r14_irq
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group internals -format Logic /tb/u_system/u_amber/u_execute/pc_wen
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group internals -format Literal /tb/u_system/u_amber/u_execute/i_pc_sel
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group internals -format Literal /tb/u_system/u_amber/u_execute/alu_out
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group internals -format Logic /tb/u_system/u_amber/u_execute/i_status_bits_flags_wen
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group internals -format Literal /tb/u_system/u_amber/u_execute/status_bits_flags
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group internals -format Literal /tb/u_system/u_amber/u_execute/i_status_bits_sel
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group internals -format Literal /tb/u_system/u_amber/u_execute/i_condition
add wave -noupdate -expand -group Amber -height 20 -expand -group Execute -height 20 -group internals -format Logic /tb/u_system/u_amber/u_execute/execute
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -height 20 -expand -group {WB Bus} -format Logic /tb/u_system/u_amber/o_wb_cyc
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -height 20 -expand -group {WB Bus} -format Logic /tb/u_system/u_amber/o_wb_stb
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -height 20 -expand -group {WB Bus} -format Logic /tb/u_system/u_amber/i_wb_ack
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -height 20 -expand -group {WB Bus} -format Literal /tb/u_system/u_amber/o_wb_dat
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -height 20 -expand -group {WB Bus} -format Literal /tb/u_system/u_amber/o_wb_sel
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -height 20 -expand -group {WB Bus} -format Logic /tb/u_system/u_amber/o_wb_we
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -height 20 -expand -group {WB Bus} -format Literal /tb/u_system/u_amber/i_wb_dat
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -height 20 -expand -group {WB Bus} -format Logic /tb/u_system/u_amber/i_wb_err
add wave -noupdate -expand -group Amber -height 20 -group Co-Processor -format Literal /tb/u_system/u_amber/u_coprocessor/fault_address
add wave -noupdate -expand -group Amber -height 20 -group Co-Processor -format Literal /tb/u_system/u_amber/u_coprocessor/fault_status
add wave -noupdate -format Literal /tb/u_system/u_amber/u_execute/u_barrel_shift/i_shift_amount
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {{Cursor 1} {30001543 ps} 0} {{Cursor 3} {81811238721 ps} 0}
configure wave -namecolwidth 258
configure wave -valuecolwidth 203
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 8
configure wave -childrowmargin 6
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 4000
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {0 ps} {9019087 ps}
/amber/trunk/hw/sim/cmd_a23.do
0,0 → 1,17
onerror {resume}
 
log -r /tb/*
 
configure list -usestrobe 0
configure list -strobestart {0 ps} -strobeperiod {0 ps}
configure list -usesignaltrigger 1
configure list -delta all
configure list -signalnamewidth 0
configure list -datasetprefix 0
configure list -namelimit 5
 
radix -hexadecimal
 
do wave_a23.do
 
run -all
/amber/trunk/hw/sim/wave_a25.do
0,0 → 1,200
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -format Literal -radix decimal -radixenum numeric /tb/clk_count
add wave -noupdate -group System -height 20 -group {uart 0} -format Logic /tb/u_system/u_uart0/i_uart_rxd
add wave -noupdate -group System -height 20 -group {uart 0} -format Literal {/tb/u_system/u_uart0/rx_fifo[0]}
add wave -noupdate -group System -height 20 -group {uart 0} -format Logic /tb/u_system/u_uart0/fifo_enable
add wave -noupdate -group System -height 20 -group {uart 0} -format Logic /tb/u_system/u_uart0/rx_fifo_push
add wave -noupdate -group System -height 20 -group {uart 0} -format Logic /tb/u_system/u_uart0/rx_fifo_push_not_full
add wave -noupdate -group System -height 20 -group {uart 0} -format Literal /tb/u_system/u_uart0/rx_byte
add wave -noupdate -group System -height 20 -group {uart 0} -format Literal -radix ascii /tb/u_system/u_uart0/xRXD_STATE
add wave -noupdate -group System -height 20 -group {uart 0} -format Literal -radix decimal /tb/u_system/u_uart0/TX_BITADJUST_COUNT
add wave -noupdate -group System -height 20 -group {uart 0} -format Literal -radix decimal /tb/u_system/u_uart0/TX_BITPULSE_COUNT
add wave -noupdate -group System -height 20 -group {uart 0} -format Literal -radix ascii /tb/u_system/u_uart0/xTXD_STATE
add wave -noupdate -group System -height 20 -group tb_uart -format Logic /tb/u_tb_uart/i_uart_rxd
add wave -noupdate -group System -height 20 -group tb_uart -format Logic /tb/u_tb_uart/o_uart_txd
add wave -noupdate -group System -height 20 -group tb_uart -format Literal /tb/u_tb_uart/rx_bit_count
add wave -noupdate -group System -height 20 -group tb_uart -format Logic /tb/u_tb_uart/rx_bit_start
add wave -noupdate -group System -height 20 -group tb_uart -format Literal /tb/u_tb_uart/rx_byte
add wave -noupdate -group System -height 20 -group {DDR3 Bus} -format Literal /tb/u_system/ddr3_addr
add wave -noupdate -group System -height 20 -group {DDR3 Bus} -format Literal /tb/u_system/ddr3_ba
add wave -noupdate -group System -height 20 -group {DDR3 Bus} -format Logic /tb/u_system/ddr3_cas_n
add wave -noupdate -group System -height 20 -group {DDR3 Bus} -format Logic /tb/u_system/ddr3_ck_n
add wave -noupdate -group System -height 20 -group {DDR3 Bus} -format Logic /tb/u_system/ddr3_ck_p
add wave -noupdate -group System -height 20 -group {DDR3 Bus} -format Logic /tb/u_system/ddr3_cke
add wave -noupdate -group System -height 20 -group {DDR3 Bus} -format Literal /tb/u_system/ddr3_dm
add wave -noupdate -group System -height 20 -group {DDR3 Bus} -format Literal /tb/u_system/ddr3_dq
add wave -noupdate -group System -height 20 -group {DDR3 Bus} -format Literal /tb/u_system/ddr3_dqs_n
add wave -noupdate -group System -height 20 -group {DDR3 Bus} -format Literal /tb/u_system/ddr3_dqs_p
add wave -noupdate -group System -height 20 -group {DDR3 Bus} -format Logic /tb/u_system/ddr3_odt
add wave -noupdate -group System -height 20 -group {DDR3 Bus} -format Logic /tb/u_system/ddr3_ras_n
add wave -noupdate -group System -height 20 -group {DDR3 Bus} -format Logic /tb/u_system/ddr3_reset_n
add wave -noupdate -group System -height 20 -group {DDR3 Bus} -format Logic /tb/u_system/ddr3_we_n
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Literal /tb/u_system/u_amber/u_execute/pc
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Literal -radix ascii /tb/u_system/u_amber/u_decode/u_decompile/xINSTRUCTION_EXECUTE
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Literal -radix ascii /tb/u_system/u_amber/u_decode/xCONTROL_STATE
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Literal -radix ascii /tb/u_system/u_amber/u_execute/xMODE
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Literal /tb/u_system/u_amber/u_execute/o_iaddress
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Logic /tb/u_system/u_amber/u_execute/o_iaddress_valid
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Logic /tb/u_system/u_amber/u_execute/i_core_stall
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Logic /tb/u_system/u_amber/u_execute/o_exec_stall
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Logic /tb/u_system/u_amber/u_mem/o_mem_stall
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Logic /tb/u_system/u_amber/fetch_stall
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Literal /tb/u_system/u_amber/u_fetch/o_fetch_instruction
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Logic /tb/u_system/u_amber/u_execute/o_daddress_valid
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Literal /tb/u_system/u_amber/u_execute/o_daddress
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Logic /tb/u_system/u_amber/u_execute/o_write_enable
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Literal /tb/u_system/u_amber/u_execute/o_write_data
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Logic /tb/u_system/u_amber/u_mem/o_mem_read_data_valid
add wave -noupdate -expand -group Amber -expand -group {Core Memory Accesses} -format Literal /tb/u_system/u_amber/u_mem/o_mem_read_data
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -height 20 -group {Read buffer} -format Literal /tb/u_system/u_amber/u_fetch/u_cache/read_buf_addr_r
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -height 20 -group {Read buffer} -format Literal /tb/u_system/u_amber/u_fetch/u_cache/read_buf_data_r
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -height 20 -group {Read buffer} -format Logic /tb/u_system/u_amber/u_fetch/u_cache/read_buf_hit
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -height 20 -group {Read buffer} -format Logic /tb/u_system/u_amber/u_fetch/u_cache/read_buf_valid_r
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Logic /tb/u_system/u_amber/u_fetch/u_cache/o_stall
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Logic /tb/u_system/u_amber/u_fetch/u_cache/read_stall
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Logic /tb/u_system/u_amber/u_fetch/u_cache/o_wb_req
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Logic /tb/u_system/u_amber/u_fetch/u_cache/i_wb_ready
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Literal -radix ascii /tb/u_system/u_amber/u_fetch/u_cache/xC_STATE
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Literal /tb/u_system/u_amber/u_fetch/u_cache/miss_address
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Logic /tb/u_system/u_amber/u_fetch/u_cache/read_miss
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Literal /tb/u_system/u_amber/u_fetch/u_cache/o_read_data
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Logic /tb/u_system/u_amber/u_coprocessor/o_cache_enable
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Logic /tb/u_system/u_amber/u_fetch/u_cache/i_core_stall
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Logic /tb/u_system/u_amber/u_fetch/u_cache/i_select
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Logic /tb/u_system/u_amber/u_fetch/sel_cache
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Literal /tb/u_system/u_amber/u_fetch/u_cache/tag_wdata
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Literal /tb/u_system/u_amber/u_fetch/u_cache/tag_address
add wave -noupdate -expand -group Amber -height 20 -group Fetch -height 20 -expand -group {Instruction Cache} -format Logic /tb/u_system/u_amber/u_fetch/u_cache/tag_wenable
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Literal -radix ascii /tb/u_system/u_amber/u_decode/xTYPE
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Literal -radix ascii /tb/u_system/u_amber/u_decode/xMODE
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Logic /tb/u_system/u_amber/u_decode/instruction_execute
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Logic /tb/u_system/u_amber/u_decode/decode_iaccess_nxt
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Logic /tb/u_system/u_amber/u_decode/interrupt
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Literal /tb/u_system/u_amber/u_decode/mtrans_num_registers
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Logic /tb/u_system/u_amber/u_decode/pre_fetch_instruction_wen
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Literal /tb/u_system/u_amber/u_decode/fetch_instruction_r
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Literal /tb/u_system/u_amber/u_decode/instruction
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Logic /tb/u_system/u_amber/u_decode/instruction_valid
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Logic /tb/u_system/u_amber/u_decode/saved_current_instruction_wen
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Logic /tb/u_system/u_amber/u_decode/use_saved_current_instruction
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Logic /tb/u_system/u_amber/u_decode/pc_wen_nxt
add wave -noupdate -expand -group Amber -height 20 -group Decode -format Logic /tb/u_system/u_amber/u_decode/write_pc
add wave -noupdate -expand -group Amber -height 20 -group Decode -height 20 -group Conflict -format Logic /tb/u_system/u_amber/u_decode/rn_conflict1
add wave -noupdate -expand -group Amber -height 20 -group Decode -height 20 -group Conflict -format Logic /tb/u_system/u_amber/u_decode/rn_conflict2
add wave -noupdate -expand -group Amber -height 20 -group Decode -height 20 -group Conflict -format Logic /tb/u_system/u_amber/u_decode/conflict1
add wave -noupdate -expand -group Amber -height 20 -group Decode -height 20 -group Conflict -format Logic /tb/u_system/u_amber/u_decode/conflict2
add wave -noupdate -expand -group Amber -height 20 -group Execute -format Logic /tb/u_system/u_amber/u_execute/execute
add wave -noupdate -expand -group Amber -height 20 -group Execute -format Literal -radix ascii /tb/u_system/u_amber/u_decode/u_decompile/xINSTRUCTION_EXECUTE
add wave -noupdate -expand -group Amber -height 20 -group Execute -format Literal /tb/u_system/u_amber/u_execute/i_pc_sel
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/reg_write_nxt
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/i_wb_read_data
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/i_wb_mode
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group Registers -format Literal -radix unsigned /tb/u_system/u_amber/u_execute/u_register_bank/i_wb_read_data_rd
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/reg_bank_wen_c
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group Registers -format Literal -radix hexadecimal /tb/u_system/u_amber/u_execute/i_reg_bank_wen
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/read_data_wen
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r0
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r1
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r2
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r3
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r8
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r12_out
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r13_out
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r14_irq
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r14_svc
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r14_out
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group Registers -format Logic /tb/u_system/u_amber/u_execute/pc_wen
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/pc_nxt
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/rn
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group Registers -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r15
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group internals -format Logic /tb/u_system/u_amber/u_decode/instruction_execute
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group internals -format Literal /tb/u_system/u_amber/u_decode/pre_fetch_instruction
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group internals -format Literal -radix ascii /tb/u_system/u_amber/u_decode/xCONTROL_STATE
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group internals -format Literal -radix ascii /tb/u_system/u_amber/u_decode/xMODE
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group internals -format Literal /tb/u_system/u_amber/u_execute/i_pc_sel
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group internals -format Logic /tb/u_system/u_amber/u_decode/o_pc_wen
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group internals -format Logic /tb/u_system/u_amber/u_decode/u_decompile/execute_valid
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group internals -format Literal /tb/u_system/u_amber/u_execute/u_register_bank/r14_irq
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group internals -format Logic /tb/u_system/u_amber/u_execute/pc_wen
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group internals -format Literal /tb/u_system/u_amber/u_execute/i_pc_sel
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group internals -format Literal /tb/u_system/u_amber/u_execute/alu_out
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group internals -format Logic /tb/u_system/u_amber/u_execute/i_status_bits_flags_wen
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group internals -format Literal /tb/u_system/u_amber/u_execute/status_bits_flags
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group internals -format Literal /tb/u_system/u_amber/u_execute/i_status_bits_sel
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group internals -format Literal /tb/u_system/u_amber/u_execute/i_condition
add wave -noupdate -expand -group Amber -height 20 -group Execute -height 20 -group internals -format Logic /tb/u_system/u_amber/u_execute/execute
add wave -noupdate -expand -group Amber -group DCache -format Literal -radix ascii /tb/u_system/u_amber/u_mem/u_dcache/xC_STATE
add wave -noupdate -expand -group Amber -group DCache -format Literal -radix ascii /tb/u_system/u_amber/u_mem/u_dcache/xSOURCE_SEL
add wave -noupdate -expand -group Amber -group DCache -format Literal /tb/u_system/u_amber/u_mem/u_dcache/i_address
add wave -noupdate -expand -group Amber -group DCache -format Logic /tb/u_system/u_amber/u_mem/u_dcache/o_stall
add wave -noupdate -expand -group Amber -group DCache -format Logic /tb/u_system/u_amber/u_mem/u_dcache/read_stall
add wave -noupdate -expand -group Amber -group DCache -format Logic /tb/u_system/u_amber/u_mem/u_dcache/write_stall
add wave -noupdate -expand -group Amber -group DCache -format Logic /tb/u_system/u_amber/u_mem/u_dcache/cache_busy_stall
add wave -noupdate -expand -group Amber -group DCache -format Logic /tb/u_system/u_amber/u_mem/u_dcache/write_hit
add wave -noupdate -expand -group Amber -group DCache -format Logic /tb/u_system/u_amber/u_mem/u_dcache/write_miss
add wave -noupdate -expand -group Amber -group DCache -format Literal /tb/u_system/u_amber/u_mem/u_dcache/o_read_data
add wave -noupdate -expand -group Amber -group DCache -format Logic /tb/u_system/u_amber/u_mem/u_dcache/consecutive_write
add wave -noupdate -expand -group Amber -group DCache -format Literal /tb/u_system/u_amber/u_mem/u_dcache/data_wdata_r
add wave -noupdate -expand -group Amber -group DCache -format Literal -radix binary /tb/u_system/u_amber/u_mem/u_dcache/data_wenable_way
add wave -noupdate -expand -group Amber -group DCache -format Logic /tb/u_system/u_amber/u_mem/u_dcache/tag_wenable
add wave -noupdate -expand -group Amber -group DCache -format Literal /tb/u_system/u_amber/u_mem/u_dcache/tag_address
add wave -noupdate -expand -group Amber -group DCache -format Literal /tb/u_system/u_amber/u_mem/u_dcache/tag_wdata
add wave -noupdate -expand -group Amber -group DCache -format Literal /tb/u_system/u_amber/u_mem/u_dcache/data_wdata
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -height 20 -group {WB Bus} -format Logic /tb/u_system/u_amber/o_wb_stb
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -height 20 -group {WB Bus} -format Logic /tb/u_system/u_amber/i_wb_ack
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -height 20 -group {WB Bus} -format Literal /tb/u_system/u_amber/u_wishbone/o_wb_adr
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -height 20 -group {WB Bus} -format Literal /tb/u_system/u_amber/o_wb_dat
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -height 20 -group {WB Bus} -format Literal /tb/u_system/u_amber/o_wb_sel
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -height 20 -group {WB Bus} -format Logic /tb/u_system/u_amber/o_wb_we
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -height 20 -group {WB Bus} -format Literal /tb/u_system/u_amber/i_wb_dat
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -height 20 -group {WB Bus} -format Logic /tb/u_system/u_amber/i_wb_err
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 0} -format Logic /tb/u_system/u_amber/u_wishbone/i_port0_req
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 0} -format Logic /tb/u_system/u_amber/u_wishbone/o_port0_ack
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 0} -format Literal /tb/u_system/u_amber/u_wishbone/i_port0_addr
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 0} -format Literal /tb/u_system/u_amber/u_wishbone/i_port0_be
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 0} -format Literal /tb/u_system/u_amber/u_wishbone/i_port0_wdata
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 0} -format Logic /tb/u_system/u_amber/u_wishbone/i_port0_write
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 0} -format Literal /tb/u_system/u_amber/u_wishbone/o_port0_rdata
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 0} -format Logic /tb/u_system/u_amber/u_wishbone/u_a25_wishbone_buf_p0/o_valid
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 0} -format Logic /tb/u_system/u_amber/u_wishbone/u_a25_wishbone_buf_p0/i_accepted
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 0} -format Literal /tb/u_system/u_amber/u_wishbone/u_a25_wishbone_buf_p0/o_addr
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 0} -format Literal /tb/u_system/u_amber/u_wishbone/u_a25_wishbone_buf_p0/o_wdata
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 0} -format Literal /tb/u_system/u_amber/u_wishbone/u_a25_wishbone_buf_p0/wbuf_used_r
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -expand -group {Port 1} -format Literal /tb/u_system/u_amber/u_wishbone/i_port1_addr
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -expand -group {Port 1} -format Logic /tb/u_system/u_amber/u_wishbone/i_port1_req
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -expand -group {Port 1} -format Logic /tb/u_system/u_amber/u_wishbone/i_port1_write
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -expand -group {Port 1} -format Logic /tb/u_system/u_amber/u_wishbone/o_port1_ack
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -expand -group {Port 1} -format Literal /tb/u_system/u_amber/u_wishbone/o_port1_rdata
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -expand -group {Port 1} -format Literal /tb/u_system/u_amber/u_wishbone/u_a25_wishbone_buf_p1/wbuf_used_r
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 2} -format Logic /tb/u_system/u_amber/u_wishbone/u_a25_wishbone_buf_p2/busy_reading_r
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 2} -format Literal /tb/u_system/u_amber/u_wishbone/i_port2_addr
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 2} -format Literal /tb/u_system/u_amber/u_wishbone/i_port2_be
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 2} -format Logic /tb/u_system/u_amber/u_wishbone/i_port2_req
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 2} -format Logic /tb/u_system/u_amber/u_wishbone/o_port2_ack
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 2} -format Literal /tb/u_system/u_amber/u_wishbone/i_port2_wdata
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 2} -format Logic /tb/u_system/u_amber/u_wishbone/i_port2_write
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 2} -format Literal /tb/u_system/u_amber/u_wishbone/o_port2_rdata
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 2} -format Literal /tb/u_system/u_amber/u_wishbone/u_a25_wishbone_buf_p2/o_addr
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 2} -format Logic /tb/u_system/u_amber/u_wishbone/u_a25_wishbone_buf_p2/o_valid
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 2} -format Literal /tb/u_system/u_amber/u_wishbone/u_a25_wishbone_buf_p2/i_rdata
add wave -noupdate -expand -group Amber -height 20 -group Wishbone -group {Port 2} -format Logic /tb/u_system/u_amber/u_wishbone/u_a25_wishbone_buf_p2/i_rdata_valid
add wave -noupdate -expand -group Amber -height 20 -group Co-Processor -format Literal /tb/u_system/u_amber/u_coprocessor/fault_address
add wave -noupdate -expand -group Amber -height 20 -group Co-Processor -format Literal /tb/u_system/u_amber/u_coprocessor/fault_status
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {{Cursor 1} {18717538 ps} 0} {{Cursor 3} {81811238721 ps} 0}
configure wave -namecolwidth 258
configure wave -valuecolwidth 245
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 8
configure wave -childrowmargin 6
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 4000
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {18646423 ps} {18952439 ps}
/amber/trunk/hw/sim/cmd_a25.do
0,0 → 1,17
onerror {resume}
 
log -r /tb/*
 
configure list -usestrobe 0
configure list -strobestart {0 ps} -strobeperiod {0 ps}
configure list -usesignaltrigger 1
configure list -delta all
configure list -signalnamewidth 0
configure list -datasetprefix 0
configure list -namelimit 5
 
radix -hexadecimal
 
do wave_a25.do
 
run -all
/amber/trunk/hw/sim/run_log_a23.do
0,0 → 1,34
log -r /tb/u_system/u_amber/u_fetch/*
log -r /tb/u_system/u_amber/u_execute/*
log /tb/u_system/u_amber/u_execute/u_register_bank/*
log /tb/u_system/u_amber/u_decode/*
 
log /tb/clk_count
log /tb/u_system/u_uart0/i_uart_rxd
log /tb/u_system/u_uart0/rx_fifo
log /tb/u_system/u_uart0/fifo_enable
log /tb/u_system/u_uart0/rx_fifo_push
log /tb/u_system/u_uart0/rx_fifo_push_not_full
log /tb/u_system/u_uart0/rx_byte
log /tb/u_system/u_uart0/xRXD_STATE
log /tb/u_system/u_uart0/TX_BITADJUST_COUNT
log /tb/u_system/u_uart0/TX_BITPULSE_COUNT
log /tb/u_system/u_uart0/xTXD_STATE
log /tb/u_tb_uart/i_uart_rxd
log /tb/u_tb_uart/o_uart_txd
log /tb/u_tb_uart/rx_bit_count
log /tb/u_tb_uart/rx_bit_start
log /tb/u_tb_uart/rx_byte
log /tb/u_system/u_amber/fetch_stall
log /tb/u_system/u_amber/o_wb_cyc
log /tb/u_system/u_amber/o_wb_stb
log /tb/u_system/u_amber/i_wb_ack
log /tb/u_system/u_amber/o_wb_dat
log /tb/u_system/u_amber/o_wb_sel
log /tb/u_system/u_amber/o_wb_we
log /tb/u_system/u_amber/i_wb_dat
log /tb/u_system/u_amber/i_wb_err
log /tb/u_system/u_amber/u_coprocessor/fault_address
log /tb/u_system/u_amber/u_coprocessor/fault_status
 
run -all
/amber/trunk/hw/sim/run_log_a25.do
0,0 → 1,105
log -r /tb/u_system/u_amber/u_fetch/*
log -r /tb/u_system/u_amber/u_mem/*
log -r /tb/u_system/u_amber/u_execute/*
log -r /tb/u_system/u_amber/u_wishbone/*
log /tb/u_system/u_amber/u_execute/u_register_bank/*
log /tb/u_system/u_amber/u_decode/*
log /tb/u_system/boot_mem128/u_boot_mem/*
 
 
log /tb/clk_count
log /tb/u_system/u_uart0/i_uart_rxd
log /tb/u_system/u_uart0/rx_fifo
log /tb/u_system/u_uart0/fifo_enable
log /tb/u_system/u_uart0/rx_fifo_push
log /tb/u_system/u_uart0/rx_fifo_push_not_full
log /tb/u_system/u_uart0/rx_byte
log /tb/u_system/u_uart0/xRXD_STATE
log /tb/u_system/u_uart0/TX_BITADJUST_COUNT
log /tb/u_system/u_uart0/TX_BITPULSE_COUNT
log /tb/u_system/u_uart0/xTXD_STATE
log /tb/u_tb_uart/i_uart_rxd
log /tb/u_tb_uart/o_uart_txd
log /tb/u_tb_uart/rx_bit_count
log /tb/u_tb_uart/rx_bit_start
log /tb/u_tb_uart/rx_byte
log /tb/u_system/ddr3_addr
log /tb/u_system/ddr3_ba
log /tb/u_system/ddr3_cas_n
log /tb/u_system/ddr3_ck_n
log /tb/u_system/ddr3_ck_p
log /tb/u_system/ddr3_cke
log /tb/u_system/ddr3_dm
log /tb/u_system/ddr3_dq
log /tb/u_system/ddr3_dqs_n
log /tb/u_system/ddr3_dqs_p
log /tb/u_system/ddr3_odt
log /tb/u_system/ddr3_ras_n
log /tb/u_system/ddr3_reset_n
log /tb/u_system/ddr3_we_n
log /tb/u_system/u_amber/fetch_stall
log /tb/u_system/u_amber/u_fetch/o_fetch_stall
log /tb/u_system/u_amber/u_fetch/sel_cache
log /tb/u_system/u_amber/u_fetch/u_cache/o_stall
log /tb/u_system/u_amber/u_fetch/u_cache/read_stall
log /tb/u_system/u_amber/u_fetch/u_cache/o_wb_req
log /tb/u_system/u_amber/u_fetch/u_cache/xC_STATE
log /tb/u_system/u_amber/u_fetch/u_cache/miss_address
log /tb/u_system/u_amber/u_fetch/u_cache/read_miss
log /tb/u_system/u_amber/u_fetch/u_cache/o_read_data
log /tb/u_system/u_amber/u_coprocessor/o_cache_enable
log /tb/u_system/u_amber/u_fetch/u_cache/i_core_stall
log /tb/u_system/u_amber/u_fetch/u_cache/i_select
log /tb/u_system/u_amber/u_fetch/sel_cache
log /tb/u_system/u_amber/u_fetch/u_cache/tag_wdata
log /tb/u_system/u_amber/u_fetch/u_cache/tag_address
log /tb/u_system/u_amber/u_fetch/u_cache/tag_wenable
log /tb/u_system/u_amber/u_decode/xMODE
log /tb/u_system/u_amber/u_decode/xCONTROL_STATE
log /tb/u_system/u_amber/u_decode/instruction_execute
log /tb/u_system/u_amber/u_decode/interrupt
log /tb/u_system/u_amber/u_decode/mtrans_num_registers
log /tb/u_system/u_amber/u_decode/pre_fetch_instruction_wen
log /tb/u_system/u_amber/u_decode/instruction
log /tb/u_system/u_amber/u_decode/instruction_valid
log /tb/u_system/u_amber/u_decode/saved_current_instruction_wen
log /tb/u_system/u_amber/u_decode/use_saved_current_instruction
log /tb/u_system/u_amber/u_decode/pc_wen_nxt
log /tb/u_system/u_amber/u_decode/write_pc
log /tb/u_system/u_amber/u_execute/execute
log /tb/u_system/u_amber/u_decode/u_decompile/xINSTRUCTION_EXECUTE
log /tb/u_system/u_amber/u_execute/i_pc_sel
log /tb/u_system/u_amber/u_execute/pc_wen
log /tb/u_system/u_amber/u_execute/pc_nxt
log /tb/u_system/u_amber/u_execute/rn
log /tb/u_system/u_amber/u_execute/u_register_bank/r15
log /tb/u_system/u_amber/u_decode/instruction_execute
log /tb/u_system/u_amber/u_decode/pre_fetch_instruction
log /tb/u_system/u_amber/u_decode/u_decompile/xINSTRUCTION_EXECUTE
log /tb/u_system/u_amber/u_decode/xCONTROL_STATE
log /tb/u_system/u_amber/u_decode/xMODE
log /tb/u_system/u_amber/u_execute/i_pc_sel
log /tb/u_system/u_amber/u_decode/o_pc_wen
log /tb/u_system/u_amber/u_decode/u_decompile/execute_valid
log /tb/u_system/u_amber/u_execute/u_register_bank/r14_irq
log /tb/u_system/u_amber/u_execute/pc
log /tb/u_system/u_amber/u_execute/pc_wen
log /tb/u_system/u_amber/u_execute/i_pc_sel
log /tb/u_system/u_amber/u_execute/alu_out
log /tb/u_system/u_amber/u_execute/i_status_bits_flags_wen
log /tb/u_system/u_amber/u_execute/status_bits_flags
log /tb/u_system/u_amber/u_execute/i_status_bits_sel
log /tb/u_system/u_amber/u_execute/i_condition
log /tb/u_system/u_amber/u_execute/execute
log /tb/u_system/u_amber/o_wb_cyc
log /tb/u_system/u_amber/o_wb_stb
log /tb/u_system/u_amber/i_wb_ack
log /tb/u_system/u_amber/o_wb_dat
log /tb/u_system/u_amber/o_wb_sel
log /tb/u_system/u_amber/o_wb_we
log /tb/u_system/u_amber/i_wb_dat
log /tb/u_system/u_amber/i_wb_err
log /tb/u_system/u_amber/u_coprocessor/fault_address
log /tb/u_system/u_amber/u_coprocessor/fault_status
 
run -all
amber/trunk/hw/sim Property changes : Modified: svn:ignore ## -16,5 +16,6 ## *.vtakwave work rt +vl vish_stacktrace.vstf vsim_stacktrace.vstf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.