OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 39 to Rev 40
    Reverse comparison

Rev 39 → Rev 40

/pcie_ds_dma/trunk/core/ds_dma64/pcie_src/components/pcie_core/pcie_core64_wishbone.vhd
5,7 → 5,7
-- Company : Instrumental Systems
-- E-mail : dsmv@insys.ru
--
-- Version : 1.0
-- Version : 1.4
--
-------------------------------------------------------------------------------
--
90,8 → 90,11
use work.core64_type_pkg.all;
use work.pcie_core64_m6_pkg.all;
use work.core64_pb_wishbone_pkg.all;
use work.block_pe_main_pkg.all;
use work.block_pe_main_pkg.all;
 
library unisim;
use unisim.vcomponents.all;
 
entity pcie_core64_wishbone is
generic
(
172,7 → 175,11
signal dcm_rst : std_logic;
signal reset_p : std_logic;
signal reset_p_z1 : std_logic;
signal reset_p_z2 : std_logic;
signal reset_p_z2 : std_logic;
 
signal clk62x : std_logic:='0';
signal clk62 : std_logic;
 
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
208,7 → 215,7
dcm_rstp => dcm_rst, -- S6 PCIE x1 module INV trn_reset_n_c
---- BAR1 (PB bus) ----
aclk => clk, -- !!! same clock as clk_out
aclk => clk62, -- clock for local bus
aclk_lock => '1', --
pb_master => pb_master, --
pb_slave => pb_slave, --
258,9 → 265,12
);
 
 
clk62x <= not clk62x after 1 ns when rising_edge( clk );
xclk62: bufg port map( clk62, clk62x );
 
reset_p <= (not reset) or (not brd_mode(3));
reset_p_z1 <= reset_p after 1 ns when rising_edge( clk );
reset_p_z2 <= reset_p_z1 after 1 ns when rising_edge( clk );
reset_p_z1 <= reset_p after 1 ns when rising_edge( clk62 );
reset_p_z2 <= reset_p_z1 after 1 ns when rising_edge( clk62 );
 
-------------------------------------------------------------------------------
--
270,7 → 280,7
port map
(
 
 
 
---- BAR1 ----
 
298,13 → 308,13
--
-- Module Output route:
--
o_wb_clk <= clk; -- route from PW_WB wrk clock
o_wb_clk <= clk62; -- route from PW_WB wrk clock
--
 
pr_o_wb_rst: process( reset_p, clk ) begin
pr_o_wb_rst: process( reset_p, clk62 ) begin
if( reset_p='1' ) then
o_wb_rst <= '1' after 1 ns;
elsif( rising_edge( clk ) ) then
elsif( rising_edge( clk62 ) ) then
o_wb_rst <= reset_p_z2 after 1 ns;
end if;
end process;
/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/testbench/test_pkg.vhd
166,7 → 166,8
variable adr : std_logic_vector( 31 downto 0 );
variable data1 : std_logic_vector( 31 downto 0 );
variable data2 : std_logic_vector( 31 downto 0 );
variable str : line;
variable str : line;
variable error : integer:=0;
begin
write( str, string'("TEST_READ_REG" ));
186,16 → 187,16
write( str, string'("BLOCK 1 ID: " )); hwrite( str, data2( 15 downto 0 ) );
writeline( log, str );
wb_read( cmd, ret, 16#1000#, data1 );
-- wb_read( cmd, ret, 16#1000#, data1 );
--
-- wb_read( cmd, ret, 16#3000#, data1 );
--
-- write( str, string'("0x1000: " )); hwrite( str, data1( 15 downto 0 ) );
-- writeline( log, str );
--
-- write( str, string'("0x3000: " )); hwrite( str, data2( 15 downto 0 ) );
-- writeline( log, str );
wb_read( cmd, ret, 16#3000#, data1 );
 
write( str, string'("0x1000: " )); hwrite( str, data1( 15 downto 0 ) );
writeline( log, str );
write( str, string'("0x3000: " )); hwrite( str, data2( 15 downto 0 ) );
writeline( log, str );
block_write( cmd, ret, 0, 8, x"00000000" ); -- BRD_MODE
wait for 100 ns;
block_write( cmd, ret, 0, 8, x"0000000F" ); -- BRD_MODE
205,11 → 206,34
wb_block_check_read( cmd, ret, REG_BLOCK_ID, data2 ); -- read block id
write( str, string'("BLOCK 0 ID: " )); hwrite( str, data1( 15 downto 0 ) );
if( data1( 15 downto 0 )=x"001B" ) then
write( str, string'(" - Ok" ));
else
write( str, string'(" - Error" ));
error := error + 1;
end if;
writeline( log, str );
write( str, string'("BLOCK 1 ID: " )); hwrite( str, data2( 15 downto 0 ) );
 
if( data2( 15 downto 0 )=x"001A" ) then
write( str, string'(" - Ok" ));
else
write( str, string'(" - Error" ));
error := error + 1;
end if;
writeline( log, str );
if( error=0 ) then
write( str, string'("Test read_reg - Ok" ));
cnt_ok := cnt_ok + 1;
else
write( str, string'("Test read_reg - Error" ));
cnt_error := cnt_error + 1;
end if;
end test_read_reg;
/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/testbench/ahdl/run_ahdl.tcl
80,8 → 80,9
#
onerror {resume}
run_test "test_dsc_incorrect" 0 "300 us"
run_test "test_read_4kB" 1 "300 us"
run_test "test_adm_read_8kb" 2 "350 us"
run_test "test_dsc_incorrect" 0 "400 us"
run_test "test_read_4kB" 1 "400 us"
run_test "test_adm_read_8kb" 2 "450 us"
run_test "test_read_reg" 3 "300 us"
 
exit
/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/testbench/stend_sp605_wishbone.vhd
42,7 → 42,7
 
entity stend_sp605_wishbone is
generic(
 
 
 
);
end stend_sp605_wishbone;
/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/testbench/log_example/console_test_adm_read_8kb.log
0,0 → 1,203
asim -ieee_nowarn -O5 -g test_id=2 +access +r +m+test_adm_read_8kb stend_sp605_wishbone stend_sp605_wishbone
# ELBREAD: Elaboration process.
# ELBREAD: Elaboration time 1.9 [s].
# asim: Stack memory: 32MB
# asim: Retval memory: 32MB
# KERNEL: Main thread initiated.
# KERNEL: Kernel process initialization phase.
# KERNEL: Time resolution set to 10fs.
# ELAB2: Elaboration final pass...
# ELAB2: Create instances ...
# ELAB2: Create instances complete.
# SLP: Started
# SLP: Elaboration phase ...
# SLP: Elaboration phase ... done : 23.0 [s]
# SLP: Generation phase ...
# SLP: Generation phase ... done : 32.0 [s]
# SLP: Finished : 55.0 [s]
# SLP: 108406 (60.59%) primitives and 64669 (36.15%) other processes in SLP
# SLP: 285581 (94.99%) signals in SLP and 15068 (5.01%) interface signals
# ELAB2: Elaboration final pass complete - time: 67.6 [s].
# KERNEL: SLP loading done - time: 0.6 [s].
# KERNEL: SLP simulation initialization done - time: 1.0 [s].
# KERNEL: Kernel process initialization done.
# Allocation: Simulator allocated 222071 kB (elbread=34310 elab2=88685 kernel=99075 sdf=0)
 
# Simulation has been initialized
# Selected Top-Level: stend_sp605_wishbone (stend_sp605_wishbone)
run {450 us}
# KERNEL: [ 1000 ns ] : Init start
# KERNEL: [ 15923.246 ns ] : Transaction Reset is De-asserted
# KERNEL: [ 25603.246 ns ] : Transaction Link is Up
# KERNEL: [ 25603.246 ns ] : PCI EXPRESS BAR MEMORY/IO MAPPING PROCESS BEGUN..
# KERNEL: BAR 0 = 0x10000000 RANGE = 0xFFE00000 MEM32 MAPPED
# KERNEL: BAR 1 = 0x20000000 RANGE = 0xFFE00000 MEM32 MAPPED
# KERNEL: BAR 2 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 3 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 4 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 5 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 6 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: [ 25603.246 ns ] : Setting Core Configuration Space...
# KERNEL: [ 73651.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 75299.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 76595.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 76947.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 78227.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 78595.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 79891.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 80243.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 81523.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 81891.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 83187.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 83539.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 84819.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 85187.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 86483.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 86835.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 88115.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 89779.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 107635.146 ns ] : BUS Master Enable
# KERNEL: [ 107635.146 ns ] : Reading Config space
# KERNEL: Addr: [0x001]
# KERNEL: Cfg Addr [0x001] -> Data [0x00100000]
# KERNEL: [ 107795.146 ns ] : Writing Config space
# KERNEL: Addr: [0x001] -> Data [0x00000007]
# KERNEL: [ 107955.146 ns ] : Reading Config space
# KERNEL: Addr: [0x001]
# KERNEL: Cfg Addr [0x001] -> Data [0x00100007]
# KERNEL: [ 113083.146 ns ] : Init complete
# KERNEL: [ 180035.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183139.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183203.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183267.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183331.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183395.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183459.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183523.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183587.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 194995.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 195107.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 195171.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 195235.146 ns ] : PROC_PARSE_FRAME on Transmit
open -txt "e:\prog\pcie_ds_dma\trunk\projects\sp605_lx45t_wishbone\implement\ver1\rev1\sp605_lx45t_wishbone_postpar.twr"
# KERNEL: [ 203283.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 203395.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 203443.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 203539.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 203603.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 203699.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 211923.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 212147.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 212323.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 212371.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 212547.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 212723.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 212899.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 213075.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 213251.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 213427.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 232419.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 239891.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 240003.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 248115.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 249219.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 251219.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 251827.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 252403.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 253075.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 253107.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 253747.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 254211.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 254355.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 254931.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 255571.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 256147.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 256755.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 257331.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 258003.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 258035.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 258675.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 259139.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 259283.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 259859.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 260531.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 261107.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 261779.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 262355.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 263027.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 263059.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 263699.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 264163.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 264307.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 264883.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 265491.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 266067.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 266675.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 267251.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 267955.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 268531.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 268563.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 269235.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 269667.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 269811.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 270419.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 271219.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 271827.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 272403.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 273075.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 273683.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 273715.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 274387.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 274819.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 274963.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 275571.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 276147.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 276755.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 277331.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 277939.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 278579.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 278611.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 279283.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 279715.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 279923.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 280531.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 281107.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 281715.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 282291.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 282899.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 283539.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 283571.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 284243.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 284675.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 284819.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 285427.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 286035.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 286643.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 287219.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 287827.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 288467.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 288499.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 289171.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 289603.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 289747.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 290355.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 298547.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 298659.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 298723.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 313619.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 313731.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 321843.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 321955.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 330067.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 330659.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 338323.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 338915.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 346547.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 347139.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 354963.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 355555.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: TEST finished successfully
# KERNEL: stopped at time: 450 us
endsim
# Simulation has been stopped
transcript to src/testbench/log/console_test_read_reg.log
/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/testbench/log_example/console_test_dsc_incorrect.log
0,0 → 1,96
asim -ieee_nowarn -O5 -g test_id=0 +access +r +m+test_dsc_incorrect stend_sp605_wishbone stend_sp605_wishbone
# Simulation has been stopped
# ELBREAD: Elaboration process.
# ELBREAD: Elaboration time 1.8 [s].
# asim: Stack memory: 32MB
# asim: Retval memory: 32MB
# KERNEL: Main thread initiated.
# KERNEL: Kernel process initialization phase.
# KERNEL: Time resolution set to 10fs.
# ELAB2: Elaboration final pass...
# ELAB2: Create instances ...
# ELAB2: Create instances complete.
# SLP: Started
# SLP: Elaboration phase ...
# SLP: Elaboration phase ... done : 23.7 [s]
# SLP: Generation phase ...
# SLP: Generation phase ... done : 28.0 [s]
# SLP: Finished : 51.7 [s]
# SLP: 108406 (60.59%) primitives and 64669 (36.15%) other processes in SLP
# SLP: 285581 (94.99%) signals in SLP and 15068 (5.01%) interface signals
# ELAB2: Elaboration final pass complete - time: 62.9 [s].
# KERNEL: SLP loading done - time: 0.3 [s].
# KERNEL: SLP simulation initialization done - time: 0.4 [s].
# KERNEL: Kernel process initialization done.
# Allocation: Simulator allocated 222071 kB (elbread=34310 elab2=88685 kernel=99075 sdf=0)
 
# Simulation has been initialized
# Selected Top-Level: stend_sp605_wishbone (stend_sp605_wishbone)
run {400 us}
# KERNEL: [ 1000 ns ] : Init start
# KERNEL: [ 15923.246 ns ] : Transaction Reset is De-asserted
# KERNEL: [ 25603.246 ns ] : Transaction Link is Up
# KERNEL: [ 25603.246 ns ] : PCI EXPRESS BAR MEMORY/IO MAPPING PROCESS BEGUN..
# KERNEL: BAR 0 = 0x10000000 RANGE = 0xFFE00000 MEM32 MAPPED
# KERNEL: BAR 1 = 0x20000000 RANGE = 0xFFE00000 MEM32 MAPPED
# KERNEL: BAR 2 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 3 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 4 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 5 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 6 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: [ 25603.246 ns ] : Setting Core Configuration Space...
# KERNEL: [ 73651.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 75299.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 76595.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 76947.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 78227.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 78595.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 79891.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 80243.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 81523.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 81891.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 83187.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 83539.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 84819.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 85187.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 86483.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 86835.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 88115.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 89779.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 107635.146 ns ] : BUS Master Enable
# KERNEL: [ 107635.146 ns ] : Reading Config space
# KERNEL: Addr: [0x001]
# KERNEL: Cfg Addr [0x001] -> Data [0x00100000]
# KERNEL: [ 107795.146 ns ] : Writing Config space
# KERNEL: Addr: [0x001] -> Data [0x00000007]
# KERNEL: [ 107955.146 ns ] : Reading Config space
# KERNEL: Addr: [0x001]
# KERNEL: Cfg Addr [0x001] -> Data [0x00100007]
# KERNEL: [ 113083.146 ns ] : Init complete
# KERNEL: [ 180035.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183043.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183107.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183171.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183235.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183299.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183363.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 187987.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 188083.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 188147.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 188163.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 188243.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 188339.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 188515.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 188691.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 188867.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 189043.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 189219.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 189395.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 203411.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 207891.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 208003.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: TEST finished successfully
# KERNEL: stopped at time: 400 us
endsim
# Simulation has been stopped
transcript to src/testbench/log/console_test_read_4kB.log
/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/testbench/log_example/global_tc_summary.log
0,0 → 1,5
Global SP605_LX45T_WISHBONE TC log:
test_dsc_incorrect PASSED
test_read_4kB PASSED
test_adm_read_8kb PASSED
test_read_reg PASSED
/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/testbench/log_example/console_test_read_reg.log
0,0 → 1,117
asim -ieee_nowarn -O5 -g test_id=3 +access +r +m+test_read_reg stend_sp605_wishbone stend_sp605_wishbone
# ELBREAD: Elaboration process.
# ELBREAD: Elaboration time 1.9 [s].
# asim: Stack memory: 32MB
# asim: Retval memory: 32MB
# KERNEL: Main thread initiated.
# KERNEL: Kernel process initialization phase.
# KERNEL: Time resolution set to 10fs.
# ELAB2: Elaboration final pass...
# ELAB2: Create instances ...
# ELAB2: Create instances complete.
# SLP: Started
# SLP: Elaboration phase ...
# SLP: Elaboration phase ... done : 23.0 [s]
# SLP: Generation phase ...
# SLP: Generation phase ... done : 30.1 [s]
# SLP: Finished : 53.2 [s]
# SLP: 108406 (60.59%) primitives and 64669 (36.15%) other processes in SLP
# SLP: 285581 (94.99%) signals in SLP and 15068 (5.01%) interface signals
# ELAB2: Elaboration final pass complete - time: 64.7 [s].
# KERNEL: SLP loading done - time: 0.6 [s].
# KERNEL: SLP simulation initialization done - time: 0.4 [s].
# KERNEL: Kernel process initialization done.
# Allocation: Simulator allocated 222071 kB (elbread=34310 elab2=88685 kernel=99075 sdf=0)
 
# Simulation has been initialized
# Selected Top-Level: stend_sp605_wishbone (stend_sp605_wishbone)
run {300 us}
# KERNEL: [ 1000 ns ] : Init start
# KERNEL: [ 15923.246 ns ] : Transaction Reset is De-asserted
# KERNEL: [ 25603.246 ns ] : Transaction Link is Up
# KERNEL: [ 25603.246 ns ] : PCI EXPRESS BAR MEMORY/IO MAPPING PROCESS BEGUN..
# KERNEL: BAR 0 = 0x10000000 RANGE = 0xFFE00000 MEM32 MAPPED
# KERNEL: BAR 1 = 0x20000000 RANGE = 0xFFE00000 MEM32 MAPPED
# KERNEL: BAR 2 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 3 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 4 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 5 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 6 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: [ 25603.246 ns ] : Setting Core Configuration Space...
# KERNEL: [ 73651.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 75299.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 76595.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 76947.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 78227.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 78595.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 79891.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 80243.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 81523.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 81891.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 83187.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 83539.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 84819.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 85187.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 86483.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 86835.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 88115.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 89779.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 107635.146 ns ] : BUS Master Enable
# KERNEL: [ 107635.146 ns ] : Reading Config space
# KERNEL: Addr: [0x001]
# KERNEL: Cfg Addr [0x001] -> Data [0x00100000]
# KERNEL: [ 107795.146 ns ] : Writing Config space
# KERNEL: Addr: [0x001] -> Data [0x00000007]
# KERNEL: [ 107955.146 ns ] : Reading Config space
# KERNEL: Addr: [0x001]
# KERNEL: Cfg Addr [0x001] -> Data [0x00100007]
# KERNEL: [ 113083.146 ns ] : Init complete
# KERNEL: [ 180035.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 180179.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 187507.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 187619.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 195763.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 195875.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 196019.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 196163.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 204019.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 204131.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 212243.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: TEST finished successfully
# KERNEL: stopped at time: 300 us
endsim
# Simulation has been stopped
# Checking if synthesis needs to be updated...
# Warning: File "e:\prog\pcie_ds_dma\trunk\projects\sp605_lx45t_wishbone\src\pcie_src\components\pcie_core\pcie_core64_wishbone.vhd" has been modified after last synthesis run.
# Running synthesis...
# Warning: Synthesis: 0 errors, 2227 warning(s)
# Design: Warning: Library SPARTAN6 required for the selected device family has not been installed.
# Checking if synthesis needs to be updated...
# Checking if implementation needs to be updated...
# File "e:\prog\pcie_ds_dma\trunk\projects\sp605_lx45t_wishbone\synthesis\sp605_lx45t_wishbone.ngc" has been modified after last implementation run.
# Implementation has been started.
# Error: File 'e:/prog/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/implement/ver1/rev1/sp605_lx45t_wishbone.ngd' does not exist.
# Implementation ver1->rev1: 3 error(s), 1694 warning(s).
# Error: Implementation ended with error(s).
# Warning: No simulation netlist was produced.
open -txt "e:\prog\pcie_ds_dma\trunk\projects\sp605_lx45t_wishbone\implement\ver1\rev1\sp605_lx45t_wishbone.bld"
# Checking if synthesis needs to be updated...
# Running synthesis...
# Warning: Synthesis: 0 errors, 2350 warning(s)
# Design: Warning: Library SPARTAN6 required for the selected device family has not been installed.
# Checking if synthesis needs to be updated...
# Checking if implementation needs to be updated...
# File "e:\prog\pcie_ds_dma\trunk\projects\sp605_lx45t_wishbone\synthesis\sp605_lx45t_wishbone.ngc" has been modified after last implementation run.
# Implementation has been started.
# Implementation ver1->rev1: 0 error(s), 1610 warning(s).
# Warning: Implementation ended with warning(s).
# Warning: No simulation netlist was produced.
# Launching FPGAEditor e:/prog/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/implement/xie3.ini
# FPGAEditor has been launched.
open -txt "e:\prog\pcie_ds_dma\trunk\projects\sp605_lx45t_wishbone\implement\ver1\rev1\sp605_lx45t_wishbone_postpar.twr"
# Checking if synthesis needs to be updated...
# Checking if implementation needs to be updated...
# Implementation has been started.
# Implementation ver1->rev1: 0 error(s), 1610 warning(s).
# Warning: Implementation ended with warning(s).
# Warning: No simulation netlist was produced.
/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/testbench/log_example/file_id_0.log
0,0 → 1,7
TEST_DSC_INCORRECT
STATUS: A021 - Ok
 
check completed
the number of successful tests: 1
the number of false test: 0
/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/testbench/log_example/file_id_1.log
0,0 → 1,37
TEST_READ_4KB
BLOCK TEST_GEN ID: 00000000
STATUS: A101 - descriptor is correct
STATUS: A101
STATUS: A101
STATUS: A101
STATUS: A121 - DMA finished
 
STATUS: A121
STATUS: A121
STATUS: A121
STATUS: A121
Read:
0 00000000
1 00000000
2 00000080
3 00000000
4 00000000
5 00000000
6 FFFFFF7F
7 FFFFFFFF
8 00000000
9 00000000
10 00000081
11 00000000
12 00000000
13 00000000
14 FFFFFF7E
15 FFFFFFFF
 
Test is correct
 
 
check completed
the number of successful tests: 1
the number of false test: 0
/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/testbench/log_example/console_test_read_4kB.log
0,0 → 1,148
asim -ieee_nowarn -O5 -g test_id=1 +access +r +m+test_read_4kB stend_sp605_wishbone stend_sp605_wishbone
# ELBREAD: Elaboration process.
# ELBREAD: Elaboration time 1.7 [s].
# asim: Stack memory: 32MB
# asim: Retval memory: 32MB
# KERNEL: Main thread initiated.
# KERNEL: Kernel process initialization phase.
# KERNEL: Time resolution set to 10fs.
# ELAB2: Elaboration final pass...
# ELAB2: Create instances ...
# ELAB2: Create instances complete.
# SLP: Started
# SLP: Elaboration phase ...
# SLP: Elaboration phase ... done : 22.0 [s]
# SLP: Generation phase ...
# SLP: Generation phase ... done : 28.1 [s]
# SLP: Finished : 50.1 [s]
# SLP: 108406 (60.59%) primitives and 64669 (36.15%) other processes in SLP
# SLP: 285581 (94.99%) signals in SLP and 15068 (5.01%) interface signals
# ELAB2: Elaboration final pass complete - time: 60.9 [s].
# KERNEL: SLP loading done - time: 0.8 [s].
# KERNEL: SLP simulation initialization done - time: 0.4 [s].
# KERNEL: Kernel process initialization done.
# Allocation: Simulator allocated 222071 kB (elbread=34310 elab2=88685 kernel=99075 sdf=0)
 
# Simulation has been initialized
# Selected Top-Level: stend_sp605_wishbone (stend_sp605_wishbone)
run {400 us}
# KERNEL: [ 1000 ns ] : Init start
# KERNEL: [ 15923.246 ns ] : Transaction Reset is De-asserted
# KERNEL: [ 25603.246 ns ] : Transaction Link is Up
# KERNEL: [ 25603.246 ns ] : PCI EXPRESS BAR MEMORY/IO MAPPING PROCESS BEGUN..
# KERNEL: BAR 0 = 0x10000000 RANGE = 0xFFE00000 MEM32 MAPPED
# KERNEL: BAR 1 = 0x20000000 RANGE = 0xFFE00000 MEM32 MAPPED
# KERNEL: BAR 2 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 3 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 4 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 5 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 6 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: [ 25603.246 ns ] : Setting Core Configuration Space...
# KERNEL: [ 73651.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 75299.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 76595.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 76947.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 78227.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 78595.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 79891.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 80243.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 81523.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 81891.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 83187.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 83539.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 84819.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 85187.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 86483.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 86835.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 88115.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 89779.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 107635.146 ns ] : BUS Master Enable
# KERNEL: [ 107635.146 ns ] : Reading Config space
# KERNEL: Addr: [0x001]
# KERNEL: Cfg Addr [0x001] -> Data [0x00100000]
# KERNEL: [ 107795.146 ns ] : Writing Config space
# KERNEL: Addr: [0x001] -> Data [0x00000007]
# KERNEL: [ 107955.146 ns ] : Reading Config space
# KERNEL: Addr: [0x001]
# KERNEL: Cfg Addr [0x001] -> Data [0x00100007]
# KERNEL: [ 113083.146 ns ] : Init complete
# KERNEL: [ 180035.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183091.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183155.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 187507.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 187619.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 187683.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 187747.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 187811.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 187875.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 187939.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 188003.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 189059.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 195795.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 195955.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 195971.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 196019.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 196115.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 196147.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 196323.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 196499.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 196675.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 196851.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 197027.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 197203.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 209107.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 216787.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 216819.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 216931.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 217491.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 218067.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 218675.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 219251.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 219859.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 220531.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 221139.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 221171.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 221811.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 222275.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 222419.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 222995.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 223603.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 224179.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 224787.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 225363.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 226035.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 226675.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 226707.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 227411.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 227811.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 228019.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 228627.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 229203.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 229811.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 230387.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 230995.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 231635.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 232243.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 232275.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 232947.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 233379.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 233555.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 234131.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 234739.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 235315.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 235923.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 236403.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 236515.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 244627.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 245219.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 252883.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 253475.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 261107.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 261699.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 269363.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 269955.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: TEST finished successfully
# KERNEL: stopped at time: 400 us
endsim
# Simulation has been stopped
transcript to src/testbench/log/console_test_adm_read_8kb.log
/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/testbench/log_example/file_id_2.log
0,0 → 1,65
TEST_ADM_READ_8KB
BLOCK TEST_GEN ID: 00000000
WB_GEN_STATUS: 00000005
WB_GEN_BL_WR: 00000000
STATUS: A101 - descriptor is correct
STATUS: A101
STATUS: A101
STATUS: A101
STATUS: A101
STATUS: A101
STATUS: A191
STATUS: A191
STATUS: A191
STATUS: A191
STATUS: A1F1 - DMA finished
 
WB_GEN_STATUS: 00000309
WB_GEN_BL_WR: 00000005
STATUS: A1F1
STATUS: A1F1
STATUS: A1F1
STATUS: A1F1
Block 0 - read:
0 00000000
1 00000000
2 00000080
3 00000000
4 00000000
5 00000000
6 FFFFFF7F
7 FFFFFFFF
8 00000000
9 00000000
10 00000081
11 00000000
12 00000000
13 00000000
14 FFFFFF7E
15 FFFFFFFF
 
Block 1 - read:
0 00000000
1 00000000
2 00000180
3 00000000
4 00000000
5 00000000
6 FFFFFE7F
7 FFFFFFFF
8 00000000
9 00000000
10 00000181
11 00000000
12 00000000
13 00000000
14 FFFFFE7E
15 FFFFFFFF
 
Test is correct
 
 
check completed
the number of successful tests: 1
the number of false test: 0
/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/testbench/log_example/console_test_read 4 kB.log
0,0 → 1,159
asim -ieee_nowarn -g test_id=2 +access +r {+m+test_read 4 kB} stend_sp605_wishbone stend_sp605_wishbone
# ELBREAD: Elaboration process.
# ELBREAD: Elaboration time 1.8 [s].
# asim: Stack memory: 32MB
# asim: Retval memory: 32MB
# KERNEL: Main thread initiated.
# KERNEL: Kernel process initialization phase.
# KERNEL: Time resolution set to 10fs.
# ELAB2: Elaboration final pass...
# ELAB2: Create instances ...
# ELAB2: Create instances complete.
# SLP: Started
# SLP: Elaboration phase ...
# SLP: Elaboration phase ... done : 20.8 [s]
# SLP: Generation phase ...
# SLP: Generation phase ... done : 29.7 [s]
# SLP: Finished : 50.5 [s]
# SLP: 108406 (60.51%) primitives and 64668 (36.10%) other processes in SLP
# SLP: 285580 (94.99%) signals in SLP and 15068 (5.01%) interface signals
# ELAB2: Elaboration final pass complete - time: 61.3 [s].
# KERNEL: SLP loading done - time: 0.6 [s].
# KERNEL: SLP simulation initialization done - time: 0.8 [s].
# KERNEL: Kernel process initialization done.
# Allocation: Simulator allocated 212652 kB (elbread=33277 elab2=80384 kernel=98991 sdf=0)
 
# Simulation has been initialized
# Selected Top-Level: stend_sp605_wishbone (stend_sp605_wishbone)
run {300 us}
# KERNEL: [ 1000 ns ] : Init start
# KERNEL: [ 15923.246 ns ] : Transaction Reset is De-asserted
# KERNEL: [ 25603.246 ns ] : Transaction Link is Up
# KERNEL: [ 25603.246 ns ] : PCI EXPRESS BAR MEMORY/IO MAPPING PROCESS BEGUN..
# KERNEL: BAR 0 = 0x10000000 RANGE = 0xFFE00000 MEM32 MAPPED
# KERNEL: BAR 1 = 0x20000000 RANGE = 0xFFE00000 MEM32 MAPPED
# KERNEL: BAR 2 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 3 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 4 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 5 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: BAR 6 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: [ 25603.246 ns ] : Setting Core Configuration Space...
# KERNEL: [ 73651.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 75299.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 76595.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 76947.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 78227.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 78595.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 79891.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 80243.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 81523.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 81891.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 83187.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 83539.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 84819.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 85187.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 86483.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 86835.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 88115.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 89779.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 107635.146 ns ] : BUS Master Enable
# KERNEL: [ 107635.146 ns ] : Reading Config space
# KERNEL: Addr: [0x001]
# KERNEL: Cfg Addr [0x001] -> Data [0x00100000]
# KERNEL: [ 107795.146 ns ] : Writing Config space
# KERNEL: Addr: [0x001] -> Data [0x00000007]
# KERNEL: [ 107955.146 ns ] : Reading Config space
# KERNEL: Addr: [0x001]
# KERNEL: Cfg Addr [0x001] -> Data [0x00100007]
# KERNEL: [ 113083.146 ns ] : Init complete
# KERNEL: [ 182947.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183011.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 190067.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 190179.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 190243.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 190307.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 190371.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 190435.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 190499.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 190563.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 191619.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 198355.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 198515.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 198531.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 198579.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 198675.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 198707.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 198883.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 199059.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 199235.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 199411.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 199587.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 199763.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 207699.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 208307.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 208883.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 209491.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 210067.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 210675.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 211251.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 211667.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 211859.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 212435.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 213043.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 213619.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 214259.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 214835.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 215507.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 215539.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 215651.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 216179.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 216787.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 217363.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 217971.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 218547.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 219219.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 219795.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 219827.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 220563.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 220931.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 221139.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 221747.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 222323.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 222931.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 223507.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 224115.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 224755.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 225363.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 225395.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 226035.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 226499.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 226675.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 234643.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 234755.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 242931.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 243523.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 251155.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 251747.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 259411.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 260003.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 267635.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 268227.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: TEST finished successfully
# KERNEL: stopped at time: 273227103 ps
endsim
# Simulation has been stopped
acom -dbg -work sp605_lx45t_wishbone -2002 $dsn/src/testbench/stend_sp605_wishbone.vhd
# Compile...
# File: E:\prog\pcie_ds_dma\trunk\projects\sp605_lx45t_wishbone\src\testbench\stend_sp605_wishbone.vhd
# Compile Entity "stend_sp605_wishbone"
# Compile Architecture "stend_sp605_wishbone" of Entity "stend_sp605_wishbone"
# Compile success 0 Errors 0 Warnings Analysis time : 0.2 [s]
acom -dbg -work sp605_lx45t_wishbone -2002 $dsn/src/testbench/test_pkg.vhd
# Compile...
# File: E:\prog\pcie_ds_dma\trunk\projects\sp605_lx45t_wishbone\src\testbench\test_pkg.vhd
# Compile Package "test_pkg"
# Compile Package Body "test_pkg"
# Warning: COMP96_0564: test_pkg.vhd : (107, 9): Shared variable is not of a protected type. (IEEE Std 1076-2002, 4.3.1.3)
# Compile success 0 Errors 1 Warnings Analysis time : 0.2 [s]
runscript -tcl "E:\prog\pcie_ds_dma\trunk\projects\sp605_lx45t_wishbone\src\testbench\ahdl\run_ahdl.tcl"
E:/prog/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbonetranscript to src/testbench/log/console_test_adm_read_8kb.log
/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/testbench/log_example/file_id_3.log
0,0 → 1,10
TEST_READ_REG
BLOCK 0 ID: 001B
BLOCK 1 ID: 001A
BLOCK 0 ID: 001B - Ok
BLOCK 1 ID: 001A - Ok
 
check completed
the number of successful tests: 1
the number of false test: 0
/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/top/sp605_lx45t_wishbone.ucf
144,6 → 144,8
TIMESPEC TS_GT_REFCLK_OUT = PERIOD GT_REFCLK_OUT 8ns HIGH 50 % ;
 
 
NET "WB_SOPC/s_wb_clk" TNM_NET = WB_CLK;
TIMESPEC TS_WB_CLK = PERIOD WB_CLK 30 ns;
 
 
###############################################################################

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.