OpenCores
URL https://opencores.org/ocsvn/v586/v586/trunk

Subversion Repositories v586

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 39 to Rev 40
    Reverse comparison

Rev 39 → Rev 40

/v586/trunk/tb/tb_top_gate.v
8,7 → 8,7
 
 
`ifndef verilator
module tb_top ();
module tb_top_gate ();
wire [1:0] Ae;
wire [15:0] DB;
wire [23:0] Ad;
15,7 → 15,7
wire LB,UB;
reg RXD,clk,rstn;
`else
module tb_top (rstn,clk,RXD);
module tb_top_gate (rstn,clk,RXD);
input rstn,clk,RXD;
wire [1:0] Ae;
wire [15:0] DB;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.