OpenCores
URL https://opencores.org/ocsvn/ourisc/ourisc/trunk

Subversion Repositories ourisc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 4 to Rev 5
    Reverse comparison

Rev 4 → Rev 5

/ourisc/trunk/rtl/packages/flags.vhd
7,12 → 7,12
-- To use any of the example code shown below, uncomment the lines and modify as necessary
--
 
library IEEE;
use IEEE.STD_LOGIC_1164.all;
library ieee;
use ieee.std_logic_1164.all;
 
package Flags is
package flags is
constant equals : std_logic_vector (3 downto 0) := "0001";
constant above : std_logic_vector (3 downto 0) := "0010";
constant overflow : std_logic_vector (3 downto 0) := "0100";
constant error : std_logic_vector (3 downto 0) := "1000";
end Flags;
end flags;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.