OpenCores
URL https://opencores.org/ocsvn/wb_prefetch_spram/wb_prefetch_spram/trunk

Subversion Repositories wb_prefetch_spram

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 4 to Rev 5
    Reverse comparison

Rev 4 → Rev 5

/trunk/bench/verilog/tb_defines.v
44,7 → 44,10
// CVS Revision History
//
// $Log: not supported by cvs2svn $
// Revision 1.2 2001/07/30 05:38:02 lampret
// Adding empty directories required by HDL coding guidelines
//
//
 
//
// Half of clock period
63,6 → 66,11
`define RAM_WORDS (2<<`RAM_ADDRWIDTH)
 
//
// RAM data width
//
`define RAM_DATAWIDTH 32
 
//
// Define to get VCD output
//
//`define VCD_DUMP
`define VCD_DUMP
/trunk/bench/verilog/wb_master.v
113,8 → 113,8
write_flag = 0;
GO <= 1;
@(posedge CLK_I);
GO <= 0; // DL
// @(posedge CLK_I);
// GO <= 0; // DL
 
// wait for cycle to start
while (~CYC_O)
143,8 → 143,8
data = dat;
GO <= 1;
@(posedge CLK_I);
GO <= 0; // DL
// @(posedge CLK_I);
// GO <= 0; // DL
 
// wait for cycle to start
while (~CYC_O)
170,8 → 170,8
cycle_end = end_flag;
address = adr;
GO <= 1;
@(posedge CLK_I);
GO <= 0; // DL
// @(posedge CLK_I);
// GO <= 0; // DL
 
while (~(ACK_I & STB_O))
@(posedge CLK_I);
194,12 → 194,12
data = dat;
selects = sel;
GO <= 1;
@(posedge CLK_I);
GO <= 0; // DL
// @(posedge CLK_I);
// GO <= 0; // DL
 
while (~(ACK_I & STB_O))
@(posedge CLK_I);
 
end
endtask // blkwr
 
/trunk/bench/verilog/tb_top.v
44,7 → 44,10
// CVS Revision History
//
// $Log: not supported by cvs2svn $
// Revision 1.2 2001/07/30 05:38:02 lampret
// Adding empty directories required by HDL coding guidelines
//
//
 
`include "timescale.v"
 
54,7 → 57,7
// Width of address and data buses
//
parameter aw = `RAM_ADDRWIDTH;
parameter dw = 16;
parameter dw = `RAM_DATAWIDTH;
 
//
// Internal wires

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.