OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 40 to Rev 41
    Reverse comparison

Rev 40 → Rev 41

/trunk/test/test.pl
22,7 → 22,7
`cp ./h264/$_ input.264`;
system("wc input.264 | awk \'{printf(\"%08x\\n%08x\\n%08x\\n%08x\\n\", \$3, \$3, \$3, \$3)}\' > input_size.hex");
`perl hexfilegen.pl input.264`;
system("./a.out | grep \"OUT\" | awk \'{print \$2}\' > out.hex");
system("./a.out | tee out.txt | grep \"OUT\" | awk \'{print \$2}\' > out.hex");
`perl dehex.pl out.hex out_hw.yuv`;
`./decoder/bin/ldecod.exe -i input.264 -o out_gold.yuv`;
$out=`diff -q out_gold.yuv out_hw.yuv`;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.