OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 42 to Rev 43
    Reverse comparison

Rev 42 → Rev 43

/trunk/src/mkDeblockFilter.bsv
190,7 → 190,7
 
module mkRFileSingle#( idx_t lo, idx_t hi ) ( RFileSingle#(idx_t, d_t) )
provisos (Bits#(idx_t, si),Bits#(d_t, sa));
RegFile#(idx_t,d_t) rf <- mkRegFile(lo,hi);
RegFile#(idx_t,d_t) rf <- mkRegFileWCF(lo,hi);
RWire#(Bit#(0)) sched_hack <- mkRWire();
method Action upd( idx_t index, d_t data );
rf.upd( index, data );
202,8 → 202,8
endmodule
module mkRFileSingleFull( RFileSingle#(idx_t, d_t) )
provisos (Bits#(idx_t, si),Bits#(d_t, sa),Bounded#(idx_t) );
RegFile#(idx_t,d_t) rf <- mkRegFileFull();
provisos (Bits#(idx_t, si),Bits#(d_t, sa),Bounded#(idx_t),Literal#(idx_t) );
RegFile#(idx_t,d_t) rf <- mkRegFileWCF(0,fromInteger(valueof(TSub#(TExp#(si),1))));
RWire#(Bit#(0)) sched_hack <- mkRWire();
method Action upd( idx_t index, d_t data );
rf.upd( index, data );
284,7 → 284,7
 
FIFOF#(EntropyDecOT) infifo <- mkSizedFIFOF(deblockFilter_infifo_size);
FIFO#(DeblockFilterOT) outfifo <- mkFIFO();
FIFO#(DeblockFilterOT) outfifoVertical <- mkFIFO();
FIFO#(DeblockFilterOT) outfifoVertical <- mkSizedFIFO(5);
 
FIFO#(MemReq#(TAdd#(PicWidthSz,5),32)) dataMemReqQ <- mkFIFO;
FIFO#(MemReq#(TAdd#(PicWidthSz,5),32)) memReqRowToColumnConversion <- mkFIFO();
379,7 → 379,7
FIFO#(Tuple2#(Bit#(4), Bit#(1))) columnToRowStoreBlock <- mkFIFO();
 
Reg#(Bit#(2)) columnNumber <- mkReg(0);
// Debugging register
Reg#(Bit#(32)) fifo_full_count <- mkReg(0);
Reg#(Bit#(32)) fifo_empty_count <- mkReg(0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.