URL
https://opencores.org/ocsvn/pltbutils/pltbutils/trunk
Subversion Repositories pltbutils
Compare Revisions
- This comparison shows the changes necessary to convert path
/
- from Rev 42 to Rev 43
- ↔ Reverse comparison
Rev 42 → Rev 43
/pltbutils/trunk/template/vhdl/tc_template.vhd
26,7 → 26,7
---- ---- |
---------------------------------------------------------------------- |
---- ---- |
---- Copyright (C) 2013 Authors and OPENCORES.ORG ---- |
---- Copyright (C) 2013-2014 Authors and OPENCORES.ORG ---- |
---- ---- |
---- This source file may be used and distributed without ---- |
---- restriction provided that this copyright statement is not ---- |
52,6 → 52,7
---------------------------------------------------------------------- |
library ieee; |
use ieee.std_logic_1164.all; |
use work.pltbutils_func_pkg.all; |
|
entity tc_template is |
generic ( |
58,6 → 59,7
-- < Template info: add generics here if needed, or remove the generic block > |
); |
port ( |
pltbs : out pltbs_t; |
clk : in std_logic; -- Template example |
rst : out std_logic; -- Template example |
-- < Template info: add more ports for testcase component here. > |