OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 427 to Rev 428
    Reverse comparison

Rev 427 → Rev 428

/plasma/trunk/vhdl/mult.vhd
77,7 → 77,9
c_mult <= lower_reg when mult_func = MULT_READ_LO and negate_reg = '0' else
bv_negate(lower_reg) when mult_func = MULT_READ_LO
and negate_reg = '1' else
upper_reg when mult_func = MULT_READ_HI else
upper_reg when mult_func = MULT_READ_HI and negate_reg = '0' else
bv_negate(upper_reg) when mult_func = MULT_READ_HI
and negate_reg = '1' else
ZERO;
pause_out <= '1' when (count_reg /= "000000") and
(mult_func = MULT_READ_LO or mult_func = MULT_READ_HI) else '0';
130,7 → 132,11
aa_reg <= a_neg;
bb_reg <= b_neg;
end if;
sign_reg <= a(31) xor b(31);
if a /= ZERO then
sign_reg <= a(31) xor b(31);
else
sign_reg <= '0';
end if;
sign2_reg <= '0';
upper_reg <= ZERO;
count_reg <= "100000";

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.