OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 43 to Rev 44
    Reverse comparison

Rev 43 → Rev 44

/trunk/src/mkDeblockFilter.bsv
404,7 → 404,7
if(!infifo.notFull)
begin
fifo_full_count <= fifo_full_count + 1;
$display("DEBLOCK FIFO FULL: %d of %d",fifo_full_count, total_cycles);
$display("DEBLOCK FIFO(%d) FULL: %d of %d",deblockFilter_infifo_size, fifo_full_count, total_cycles);
end
endrule
 
542,7 → 542,6
topVectorValidBits <= 0;
endrule
 
 
rule dataSendReq ( dataReqCount>0 && currMbHor<zeroExtend(picWidth) );
$display( "TRACE Deblocking Filter: dataSendReq %0d", dataReqCount);
Bit#(PicWidthSz) temp = truncate(currMbHor);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.