OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 47 to Rev 48
    Reverse comparison

Rev 47 → Rev 48

/trunk/src_verilog/top.v
0,0 → 1,19
module top;
 
reg clk = 0;
reg reset = 0;
always #5 clk <= ~clk; // this corresponds to 10ns duty cycle?
 
mkTH th( .CLK(clk), .RST_N(reset) );
initial
begin
// This turns on VCD (plus) output
$vcdpluson(0);
clk <= 0;
#600000;
$finish;
end
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.