OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 47 to Rev 48
    Reverse comparison

Rev 47 → Rev 48

/t6507lp/trunk/rtl/verilog/T6507LP_FSM.v
73,7 → 73,7
localparam CHECK_FOR_PAGE_CROSS = 4'b1000;
 
// OPCODES TODO: verify how this get synthesised
`include "T6507LP_Package.v"
`include "../T6507LP_Package.v"
 
// control signals
localparam READ = 1'b0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.