OpenCores
URL https://opencores.org/ocsvn/mips789/mips789/trunk

Subversion Repositories mips789

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 48 to Rev 49
    Reverse comparison

Rev 48 → Rev 49

/trunk/rtl/verilog/mips789_defs.v
199,9 → 199,9
//#1
 
 
`define WB_INS_LATCH_ADDR 1
`define WB_INS_LATCH_ADDR
 
`define WB_INS_LATCH_DATA ~`WB_INS_LATCH_ADDR
 
//
`define ALTERA
/trunk/rtl/verilog/mips_top.v
74,7 → 74,7
);
 
 
`if WB_INS_LATCH_ADDR
`ifdef WB_INS_LATCH_ADDR
 
f_d_save ins_addr_keep(
.clk(CLK),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.