OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 48 to Rev 49
    Reverse comparison

Rev 48 → Rev 49

/sdhc-sc-core/trunk/src/grpSd/pkgSd/src/Sd-p.vhdl
73,7 → 73,7
 
type aSdCmdFromController is record
Content : aSdCmdContent;
Send : std_ulogic;
Valid : std_ulogic;
end record aSdCmdFromController;
 
type aSdCmdToController is record
/sdhc-sc-core/trunk/src/grpSd/unitSdCmd/src/SdCmd-Rtl-ea.vhdl
100,7 → 100,7
 
case State is
when idle =>
if (iFromController.Send = cActivated) then
if (iFromController.Valid = cActivated) then
NextState <= startbit;
end if;
 
/sdhc-sc-core/trunk/src/grpSd/unitSdCmd/src/tbSdCmd-Bhv-ea.vhdl
55,7 → 55,7
Cmd <= 'Z';
ToCmd.Content.id <= cSdCmdGoIdleState;
ToCmd.Content.arg <= (others => '0');
ToCmd.Send <= cActivated;
ToCmd.Valid <= cActivated;
 
Stimuli : process is
begin
/sdhc-sc-core/trunk/src/grpSd/unitSdCmdWrapper/src/SdCmdWrapper-Rtl-ea.vhdl
31,7 → 31,7
 
FromController.Content.id <= iCmdId;
FromController.Content.arg <= iArg;
FromController.Send <= iValid;
FromController.Valid <= iValid;
oReceiving <= ToController.Receiving;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.