OpenCores
URL https://opencores.org/ocsvn/t51/t51/trunk

Subversion Repositories t51

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 48 to Rev 49
    Reverse comparison

Rev 48 → Rev 49

/trunk/rtl/vhdl/T51_MD.vhd
86,7 → 86,7
process (Clk)
variable Tmp1 : unsigned(15 downto 0);
variable Tmp2 : unsigned(8 downto 0);
variable Tmp3 : unsigned(8 downto 0);
variable Tmp3 : unsigned(7 downto 0);
variable Cnt : unsigned(3 downto 0);
begin
if Clk'event and Clk = '1' then
113,7 → 113,7
elsif Cnt(3) = '0' then
Tmp1(15 downto 1) := Tmp1(14 downto 0);
Tmp1(0) := '0';
Tmp2 := ("1" & Tmp1(15 downto 8)) - Tmp3;
Tmp2 := ("1" & Tmp1(15 downto 8)) - ("0" & Tmp3);
if Tmp2(8) = '1' then
Tmp1(0) := '1';
Tmp1(15 downto 8) := Tmp2(7 downto 0);
124,7 → 124,7
if Old_ACC /= ACC or Old_B /= B then
Tmp1(7 downto 0) := unsigned(ACC);
Tmp1(15 downto 8) := "00000000";
Tmp3 := "0" & unsigned(B);
Tmp3 := unsigned(B);
Cnt := "0000";
Div_Rdy <= '0';
else

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.