OpenCores
URL https://opencores.org/ocsvn/yellowstar/yellowstar/trunk

Subversion Repositories yellowstar

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

yellowstar/web_uploads/oc_cvs_checkin.sh Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: yellowstar/web_uploads/svn_checkin.sh =================================================================== --- yellowstar/web_uploads/svn_checkin.sh (revision 5) +++ yellowstar/web_uploads/svn_checkin.sh (nonexistent) @@ -1,2834 +0,0 @@ -#!/bin/bash -# AUTOMATICALLY GENERATED SCRIPT -# Scans the cores directory, excludes the projects and subdirectories -# listed below, and generates a script which checks in all of the -# remaining files to the SVN repository -# This should be run and the output piped to a new file something like: -# ./oc_cvs_checkin.sh > checkin_script.sh -# and then probably the execute permission enabled on checkin_script.sh -# Encapsulate the checkins inside this loop we can -# break out of in the event of a problem checking -# one of them in - -# Function to check the return value of each SVN checkin -function check_svn_return_value { if [ $? -gt 1 ]; then echo "Error during checkins - aborting script."; exit 1; fi -} -ALL_DONE="0" -while [ $ALL_DONE = 0 ]; do - pushd "100baset" - popd - pushd "1394ohci" - popd - pushd "2dcoprocessor" - popd - pushd "395_vgs" - popd - pushd "3des_vhdl" - popd - pushd "4bitprocesor" - popd - pushd "6502vhdl" - popd - pushd "68hc05" - popd - pushd "68hc08" - popd - pushd "8051_serial" - popd - pushd "8051_to_ahb_interface" - popd - pushd "8b10b_encdec" - svn import -m "Import from OC" "8b10b_encdec_v1d0.pdf" "http://orsoc.se:4488/svn/8b10b_encdec/8b10b_encdec_v1d0.pdf" - check_svn_return_value - svn import -m "Import from OC" "8b10_dec.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_dec.vhd" - check_svn_return_value - svn import -m "Import from OC" "8b10_enc.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_enc.vhd" - check_svn_return_value - svn import -m "Import from OC" "enc_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/enc_8b10b_TB.vhd" - check_svn_return_value - svn import -m "Import from OC" "encdec_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/encdec_8b10b_TB.vhd" - check_svn_return_value - popd - pushd "8bituartvhdl" - popd - pushd "aacencode" - popd - pushd "acxbrd" - svn import -m "Import from OC" "jopcore.pdf" "http://orsoc.se:4488/svn/acxbrd/jopcore.pdf" - check_svn_return_value - popd - pushd "adaptivefilter" - popd - pushd "adaptive_lms_equalizer" - popd - pushd "adder" - svn import -m "Import from OC" "high-speed-adder-128bits-opencore.v" "http://orsoc.se:4488/svn/adder/high-speed-adder-128bits-opencore.v" - check_svn_return_value - popd - pushd "ae18" - popd - pushd "aemb" - popd - pushd "aes128" - popd - pushd "aes_128_192_256" - svn import -m "Import from OC" "aes_dec.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_dec.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_enc.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_enc.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_pkg.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_pkg.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_top.pdf" "http://orsoc.se:4488/svn/aes_128_192_256/aes_top.pdf" - check_svn_return_value - svn import -m "Import from OC" "key_expansion.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/key_expansion.vhdl" - check_svn_return_value - popd - pushd "aes_core" - popd - pushd "aes_crypto_core" - popd - pushd "aes_fekete256" - svn import -m "Import from OC" "AES.ZIP" "http://orsoc.se:4488/svn/aes_fekete256/AES.ZIP" - check_svn_return_value - popd - pushd "ahb2wishbone" - popd - pushd "ahbahb" - popd - pushd "ahb_arbiter" - popd - pushd "ahb_system_generator" - popd - pushd "all_digital_fm_receiver" - svn import -m "Import from OC" "architecture.png" "http://orsoc.se:4488/svn/all_digital_fm_receiver/architecture.png" - check_svn_return_value - svn import -m "Import from OC" "fmsquare.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmsquare.jpg" - check_svn_return_value - svn import -m "Import from OC" "fmtriangular.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmtriangular.jpg" - check_svn_return_value - popd - pushd "alternascope" - svn import -m "Import from OC" "Alternascope_Sept15_2005.rar" "http://orsoc.se:4488/svn/alternascope/Alternascope_Sept15_2005.rar" - check_svn_return_value - svn import -m "Import from OC" "BlockDiagram_small.GIF" "http://orsoc.se:4488/svn/alternascope/BlockDiagram_small.GIF" - check_svn_return_value - svn import -m "Import from OC" "OpenCores.JPG" "http://orsoc.se:4488/svn/alternascope/OpenCores.JPG" - check_svn_return_value - popd - pushd "alu_with_selectable_inputs_and_outputs" - popd - pushd "amba_compliant_fifo_core" - popd - pushd "ambasdram" - popd - pushd "aquarius" - svn import -m "Import from OC" "aquarius.files" "http://orsoc.se:4488/svn/aquarius/aquarius.files" - check_svn_return_value - svn import -m "Import from OC" "aquarius.html" "http://orsoc.se:4488/svn/aquarius/aquarius.html" - check_svn_return_value - svn import -m "Import from OC" "cpublock.gif" "http://orsoc.se:4488/svn/aquarius/cpublock.gif" - check_svn_return_value - svn import -m "Import from OC" "fpgaboard.gif" "http://orsoc.se:4488/svn/aquarius/fpgaboard.gif" - check_svn_return_value - svn import -m "Import from OC" "rtl.gif" "http://orsoc.se:4488/svn/aquarius/rtl.gif" - check_svn_return_value - popd - pushd "aspida" - svn import -m "Import from OC" "aspida_dlx_core.tar.gz" "http://orsoc.se:4488/svn/aspida/aspida_dlx_core.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "aspida.gif" "http://orsoc.se:4488/svn/aspida/aspida.gif" - check_svn_return_value - svn import -m "Import from OC" "faq.tar.gz" "http://orsoc.se:4488/svn/aspida/faq.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "thumb_aspida.gif" "http://orsoc.se:4488/svn/aspida/thumb_aspida.gif" - check_svn_return_value - popd - pushd "asynchronous_clocks" - popd - pushd "ata" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ata/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "preliminary_ata_core.pdf" "http://orsoc.se:4488/svn/ata/preliminary_ata_core.pdf" - check_svn_return_value - popd - pushd "auto_baud" - svn import -m "Import from OC" "auto_baud.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud.v" - check_svn_return_value - svn import -m "Import from OC" "auto_baud_with_tracking.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud_with_tracking.v" - check_svn_return_value - svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/auto_baud/b13_safe_09_17_02.zip" - check_svn_return_value - popd - pushd "a_vhd_16550_uart" - svn import -m "Import from OC" "gh_uart_16550_101307.zip" "http://orsoc.se:4488/svn/a_vhd_16550_uart/gh_uart_16550_101307.zip" - check_svn_return_value - svn import -m "Import from OC" "vhdl_16550_uart_2_2.pdf" "http://orsoc.se:4488/svn/a_vhd_16550_uart/vhdl_16550_uart_2_2.pdf" - check_svn_return_value - popd - pushd "a_vhdl_can_controller" - svn import -m "Import from OC" "can_parts.zip" "http://orsoc.se:4488/svn/a_vhdl_can_controller/can_parts.zip" - check_svn_return_value - popd - pushd "avr_core" - svn import -m "Import from OC" "AVR_Core8F.tar.gz" "http://orsoc.se:4488/svn/avr_core/AVR_Core8F.tar.gz" - check_svn_return_value - popd - pushd "ax8" - popd - pushd "basicdes" - popd - pushd "basicrsa" - popd - pushd "baudgen" - svn import -m "Import from OC" "am_baud_rate_gen.vhd" "http://orsoc.se:4488/svn/baudgen/am_baud_rate_gen.vhd" - check_svn_return_value - popd - pushd "baud_select_uart" - popd - pushd "bc6502" - popd - pushd "big_counter" - popd - pushd "binary_to_bcd" - svn import -m "Import from OC" "b17_test_environment.zip" "http://orsoc.se:4488/svn/binary_to_bcd/b17_test_environment.zip" - check_svn_return_value - svn import -m "Import from OC" "bcd_to_binary.v" "http://orsoc.se:4488/svn/binary_to_bcd/bcd_to_binary.v" - check_svn_return_value - svn import -m "Import from OC" "binary_to_bcd.v" "http://orsoc.se:4488/svn/binary_to_bcd/binary_to_bcd.v" - check_svn_return_value - popd - pushd "bips" - popd - pushd "biquad" - svn import -m "Import from OC" "biquad.pdf" "http://orsoc.se:4488/svn/biquad/biquad.pdf" - check_svn_return_value - svn import -m "Import from OC" "biquad.v" "http://orsoc.se:4488/svn/biquad/biquad.v" - check_svn_return_value - svn import -m "Import from OC" "bqmain.v" "http://orsoc.se:4488/svn/biquad/bqmain.v" - check_svn_return_value - svn import -m "Import from OC" "bquad_blk.gif" "http://orsoc.se:4488/svn/biquad/bquad_blk.gif" - check_svn_return_value - svn import -m "Import from OC" "coefio.v" "http://orsoc.se:4488/svn/biquad/coefio.v" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/biquad/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "multa.v" "http://orsoc.se:4488/svn/biquad/multa.v" - check_svn_return_value - svn import -m "Import from OC" "multb.v" "http://orsoc.se:4488/svn/biquad/multb.v" - check_svn_return_value - svn import -m "Import from OC" "vsource.html" "http://orsoc.se:4488/svn/biquad/vsource.html" - check_svn_return_value - popd - pushd "bluespec-80211atransmitter" - popd - pushd "bluespec-bsp" - popd - pushd "bluespec-convolutional-codec" - popd - pushd "bluespec-fft" - popd - pushd "bluespec-galoisfield" - popd - pushd "bluespec-h264" - svn import -m "Import from OC" "h264.pdf" "http://orsoc.se:4488/svn/bluespec-h264/h264.pdf" - check_svn_return_value - svn import -m "Import from OC" "memo497.pdf" "http://orsoc.se:4488/svn/bluespec-h264/memo497.pdf" - check_svn_return_value - popd - pushd "bluespec-ofdm" - popd - pushd "bluespec-reedsolomon" - popd - pushd "bluetooth" - svn import -m "Import from OC" "BBspec.shtml" "http://orsoc.se:4488/svn/bluetooth/BBspec.shtml" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth_01b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_01b.zip" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth_02b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_02b.zip" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth.zip" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/bluetooth/index.shtml" - check_svn_return_value - popd - pushd "bluetooth_ver" - popd - pushd "board" - svn import -m "Import from OC" "blockdiagram.jpg" "http://orsoc.se:4488/svn/board/blockdiagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "boardflow.jpg" "http://orsoc.se:4488/svn/board/boardflow.jpg" - check_svn_return_value - svn import -m "Import from OC" "board.shtml" "http://orsoc.se:4488/svn/board/board.shtml" - check_svn_return_value - svn import -m "Import from OC" "coreflow.jpg" "http://orsoc.se:4488/svn/board/coreflow.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/board/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "led.jpg" "http://orsoc.se:4488/svn/board/led.jpg" - check_svn_return_value - svn import -m "Import from OC" "matrics.gif" "http://orsoc.se:4488/svn/board/matrics.gif" - check_svn_return_value - svn import -m "Import from OC" "power_led.gif" "http://orsoc.se:4488/svn/board/power_led.gif" - check_svn_return_value - svn import -m "Import from OC" "XC95108-PC84.sym" "http://orsoc.se:4488/svn/board/XC95108-PC84.sym" - check_svn_return_value - popd - pushd "boundaries" - popd - pushd "brisc" - popd - pushd "butterfly" - popd - pushd "c16" - popd - pushd "cable" - popd - pushd "cachemodel" - popd - pushd "cam" - popd - pushd "camellia" - svn import -m "Import from OC" "camellia_core_tb.vhd" "http://orsoc.se:4488/svn/camellia/camellia_core_tb.vhd" - check_svn_return_value - svn import -m "Import from OC" "CAMELLIA_CORE.vhd" "http://orsoc.se:4488/svn/camellia/CAMELLIA_CORE.vhd" - check_svn_return_value - svn import -m "Import from OC" "Camellia_doc.pdf" "http://orsoc.se:4488/svn/camellia/Camellia_doc.pdf" - check_svn_return_value - popd - pushd "camellia-vhdl" - popd - pushd "can" - svn import -m "Import from OC" "CAN.gif" "http://orsoc.se:4488/svn/can/CAN.gif" - check_svn_return_value - popd - pushd "cas" - popd - pushd "cdma" - popd - pushd "cereon" - svn import -m "Import from OC" "AssemblerReference.pdf" "http://orsoc.se:4488/svn/cereon/AssemblerReference.pdf" - check_svn_return_value - svn import -m "Import from OC" "CereonArchitectureReferenceManual_Version1.pdf" "http://orsoc.se:4488/svn/cereon/CereonArchitectureReferenceManual_Version1.pdf" - check_svn_return_value - svn import -m "Import from OC" "ProcedureCallingStandards.pdf" "http://orsoc.se:4488/svn/cereon/ProcedureCallingStandards.pdf" - check_svn_return_value - svn import -m "Import from OC" "ProcessorIdentificationScheme.pdf" "http://orsoc.se:4488/svn/cereon/ProcessorIdentificationScheme.pdf" - check_svn_return_value - popd - pushd "cf_cordic" - svn import -m "Import from OC" "cf_cordic.tgz" "http://orsoc.se:4488/svn/cf_cordic/cf_cordic.tgz" - check_svn_return_value - popd - pushd "cf_fft" - svn import -m "Import from OC" "cf_fft_test_large.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test_large.tgz" - check_svn_return_value - svn import -m "Import from OC" "cf_fft_test.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test.tgz" - check_svn_return_value - svn import -m "Import from OC" "cf_fft.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft.tgz" - check_svn_return_value - popd - pushd "cf_fir" - svn import -m "Import from OC" "cf_fir.tgz" "http://orsoc.se:4488/svn/cf_fir/cf_fir.tgz" - check_svn_return_value - popd - pushd "cf_fp_mul" - svn import -m "Import from OC" "cf_fp_mul.tgz" "http://orsoc.se:4488/svn/cf_fp_mul/cf_fp_mul.tgz" - check_svn_return_value - popd - pushd "cfft" - popd - pushd "cfinterface" - popd - pushd "cf_interleaver" - svn import -m "Import from OC" "cf_interleaver.tgz" "http://orsoc.se:4488/svn/cf_interleaver/cf_interleaver.tgz" - check_svn_return_value - popd - pushd "cf_ldpc" - svn import -m "Import from OC" "cf_ldpc.tgz" "http://orsoc.se:4488/svn/cf_ldpc/cf_ldpc.tgz" - check_svn_return_value - popd - pushd "cf_rca" - svn import -m "Import from OC" "cf_rca.tgz" "http://orsoc.se:4488/svn/cf_rca/cf_rca.tgz" - check_svn_return_value - svn import -m "Import from OC" "rca_tile.png" "http://orsoc.se:4488/svn/cf_rca/rca_tile.png" - check_svn_return_value - popd - pushd "cf_ssp" - svn import -m "Import from OC" "cf_ssp.tgz" "http://orsoc.se:4488/svn/cf_ssp/cf_ssp.tgz" - check_svn_return_value - svn import -m "Import from OC" "ssp_cordic.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_cordic.c" - check_svn_return_value - svn import -m "Import from OC" "ssp_first_order.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_first_order.c" - check_svn_return_value - popd - pushd "cia" - popd - pushd "claw" - popd - pushd "clocklessalu" - popd - pushd "cmpct" - popd - pushd "c-nit_soc" - popd - pushd "color_converter" - popd - pushd "constellation_vga" - popd - pushd "const_encoder" - svn import -m "Import from OC" "Const_enc_oc.doc" "http://orsoc.se:4488/svn/const_encoder/Const_enc_oc.doc" - check_svn_return_value - svn import -m "Import from OC" "const_enc.vhd" "http://orsoc.se:4488/svn/const_encoder/const_enc.vhd" - check_svn_return_value - popd - pushd "cordic" - svn import -m "Import from OC" "cordic.pdf" "http://orsoc.se:4488/svn/cordic/cordic.pdf" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/cordic/index.shtml" - check_svn_return_value - popd - pushd "core_arm" - popd - pushd "cowgirl" - popd - pushd "cpu6502_true_cycle" - popd - pushd "cpu65c02_true_cycle" - popd - pushd "cpu8080" - popd - pushd "cpugen" - svn import -m "Import from OC" "cpugen.jpg" "http://orsoc.se:4488/svn/cpugen/cpugen.jpg" - check_svn_return_value - popd - pushd "cryptopan_core" - popd - pushd "cryptosorter" - svn import -m "Import from OC" "cryptosorter.pdf" "http://orsoc.se:4488/svn/cryptosorter/cryptosorter.pdf" - check_svn_return_value - popd - pushd "csa" - popd - pushd "dallas_one-wire" - popd - pushd "dct" - svn import -m "Import from OC" "dct.shtml" "http://orsoc.se:4488/svn/dct/dct.shtml" - check_svn_return_value - svn import -m "Import from OC" "dct.zip" "http://orsoc.se:4488/svn/dct/dct.zip" - check_svn_return_value - svn import -m "Import from OC" "htmlbook.shtml" "http://orsoc.se:4488/svn/dct/htmlbook.shtml" - check_svn_return_value - svn import -m "Import from OC" "modexp.shtml" "http://orsoc.se:4488/svn/dct/modexp.shtml" - check_svn_return_value - popd - pushd "ddr_sdr" - svn import -m "Import from OC" "ddr_sdr_V1_0.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_0.zip" - check_svn_return_value - svn import -m "Import from OC" "ddr_sdr_V1_1.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_1.zip" - check_svn_return_value - svn import -m "Import from OC" "doc" "http://orsoc.se:4488/svn/ddr_sdr/doc" - check_svn_return_value - svn import -m "Import from OC" "LICENSE.dat" "http://orsoc.se:4488/svn/ddr_sdr/LICENSE.dat" - check_svn_return_value - svn import -m "Import from OC" "vhdl" "http://orsoc.se:4488/svn/ddr_sdr/vhdl" - check_svn_return_value - popd - pushd "ddsgen" - popd - pushd "decoder" - svn import -m "Import from OC" "mp3_decoder.zip" "http://orsoc.se:4488/svn/decoder/mp3_decoder.zip" - check_svn_return_value - popd - pushd "deflatecore" - popd - pushd "des" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/des/index.shtml" - check_svn_return_value - popd - pushd "design_dsp320tmsc10_with_vhdl" - popd - pushd "dfp" - svn import -m "Import from OC" "dfp.gif" "http://orsoc.se:4488/svn/dfp/dfp.gif" - check_svn_return_value - svn import -m "Import from OC" "DFPV10.zip" "http://orsoc.se:4488/svn/dfp/DFPV10.zip" - check_svn_return_value - svn import -m "Import from OC" "V3.zip" "http://orsoc.se:4488/svn/dfp/V3.zip" - check_svn_return_value - popd - pushd "digifilter" - popd - pushd "diogenes" - svn import -m "Import from OC" "diogenes.tar.bz2" "http://orsoc.se:4488/svn/diogenes/diogenes.tar.bz2" - check_svn_return_value - popd - pushd "dirac" - popd - pushd "djpeg" - popd - pushd "dmacontroller" - popd - pushd "dmt_tx" - popd - pushd "dram" - svn import -m "Import from OC" "dram.html" "http://orsoc.se:4488/svn/dram/dram.html" - check_svn_return_value - svn import -m "Import from OC" "dram.shtml" "http://orsoc.se:4488/svn/dram/dram.shtml" - check_svn_return_value - popd - pushd "dualspartainc6713cpci" - svn import -m "Import from OC" "6713_CPU.pdf" "http://orsoc.se:4488/svn/dualspartainc6713cpci/6713_CPU.pdf" - check_svn_return_value - svn import -m "Import from OC" "BotLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/BotLayer.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSP_Front.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_Front.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSP_near_done_tiny.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_near_done_tiny.jpg" - check_svn_return_value - svn import -m "Import from OC" "Mid1Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid1Layer.jpg" - check_svn_return_value - svn import -m "Import from OC" "Mid2Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid2Layer.jpg" - check_svn_return_value - svn import -m "Import from OC" "SystemDiagram.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/SystemDiagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "TopLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/TopLayer.jpg" - check_svn_return_value - popd - pushd "dwt2d" - svn import -m "Import from OC" "DIPC1.zip" "http://orsoc.se:4488/svn/dwt2d/DIPC1.zip" - check_svn_return_value - popd - pushd "e123mux" - svn import -m "Import from OC" "Block_Diagram.jpg" "http://orsoc.se:4488/svn/e123mux/Block_Diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "E123MUX_Core.pdf" "http://orsoc.se:4488/svn/e123mux/E123MUX_Core.pdf" - check_svn_return_value - popd - pushd "e1framer" - popd - pushd "e1framerdeframer" - svn import -m "Import from OC" "e1_framer.zip" "http://orsoc.se:4488/svn/e1framerdeframer/e1_framer.zip" - check_svn_return_value - svn import -m "Import from OC" "fas_insert.vhd" "http://orsoc.se:4488/svn/e1framerdeframer/fas_insert.vhd" - check_svn_return_value - popd - pushd "edatools" - popd - pushd "elevator" - popd - pushd "elphel_353" - popd - pushd "embedded_risc" - svn import -m "Import from OC" "Block_Diagram" "http://orsoc.se:4488/svn/embedded_risc/Block_Diagram" - check_svn_return_value - popd - pushd "embed_z8" - popd - pushd "epp" - svn import -m "Import from OC" "epp.jpg" "http://orsoc.se:4488/svn/epp/epp.jpg" - check_svn_return_value - popd - pushd "epp-interface-v" - popd - pushd "epp-to-wishbone" - popd - pushd "erp" - svn import -m "Import from OC" "ERPTechnicalReport4.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport4.pdf" - check_svn_return_value - svn import -m "Import from OC" "ERPTechnicalReport5.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport5.pdf" - check_svn_return_value - svn import -m "Import from OC" "ERPverilogcore.txt" "http://orsoc.se:4488/svn/erp/ERPverilogcore.txt" - check_svn_return_value - popd - pushd "ethdev" - popd - pushd "ethernet_tri_mode" - svn import -m "Import from OC" "ethernet_tri_mode.rel-1-0.tar.gz" "http://orsoc.se:4488/svn/ethernet_tri_mode/ethernet_tri_mode.rel-1-0.tar.gz" - check_svn_return_value - popd - pushd "ethmac10g" - popd - pushd "ethmacvhdl" - popd - pushd "ethswitch" - popd - pushd "eus100lx" - svn import -m "Import from OC" "180px-EUS_B_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_B_N.jpg" - check_svn_return_value - svn import -m "Import from OC" "180px-EUS_T_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_T_N.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUS100LX_BD.gif" "http://orsoc.se:4488/svn/eus100lx/EUS100LX_BD.gif" - check_svn_return_value - popd - pushd "eusfs" - svn import -m "Import from OC" "eusfs-bd.jpg" "http://orsoc.se:4488/svn/eusfs/eusfs-bd.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUSIIa_bottom_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUSIIa_bottom_tn.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUS_II_topa_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUS_II_topa_tn.jpg" - check_svn_return_value - popd - pushd "evision" - popd - pushd "extension_pack" - popd - pushd "fac2222m" - svn import -m "Import from OC" "ADC-DAC-AMP.png" "http://orsoc.se:4488/svn/fac2222m/ADC-DAC-AMP.png" - check_svn_return_value - svn import -m "Import from OC" "fac2222m.png" "http://orsoc.se:4488/svn/fac2222m/fac2222m.png" - check_svn_return_value - popd - pushd "fast-crc" - svn import -m "Import from OC" "CRC-generator.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC-generator.tgz" - check_svn_return_value - svn import -m "Import from OC" "CRC_ie3_contest.pdf" "http://orsoc.se:4488/svn/fast-crc/CRC_ie3_contest.pdf" - check_svn_return_value - svn import -m "Import from OC" "CRC.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC.tgz" - check_svn_return_value - svn import -m "Import from OC" "Readme" "http://orsoc.se:4488/svn/fast-crc/Readme" - check_svn_return_value - popd - pushd "fbas_encoder" - svn import -m "Import from OC" "chroma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/chroma_gen.png" - check_svn_return_value - svn import -m "Import from OC" "connect.png" "http://orsoc.se:4488/svn/fbas_encoder/connect.png" - check_svn_return_value - svn import -m "Import from OC" "fbas_encoder-0.21.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas_encoder-0.21.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "fbas-encoder_0.31.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas-encoder_0.31.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "fbas-enc_scrs1.jpg" "http://orsoc.se:4488/svn/fbas_encoder/fbas-enc_scrs1.jpg" - check_svn_return_value - svn import -m "Import from OC" "luma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/luma_gen.png" - check_svn_return_value - svn import -m "Import from OC" "main.png" "http://orsoc.se:4488/svn/fbas_encoder/main.png" - check_svn_return_value - popd - pushd "fcpu" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/fcpu/*" - check_svn_return_value - popd - pushd "ffr16" - svn import -m "Import from OC" "FFR16.jpg" "http://orsoc.se:4488/svn/ffr16/FFR16.jpg" - check_svn_return_value - popd - pushd "fft_32" - popd - pushd "fftprocessor" - popd - pushd "fht" - svn import -m "Import from OC" "fht_tb.v" "http://orsoc.se:4488/svn/fht/fht_tb.v" - check_svn_return_value - svn import -m "Import from OC" "fht.v" "http://orsoc.se:4488/svn/fht/fht.v" - check_svn_return_value - popd - pushd "fifouart" - svn import -m "Import from OC" "UART_datasheet.pdf" "http://orsoc.se:4488/svn/fifouart/UART_datasheet.pdf" - check_svn_return_value - popd - pushd "filter" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/filter/*" - check_svn_return_value - popd - pushd "firewire" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/firewire/index.shtml" - check_svn_return_value - popd - pushd "fir_filter_generator" - svn import -m "Import from OC" "design-of-high-speed.pdf" "http://orsoc.se:4488/svn/fir_filter_generator/design-of-high-speed.pdf" - check_svn_return_value - svn import -m "Import from OC" "FirGen_V1.0.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "FirGen_V1.1.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.1.zip" - check_svn_return_value - popd - pushd "flha" - popd - pushd "floatingcore" - popd - pushd "floating_point_adder_subtractor" - svn import -m "Import from OC" "addsub.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/addsub.vhd" - check_svn_return_value - svn import -m "Import from OC" "normalize.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/normalize.vhd" - check_svn_return_value - svn import -m "Import from OC" "shift.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/shift.vhd" - check_svn_return_value - popd - pushd "floppyif" - popd - pushd "fmtransmitter" - popd - pushd "fpga" - svn import -m "Import from OC" "docs.jar" "http://orsoc.se:4488/svn/fpga/docs.jar" - check_svn_return_value - svn import -m "Import from OC" "examples.jar" "http://orsoc.se:4488/svn/fpga/examples.jar" - check_svn_return_value - svn import -m "Import from OC" "Fpga.pdf" "http://orsoc.se:4488/svn/fpga/Fpga.pdf" - check_svn_return_value - svn import -m "Import from OC" "fpga_sw.pdf" "http://orsoc.se:4488/svn/fpga/fpga_sw.pdf" - check_svn_return_value - svn import -m "Import from OC" "gpl.txt" "http://orsoc.se:4488/svn/fpga/gpl.txt" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "KRPAN.jar" "http://orsoc.se:4488/svn/fpga/KRPAN.jar" - check_svn_return_value - svn import -m "Import from OC" "KRPAN.zip" "http://orsoc.se:4488/svn/fpga/KRPAN.zip" - check_svn_return_value - svn import -m "Import from OC" "opencores.cer" "http://orsoc.se:4488/svn/fpga/opencores.cer" - check_svn_return_value - svn import -m "Import from OC" "pwm12_8s.v" "http://orsoc.se:4488/svn/fpga/pwm12_8s.v" - check_svn_return_value - svn import -m "Import from OC" "sources.jar" "http://orsoc.se:4488/svn/fpga/sources.jar" - check_svn_return_value - svn import -m "Import from OC" "sshot1.gif" "http://orsoc.se:4488/svn/fpga/sshot1.gif" - check_svn_return_value - popd - pushd "fpgabsp" - popd - pushd "fpgaconfig" - svn import -m "Import from OC" "altera_config.png" "http://orsoc.se:4488/svn/fpgaconfig/altera_config.png" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfig_system_block_diag.gif" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig_system_block_diag.gif" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfig.zip" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig.zip" - check_svn_return_value - popd - pushd "fpgaproto" - popd - pushd "fpipelines" - popd - pushd "fpu" - svn import -m "Import from OC" "DEADJOE" "http://orsoc.se:4488/svn/fpu/DEADJOE" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpu/index.shtml" - check_svn_return_value - popd - pushd "fpu100" - svn import -m "Import from OC" "bug_report_260407.txt" "http://orsoc.se:4488/svn/fpu100/bug_report_260407.txt" - check_svn_return_value - svn import -m "Import from OC" "fpu_doc.pdf" "http://orsoc.se:4488/svn/fpu100/fpu_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "fpu_v18.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v18.zip" - check_svn_return_value - svn import -m "Import from OC" "fpu_v19.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v19.zip" - check_svn_return_value - popd - pushd "fpu32bit" - popd - pushd "fpuvhdl" - popd - pushd "freetools" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/freetools/*" - check_svn_return_value - popd - pushd "froop" - popd - pushd "fsl2serial" - popd - pushd "gamepads" - svn import -m "Import from OC" "gcpad.png" "http://orsoc.se:4488/svn/gamepads/gcpad.png" - check_svn_return_value - svn import -m "Import from OC" "snespad.png" "http://orsoc.se:4488/svn/gamepads/snespad.png" - check_svn_return_value - svn import -m "Import from OC" "snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/snespad_wire.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_gcpad.png" "http://orsoc.se:4488/svn/gamepads/thumb_gcpad.png" - check_svn_return_value - svn import -m "Import from OC" "thumb_snespad.png" "http://orsoc.se:4488/svn/gamepads/thumb_snespad.png" - check_svn_return_value - svn import -m "Import from OC" "thumb_snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/thumb_snespad_wire.jpg" - check_svn_return_value - popd - pushd "gcpu" - popd - pushd "generic_fifos" - popd - pushd "generic_fifovhd" - popd - pushd "gh_vhdl_library" - svn import -m "Import from OC" "gh_vhdl_lib_3_34.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_34.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_3_35.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_35.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_3_36.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_36.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_34.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_34.zip" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_35.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_35.zip" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_36.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_36.zip" - check_svn_return_value - popd - pushd "gig_ethernet_mac_core" - popd - pushd "gix96" - popd - pushd "gpio" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/gpio/index.shtml" - check_svn_return_value - popd - pushd "graphicallcd" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/graphicallcd/index.shtml" - check_svn_return_value - popd - pushd "graphiti" - svn import -m "Import from OC" "blockschaltbild.png" "http://orsoc.se:4488/svn/graphiti/blockschaltbild.png" - check_svn_return_value - svn import -m "Import from OC" "flowers.jpg" "http://orsoc.se:4488/svn/graphiti/flowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/graphitib.jpg" - check_svn_return_value - svn import -m "Import from OC" "graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/graphiti.jpg" - check_svn_return_value - svn import -m "Import from OC" "testbild.jpg" "http://orsoc.se:4488/svn/graphiti/testbild.jpg" - check_svn_return_value - svn import -m "Import from OC" "tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/tflowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_flowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_flowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphitib.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphiti.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_testbild.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_testbild.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_tflowers.jpg" - check_svn_return_value - popd - pushd "gsc" - svn import -m "Import from OC" "btyacc.tar.gz" "http://orsoc.se:4488/svn/gsc/btyacc.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "graphviz-2.8.tar.gz" "http://orsoc.se:4488/svn/gsc/graphviz-2.8.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "gsc-0.1.1.tar.gz" "http://orsoc.se:4488/svn/gsc/gsc-0.1.1.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "gsc.pdf" "http://orsoc.se:4488/svn/gsc/gsc.pdf" - check_svn_return_value - svn import -m "Import from OC" "keystone.tar.gz" "http://orsoc.se:4488/svn/gsc/keystone.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "treecc-0.3.8.tar.gz" "http://orsoc.se:4488/svn/gsc/treecc-0.3.8.tar.gz" - check_svn_return_value - popd - pushd "gup" - svn import -m "Import from OC" "gator_ucomputer_v1.0.zip" "http://orsoc.se:4488/svn/gup/gator_ucomputer_v1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/gup_logo_thumb.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/thumb_gup_logo_thumb.jpg" - check_svn_return_value - popd - pushd "gzip" - popd - pushd "hamming" - popd - pushd "hamming_gen" - svn import -m "Import from OC" "hamming.zip" "http://orsoc.se:4488/svn/hamming_gen/hamming.zip" - check_svn_return_value - popd - pushd "hangyu" - popd - pushd "hasm" - popd - pushd "hdb3" - popd - pushd "hdbn" - popd - pushd "hdlc" - svn import -m "Import from OC" "HDLC_cont.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDLC_cont.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.ps" - check_svn_return_value - svn import -m "Import from OC" "hdlc_fifo.jpg" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.jpg" - check_svn_return_value - svn import -m "Import from OC" "hdlc_fifo.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.ps" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.html" "http://orsoc.se:4488/svn/hdlc/hdlc_project.html" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.pdf" "http://orsoc.se:4488/svn/hdlc/hdlc_project.pdf" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_project.ps" - check_svn_return_value - svn import -m "Import from OC" "HDLC_top.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDLC_top.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_top.ps" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/hdlc/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/hdlc/wishlogo.ps" - check_svn_return_value - popd - pushd "help" - svn import -m "Import from OC" "exp1pf.gif" "http://orsoc.se:4488/svn/help/exp1pf.gif" - check_svn_return_value - svn import -m "Import from OC" "search.shtml" "http://orsoc.se:4488/svn/help/search.shtml" - check_svn_return_value - popd - pushd "hicovec" - popd - pushd "hierarch_unit" - popd - pushd "hmta" - popd - pushd "houmway" - popd - pushd "hpc-16" - popd - pushd "hpcmemory" - popd - pushd "hssdrc" - popd - pushd "ht_tunnel" - popd - pushd "hwlu" - popd - pushd "i2c" - svn import -m "Import from OC" "Block.gif" "http://orsoc.se:4488/svn/i2c/Block.gif" - check_svn_return_value - svn import -m "Import from OC" "i2c_rev03.pdf" "http://orsoc.se:4488/svn/i2c/i2c_rev03.pdf" - check_svn_return_value - svn import -m "Import from OC" "index_orig.shtml" "http://orsoc.se:4488/svn/i2c/index_orig.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/i2c/index.shtml" - check_svn_return_value - popd - pushd "i2clog" - svn import -m "Import from OC" "Documentation" "http://orsoc.se:4488/svn/i2clog/Documentation" - check_svn_return_value - svn import -m "Import from OC" "front" "http://orsoc.se:4488/svn/i2clog/front" - check_svn_return_value - svn import -m "Import from OC" "I2C_TrafficLogger.v" "http://orsoc.se:4488/svn/i2clog/I2C_TrafficLogger.v" - check_svn_return_value - popd - pushd "i2c_master_slave_core" - popd - pushd "i2c_slave" - svn import -m "Import from OC" "iic_slave_3.v" "http://orsoc.se:4488/svn/i2c_slave/iic_slave_3.v" - check_svn_return_value - popd - pushd "i2c_vhdl" - popd - pushd "i2s" - svn import -m "Import from OC" "dff.vhd" "http://orsoc.se:4488/svn/i2s/dff.vhd" - check_svn_return_value - svn import -m "Import from OC" "ebu_2_i2s.vhd" "http://orsoc.se:4488/svn/i2s/ebu_2_i2s.vhd" - check_svn_return_value - popd - pushd "i2s_interface" - svn import -m "Import from OC" "i2s_interface.zip" "http://orsoc.se:4488/svn/i2s_interface/i2s_interface.zip" - check_svn_return_value - popd - pushd "i2sparalell" - popd - pushd "ic6821" - svn import -m "Import from OC" "VHDL6821.vhd" "http://orsoc.se:4488/svn/ic6821/VHDL6821.vhd" - check_svn_return_value - popd - pushd "icu" - popd - pushd "ide" - popd - pushd "idea" - svn import -m "Import from OC" "block_opmode.tar.gz" "http://orsoc.se:4488/svn/idea/block_opmode.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "control.tar.gz" "http://orsoc.se:4488/svn/idea/control.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "IDEA core block.GIF" "http://orsoc.se:4488/svn/idea/IDEA core block.GIF" - check_svn_return_value - svn import -m "Import from OC" "idea_machine.tar.gz" "http://orsoc.se:4488/svn/idea/idea_machine.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "IDEA mechine block.GIF" "http://orsoc.se:4488/svn/idea/IDEA mechine block.GIF" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/idea/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "keys_generate.tar.gz" "http://orsoc.se:4488/svn/idea/keys_generate.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Paper_IES2001_sby.PDF" "http://orsoc.se:4488/svn/idea/Paper_IES2001_sby.PDF" - check_svn_return_value - svn import -m "Import from OC" "port_inout.tar.gz" "http://orsoc.se:4488/svn/idea/port_inout.tar.gz" - check_svn_return_value - popd - pushd "iiepci" - svn import -m "Import from OC" "iie_pci_back.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_back.jpg" - check_svn_return_value - svn import -m "Import from OC" "iie_pci_diagram.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "iie_pci_front.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_front.jpg" - check_svn_return_value - popd - pushd "ima-adpcm" - popd - pushd "interface_vga80x40" - svn import -m "Import from OC" "FPGA_VGA_Electrical_Interface.png" "http://orsoc.se:4488/svn/interface_vga80x40/FPGA_VGA_Electrical_Interface.png" - check_svn_return_value - svn import -m "Import from OC" "if_vga80x40.zip" "http://orsoc.se:4488/svn/interface_vga80x40/if_vga80x40.zip" - check_svn_return_value - svn import -m "Import from OC" "VGA80x40_documentation.pdf" "http://orsoc.se:4488/svn/interface_vga80x40/VGA80x40_documentation.pdf" - check_svn_return_value - popd - pushd "ipchip" - popd - pushd "irda" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/irda/index.shtml" - check_svn_return_value - popd - pushd "iso7816-3" - svn import -m "Import from OC" "iso7816-3.tgz" "http://orsoc.se:4488/svn/iso7816-3/iso7816-3.tgz" - check_svn_return_value - popd - pushd "isp" - popd - pushd "jop" - popd - pushd "jpeg" - svn import -m "Import from OC" "DiagramaCompJPGen.png" "http://orsoc.se:4488/svn/jpeg/DiagramaCompJPGen.png" - check_svn_return_value - svn import -m "Import from OC" "floresconsubsamp211.jpg" "http://orsoc.se:4488/svn/jpeg/floresconsubsamp211.jpg" - check_svn_return_value - svn import -m "Import from OC" "floressinsubsamp.jpg" "http://orsoc.se:4488/svn/jpeg/floressinsubsamp.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ05PSP.JPG" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ05PSP.JPG" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ15.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ31.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ31.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ50.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ50.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenglobosPSPQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosPSPQ15.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenglobosQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosQ15.jpg" - check_svn_return_value - popd - pushd "jpegcompression" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/jpegcompression/*" - check_svn_return_value - popd - pushd "jtag" - svn import -m "Import from OC" "Boundary-Scan Architecture.pdf" "http://orsoc.se:4488/svn/jtag/Boundary-Scan Architecture.pdf" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/jtag/index.shtml" - check_svn_return_value - popd - pushd "k68" - popd - pushd "k7_viterbi_decoder" - popd - pushd "kad" - popd - pushd "kcpsm3_interrupt_handling" - popd - pushd "keyboardcontroller" - popd - pushd "keypad_scanner" - svn import -m "Import from OC" "keypad_scanner.v" "http://orsoc.se:4488/svn/keypad_scanner/keypad_scanner.v" - check_svn_return_value - popd - pushd "kiss-board" - popd - pushd "ksystem" - popd - pushd "l8051" - svn import -m "Import from OC" "L8051.tar" "http://orsoc.se:4488/svn/l8051/L8051.tar" - check_svn_return_value - popd - pushd "lcd" - svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/lcd/alliance.shtml" - check_svn_return_value - svn import -m "Import from OC" "counterc.shtml" "http://orsoc.se:4488/svn/lcd/counterc.shtml" - check_svn_return_value - svn import -m "Import from OC" "counter.shtml" "http://orsoc.se:4488/svn/lcd/counter.shtml" - check_svn_return_value - svn import -m "Import from OC" "counterv.shtml" "http://orsoc.se:4488/svn/lcd/counterv.shtml" - check_svn_return_value - svn import -m "Import from OC" "decoderc.shtml" "http://orsoc.se:4488/svn/lcd/decoderc.shtml" - check_svn_return_value - svn import -m "Import from OC" "decoderv.shtml" "http://orsoc.se:4488/svn/lcd/decoderv.shtml" - check_svn_return_value - svn import -m "Import from OC" "dffresc.shtml" "http://orsoc.se:4488/svn/lcd/dffresc.shtml" - check_svn_return_value - svn import -m "Import from OC" "dffresv.shtml" "http://orsoc.se:4488/svn/lcd/dffresv.shtml" - check_svn_return_value - svn import -m "Import from OC" "dflipflop.shtml" "http://orsoc.se:4488/svn/lcd/dflipflop.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/lcd/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/lcd/index.shtml.old" - check_svn_return_value - svn import -m "Import from OC" "LCD.ht1.gif" "http://orsoc.se:4488/svn/lcd/LCD.ht1.gif" - check_svn_return_value - svn import -m "Import from OC" "lcd.zip" "http://orsoc.se:4488/svn/lcd/lcd.zip" - check_svn_return_value - svn import -m "Import from OC" "mcc.shtml" "http://orsoc.se:4488/svn/lcd/mcc.shtml" - check_svn_return_value - svn import -m "Import from OC" "mcv.shtml" "http://orsoc.se:4488/svn/lcd/mcv.shtml" - check_svn_return_value - svn import -m "Import from OC" "ramc.shtml" "http://orsoc.se:4488/svn/lcd/ramc.shtml" - check_svn_return_value - svn import -m "Import from OC" "ramv.shtml" "http://orsoc.se:4488/svn/lcd/ramv.shtml" - check_svn_return_value - svn import -m "Import from OC" "struct.shtml" "http://orsoc.se:4488/svn/lcd/struct.shtml" - check_svn_return_value - svn import -m "Import from OC" "test.shtml" "http://orsoc.se:4488/svn/lcd/test.shtml" - check_svn_return_value - popd - pushd "lcd1" - popd - pushd "lcd_controller" - svn import -m "Import from OC" "AP.zip" "http://orsoc.se:4488/svn/lcd_controller/AP.zip" - check_svn_return_value - svn import -m "Import from OC" "CM920TUserGuide.pdf" "http://orsoc.se:4488/svn/lcd_controller/CM920TUserGuide.pdf" - check_svn_return_value - svn import -m "Import from OC" "ColorTFT-LCDController.ppt" "http://orsoc.se:4488/svn/lcd_controller/ColorTFT-LCDController.ppt" - check_svn_return_value - svn import -m "Import from OC" "DUI0146C_LM600.pdf" "http://orsoc.se:4488/svn/lcd_controller/DUI0146C_LM600.pdf" - check_svn_return_value - svn import -m "Import from OC" "tx18d16vm1caa.pdf" "http://orsoc.se:4488/svn/lcd_controller/tx18d16vm1caa.pdf" - check_svn_return_value - popd - pushd "ldpc_decoder_802_3an" - svn import -m "Import from OC" "ldpc_decoder_802_3an.tar.gz" "http://orsoc.se:4488/svn/ldpc_decoder_802_3an/ldpc_decoder_802_3an.tar.gz" - check_svn_return_value - popd - pushd "ldpc_encoder_802_3an" - svn import -m "Import from OC" "ldpc_encoder_802_3an.v.gz" "http://orsoc.se:4488/svn/ldpc_encoder_802_3an/ldpc_encoder_802_3an.v.gz" - check_svn_return_value - popd - pushd "lem1_9min" - svn import -m "Import from OC" "d3_lem1_9min_hw.ucf" "http://orsoc.se:4488/svn/lem1_9min/d3_lem1_9min_hw.ucf" - check_svn_return_value - svn import -m "Import from OC" "Form1.cs" "http://orsoc.se:4488/svn/lem1_9min/Form1.cs" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_asm.csproj" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_asm.csproj" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_defs.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_defs.vhd" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_hw.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_hw.vhd" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min.vhd" - check_svn_return_value - svn import -m "Import from OC" "trinity_talk_041205.pdf" "http://orsoc.se:4488/svn/lem1_9min/trinity_talk_041205.pdf" - check_svn_return_value - popd - pushd "light8080" - popd - pushd "lin-a" - popd - pushd "line_codes" - popd - pushd "linuxvcap" - popd - pushd "llc1394" - popd - pushd "log_anal" - popd - pushd "lowpowerfir" - svn import -m "Import from OC" "FIRLowPowerConsiderations.doc" "http://orsoc.se:4488/svn/lowpowerfir/FIRLowPowerConsiderations.doc" - check_svn_return_value - svn import -m "Import from OC" "fir.zip" "http://orsoc.se:4488/svn/lowpowerfir/fir.zip" - check_svn_return_value - popd - pushd "lpc" - popd - pushd "lpu" - svn import -m "Import from OC" "lpu.zip" "http://orsoc.se:4488/svn/lpu/lpu.zip" - check_svn_return_value - svn import -m "Import from OC" "Mem Driven Processor.doc" "http://orsoc.se:4488/svn/lpu/Mem Driven Processor.doc" - check_svn_return_value - popd - pushd "lq057q3dc02" - popd - pushd "lwmips" - popd - pushd "lwrisc" - svn import -m "Import from OC" "200735153855.bmp" "http://orsoc.se:4488/svn/lwrisc/200735153855.bmp" - check_svn_return_value - svn import -m "Import from OC" "200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/200735153855.JPG" - check_svn_return_value - svn import -m "Import from OC" "clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/clairisc.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_200735153855.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_clairisc.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_we.GIF" "http://orsoc.se:4488/svn/lwrisc/thumb_we.GIF" - check_svn_return_value - svn import -m "Import from OC" "we.GIF" "http://orsoc.se:4488/svn/lwrisc/we.GIF" - check_svn_return_value - popd - pushd "m1_core" - popd - pushd "mac" - popd - pushd "macroblock_motion_detection" - popd - pushd "maf" - popd - pushd "mafa-pc-board" - popd - pushd "man2uart" - svn import -m "Import from OC" "Man2uartopencores.txt" "http://orsoc.se:4488/svn/man2uart/Man2uartopencores.txt" - check_svn_return_value - popd - pushd "manchesterencoderdecoder" - svn import -m "Import from OC" "ME2.vhd" "http://orsoc.se:4488/svn/manchesterencoderdecoder/ME2.vhd" - check_svn_return_value - popd - pushd "marca" - popd - pushd "matrix3x3" - popd - pushd "maxii-evalboard" - svn import -m "Import from OC" "MAXII-Evalboard-V1.00-Designpackage.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard-V1.00-Designpackage.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_a.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_a.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_b.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_b.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_BOM.xls" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_BOM.xls" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Gerber&CAM.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Gerber&CAM.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB-Errata.txt" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB-Errata.txt" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB.pdf" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Placement.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Placement.pdf" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Protel.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Protel.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Schem.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Schem.pdf" - check_svn_return_value - popd - pushd "mb-jpeg" - svn import -m "Import from OC" "mb-jpeg_STEP2_1b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_1b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mb-jpeg_STEP2_2b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_2b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mb-jpeg_STEP7_2.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP7_2.tar.bz2" - check_svn_return_value - popd - pushd "mcbsp" - popd - pushd "mcpu" - svn import -m "Import from OC" "mcpu_1.06b.zip" "http://orsoc.se:4488/svn/mcpu/mcpu_1.06b.zip" - check_svn_return_value - svn import -m "Import from OC" "mcpu-doc.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu-doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "mcpu.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu.pdf" - check_svn_return_value - popd - pushd "mcu8" - popd - pushd "md5" - popd - pushd "mdct" - svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/mdct/block_diagram.jpg" - check_svn_return_value - popd - pushd "membist" - popd - pushd "mem_ctrl" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mem_ctrl/index.shtml" - check_svn_return_value - popd - pushd "memorycontroller" - popd - pushd "memory_cores" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_cores/index.shtml" - check_svn_return_value - popd - pushd "memory_sizer" - svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_dual_path.zip" - check_svn_return_value - svn import -m "Import from OC" "b10_safe_12_18_01_single_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_single_path.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/memory_sizer/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/memory_sizer/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_sizer/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "memory_sizer_dual_path.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer_dual_path.v" - check_svn_return_value - svn import -m "Import from OC" "memory_sizer.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer.v" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/memory_sizer/people.shtml" - check_svn_return_value - popd - pushd "mfpga" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mfpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "mfpga_block.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block.gif" - check_svn_return_value - svn import -m "Import from OC" "mfpga_block_new.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block_new.gif" - check_svn_return_value - svn import -m "Import from OC" "micro_orcad.sch" "http://orsoc.se:4488/svn/mfpga/micro_orcad.sch" - check_svn_return_value - svn import -m "Import from OC" "micro_protelbinary.lib" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.lib" - check_svn_return_value - svn import -m "Import from OC" "micro_protelbinary.sch" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.sch" - check_svn_return_value - svn import -m "Import from OC" "micro_sch.pdf" "http://orsoc.se:4488/svn/mfpga/micro_sch.pdf" - check_svn_return_value - svn import -m "Import from OC" "xcv50.jpg" "http://orsoc.se:4488/svn/mfpga/xcv50.jpg" - check_svn_return_value - popd - pushd "micore" - popd - pushd "microprocessor" - popd - pushd "milsa" - popd - pushd "milstd1553bbusprotocol" - popd - pushd "mini-acex1k" - popd - pushd "mini_aes" - popd - pushd "minimips" - svn import -m "Import from OC" "miniMIPS.zip" "http://orsoc.se:4488/svn/minimips/miniMIPS.zip" - check_svn_return_value - popd - pushd "minirisc" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/minirisc/index.shtml" - check_svn_return_value - popd - pushd "mips789" - svn import -m "Import from OC" "cal_PI_2.GIF" "http://orsoc.se:4488/svn/mips789/cal_PI_2.GIF" - check_svn_return_value - svn import -m "Import from OC" "MIPS789.bmp" "http://orsoc.se:4488/svn/mips789/MIPS789.bmp" - check_svn_return_value - svn import -m "Import from OC" "pi_2200.GIF" "http://orsoc.se:4488/svn/mips789/pi_2200.GIF" - check_svn_return_value - svn import -m "Import from OC" "topview.GIF" "http://orsoc.se:4488/svn/mips789/topview.GIF" - check_svn_return_value - popd - pushd "mipss" - svn import -m "Import from OC" "s70_32bit_to_9bit.vhd" "http://orsoc.se:4488/svn/mipss/s70_32bit_to_9bit.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_ALU.vhd" "http://orsoc.se:4488/svn/mipss/s70_ALU.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_ctrl_unit.vhd" "http://orsoc.se:4488/svn/mipss/s70_ctrl_unit.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_data_mem_comp.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem_comp.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_data_mem.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_datapath.vhd" "http://orsoc.se:4488/svn/mipss/s70_datapath.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_Ext_S_Z.vhd" "http://orsoc.se:4488/svn/mipss/s70_Ext_S_Z.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_inc.vhd" "http://orsoc.se:4488/svn/mipss/s70_inc.vhd" - check_svn_return_value - popd - pushd "mmcfpgaconfig" - popd - pushd "moonshadow" - popd - pushd "most" - svn import -m "Import from OC" "MOST_Core_Compliance_Test_Specification.pdf" "http://orsoc.se:4488/svn/most/MOST_Core_Compliance_Test_Specification.pdf" - check_svn_return_value - svn import -m "Import from OC" "MOSTSpecification.pdf" "http://orsoc.se:4488/svn/most/MOSTSpecification.pdf" - check_svn_return_value - popd - pushd "most_core" - popd - pushd "motion_controller" - popd - pushd "motionestimator" - popd - pushd "motor" - popd - pushd "mp3decoder" - popd - pushd "mpdma" - svn import -m "Import from OC" "BlazeCluster_v0.14.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.14.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "BlazeCluster_v0.15.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.15.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "BlazeClusterv0.17.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.17.zip" - check_svn_return_value - svn import -m "Import from OC" "BlazeClusterv0.1.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.1.zip" - check_svn_return_value - svn import -m "Import from OC" "koblenz8_20070902.zip" "http://orsoc.se:4488/svn/mpdma/koblenz8_20070902.zip" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061020.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061020.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023b.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023c.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023c.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "SoftwareMultiprocessoronFPGA20070608.pdf" "http://orsoc.se:4488/svn/mpdma/SoftwareMultiprocessoronFPGA20070608.pdf" - check_svn_return_value - popd - pushd "mpeg2decoder" - popd - pushd "mpeg4_video_coding" - popd - pushd "mpegencoderdecoder" - popd - pushd "mup" - popd - pushd "ncore" - svn import -m "Import from OC" "CASM.C" "http://orsoc.se:4488/svn/ncore/CASM.C" - check_svn_return_value - svn import -m "Import from OC" "NCORE2.V" "http://orsoc.se:4488/svn/ncore/NCORE2.V" - check_svn_return_value - svn import -m "Import from OC" "NCORE3.V" "http://orsoc.se:4488/svn/ncore/NCORE3.V" - check_svn_return_value - svn import -m "Import from OC" "nCore_doc.pdf" "http://orsoc.se:4488/svn/ncore/nCore_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "NCORE.tar.bz2" "http://orsoc.se:4488/svn/ncore/NCORE.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "nCore.v" "http://orsoc.se:4488/svn/ncore/nCore.v" - check_svn_return_value - svn import -m "Import from OC" "SIM.C" "http://orsoc.se:4488/svn/ncore/SIM.C" - check_svn_return_value - popd - pushd "nemo_emotion" - popd - pushd "neot" - popd - pushd "neptune-core" - svn import -m "Import from OC" "triton-block.png" "http://orsoc.se:4488/svn/neptune-core/triton-block.png" - check_svn_return_value - popd - pushd "nnARM" - svn import -m "Import from OC" "Arch118.pdf" "http://orsoc.se:4488/svn/nnARM/Arch118.pdf" - check_svn_return_value - svn import -m "Import from OC" "Architecture111.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf" - check_svn_return_value - svn import -m "Import from OC" "Architecture111.pdf.old" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf.old" - check_svn_return_value - svn import -m "Import from OC" "Architecture_jc.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture_jc.pdf" - check_svn_return_value - svn import -m "Import from OC" "BS.shtml" "http://orsoc.se:4488/svn/nnARM/BS.shtml" - check_svn_return_value - svn import -m "Import from OC" "default.htm" "http://orsoc.se:4488/svn/nnARM/default.htm" - check_svn_return_value - svn import -m "Import from OC" "Documentation.shtml" "http://orsoc.se:4488/svn/nnARM/Documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "Download.shtml" "http://orsoc.se:4488/svn/nnARM/Download.shtml" - check_svn_return_value - svn import -m "Import from OC" "GT.shtml" "http://orsoc.se:4488/svn/nnARM/GT.shtml" - check_svn_return_value - svn import -m "Import from OC" "index1.shtml" "http://orsoc.se:4488/svn/nnARM/index1.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml1" "http://orsoc.se:4488/svn/nnARM/index.shtml1" - check_svn_return_value - svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/nnARM/index.shtml.old" - check_svn_return_value - svn import -m "Import from OC" "Introduction.shtml" "http://orsoc.se:4488/svn/nnARM/Introduction.shtml" - check_svn_return_value - svn import -m "Import from OC" "News.htm" "http://orsoc.se:4488/svn/nnARM/News.htm" - check_svn_return_value - svn import -m "Import from OC" "News.shtml" "http://orsoc.se:4488/svn/nnARM/News.shtml" - check_svn_return_value - svn import -m "Import from OC" "nnARM.prog" "http://orsoc.se:4488/svn/nnARM/nnARM.prog" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_10_1.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_10_1.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_19.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_19.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_20.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_20.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_09_02.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_09_02.zip" - check_svn_return_value - svn import -m "Import from OC" "People.htm" "http://orsoc.se:4488/svn/nnARM/People.htm" - check_svn_return_value - svn import -m "Import from OC" "People.shtml" "http://orsoc.se:4488/svn/nnARM/People.shtml" - check_svn_return_value - svn import -m "Import from OC" "PR.shtml" "http://orsoc.se:4488/svn/nnARM/PR.shtml" - check_svn_return_value - svn import -m "Import from OC" "put.JPG" "http://orsoc.se:4488/svn/nnARM/put.JPG" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_08_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_08_1.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_12_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_15_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_15_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_12_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_19_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_19_4.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_20_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_20_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_30_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_30_4.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_08_30_3.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_08_30_3.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_09_02_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_02_1.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_09_05_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_05_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_11_1_3.zip.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_11_1_3.zip.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM_tb.zip" "http://orsoc.se:4488/svn/nnARM/sARM_tb.zip" - check_svn_return_value - svn import -m "Import from OC" "tag3.bmp" "http://orsoc.se:4488/svn/nnARM/tag3.bmp" - check_svn_return_value - svn import -m "Import from OC" "Testbench" "http://orsoc.se:4488/svn/nnARM/Testbench" - check_svn_return_value - svn import -m "Import from OC" "topFrame.htm" "http://orsoc.se:4488/svn/nnARM/topFrame.htm" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.jpg" "http://orsoc.se:4488/svn/nnARM/wishlogo.jpg" - check_svn_return_value - popd - pushd "nocem" - popd - pushd "noise_reduction" - popd - pushd "nonrestoringsquareroot" - popd - pushd "nova" - popd - pushd "npigrctrl" - svn import -m "Import from OC" "demo.png" "http://orsoc.se:4488/svn/npigrctrl/demo.png" - check_svn_return_value - svn import -m "Import from OC" "mpmc4.rar" "http://orsoc.se:4488/svn/npigrctrl/mpmc4.rar" - check_svn_return_value - svn import -m "Import from OC" "npi_eng.vhd" "http://orsoc.se:4488/svn/npigrctrl/npi_eng.vhd" - check_svn_return_value - popd - pushd "oab1" - svn import -m "Import from OC" "index.htm" "http://orsoc.se:4488/svn/oab1/index.htm" - check_svn_return_value - svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/oab1/title_logo.gif" - check_svn_return_value - svn import -m "Import from OC" "ver01.JPG" "http://orsoc.se:4488/svn/oab1/ver01.JPG" - check_svn_return_value - svn import -m "Import from OC" "ver02.jpg" "http://orsoc.se:4488/svn/oab1/ver02.jpg" - check_svn_return_value - popd - pushd "oberon" - popd - pushd "ocmips" - svn import -m "Import from OC" "fpga.gif" "http://orsoc.se:4488/svn/ocmips/fpga.gif" - check_svn_return_value - svn import -m "Import from OC" "opencores.gif" "http://orsoc.se:4488/svn/ocmips/opencores.gif" - check_svn_return_value - svn import -m "Import from OC" "sim.GIF" "http://orsoc.se:4488/svn/ocmips/sim.GIF" - check_svn_return_value - popd - pushd "ocp_wb_wrapper" - popd - pushd "ocrp-1" - svn import -m "Import from OC" "block.gif" "http://orsoc.se:4488/svn/ocrp-1/block.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ocrp-1/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_bill_of_materials.txt" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_bill_of_materials.txt" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_gerber.tar.gz" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_gerber.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "ocrp1.jpg" "http://orsoc.se:4488/svn/ocrp-1/ocrp1.jpg" - check_svn_return_value - svn import -m "Import from OC" "ocrp1ord.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp1ord.pdf" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_sch.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_sch.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCB1-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB1-72dpi.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCB2-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB2-72dpi.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic1.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic1.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic2.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic2.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic3.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic3.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic4.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic4.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic7.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic7.jpg" - check_svn_return_value - svn import -m "Import from OC" "xc95288xl_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xc95288xl_tq144.bsd" - check_svn_return_value - svn import -m "Import from OC" "xcv100_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv100_tq144.bsd" - check_svn_return_value - svn import -m "Import from OC" "xcv50_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv50_tq144.bsd" - check_svn_return_value - popd - pushd "ofdm" - popd - pushd "ofdm-baseband-receiver" - popd - pushd "ofdm_modulator" - popd - pushd "oks8" - popd - pushd "omega" - popd - pushd "opb_i2c" - popd - pushd "opb_isa" - popd - pushd "opb_onewire" - popd - pushd "opb_ps2_keyboard_controller" - popd - pushd "opb_psram_controller" - popd - pushd "opb_udp_transceiver" - popd - pushd "opb_vga_char_display_nodac" - popd - pushd "opb_wb_wrapper" - popd - pushd "open_1394_intellectual_property" - popd - pushd "open8_urisc" - popd - pushd "openarm" - popd - pushd "opencores" - svn import -m "Import from OC" "27dec03_IrishTimes.pdf" "http://orsoc.se:4488/svn/opencores/27dec03_IrishTimes.pdf" - check_svn_return_value - svn import -m "Import from OC" "bottom.jpg" "http://orsoc.se:4488/svn/opencores/bottom.jpg" - check_svn_return_value - svn import -m "Import from OC" "dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/dr_logo_b.gif" - check_svn_return_value - svn import -m "Import from OC" "logos" "http://orsoc.se:4488/svn/opencores/logos" - check_svn_return_value - svn import -m "Import from OC" "mdl_logo.jpg" "http://orsoc.se:4488/svn/opencores/mdl_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "ORSoC_logo.jpg" "http://orsoc.se:4488/svn/opencores/ORSoC_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "press" "http://orsoc.se:4488/svn/opencores/press" - check_svn_return_value - svn import -m "Import from OC" "regionalbreakdown.png" "http://orsoc.se:4488/svn/opencores/regionalbreakdown.png" - check_svn_return_value - svn import -m "Import from OC" "siteranking.png" "http://orsoc.se:4488/svn/opencores/siteranking.png" - check_svn_return_value - svn import -m "Import from OC" "sponsors" "http://orsoc.se:4488/svn/opencores/sponsors" - check_svn_return_value - svn import -m "Import from OC" "thumb_dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/thumb_dr_logo_b.gif" - check_svn_return_value - svn import -m "Import from OC" "Ultimodule_Logo_Blue.JPG" "http://orsoc.se:4488/svn/opencores/Ultimodule_Logo_Blue.JPG" - check_svn_return_value - popd - pushd "opencpu678085" - popd - pushd "openfire" - popd - pushd "openfire2" - svn import -m "Import from OC" "freertos.zip" "http://orsoc.se:4488/svn/openfire2/freertos.zip" - check_svn_return_value - svn import -m "Import from OC" "targetselection.itb" "http://orsoc.se:4488/svn/openfire2/targetselection.itb" - check_svn_return_value - popd - pushd "openfire_core" - popd - pushd "openh263" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/openh263/index.shtml" - check_svn_return_value - popd - pushd "openriscdevboard" - svn import -m "Import from OC" "altera_dev_brd.zip" "http://orsoc.se:4488/svn/openriscdevboard/altera_dev_brd.zip" - check_svn_return_value - svn import -m "Import from OC" "cyc2-openrisc.zip" "http://orsoc.se:4488/svn/openriscdevboard/cyc2-openrisc.zip" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfigEval_V1_2.zip" "http://orsoc.se:4488/svn/openriscdevboard/fpgaConfigEval_V1_2.zip" - check_svn_return_value - svn import -m "Import from OC" "usbPlusUart.zip" "http://orsoc.se:4488/svn/openriscdevboard/usbPlusUart.zip" - check_svn_return_value - popd - pushd "open_tcpip" - popd - pushd "opentech" - svn import -m "Import from OC" "changes_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_1.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_1.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_1.txt" - check_svn_return_value - svn import -m "Import from OC" "content.txt" "http://orsoc.se:4488/svn/opentech/content.txt" - check_svn_return_value - svn import -m "Import from OC" "covers.zip" "http://orsoc.se:4488/svn/opentech/covers.zip" - check_svn_return_value - svn import -m "Import from OC" "icon.gif" "http://orsoc.se:4488/svn/opentech/icon.gif" - check_svn_return_value - svn import -m "Import from OC" "icon.jpg" "http://orsoc.se:4488/svn/opentech/icon.jpg" - check_svn_return_value - svn import -m "Import from OC" "icon.png" "http://orsoc.se:4488/svn/opentech/icon.png" - check_svn_return_value - svn import -m "Import from OC" "logo_full.jpg" "http://orsoc.se:4488/svn/opentech/logo_full.jpg" - check_svn_return_value - svn import -m "Import from OC" "OpenTech_Info.xls" "http://orsoc.se:4488/svn/opentech/OpenTech_Info.xls" - check_svn_return_value - svn import -m "Import from OC" "OpenTechnologies_small.gif" "http://orsoc.se:4488/svn/opentech/OpenTechnologies_small.gif" - check_svn_return_value - svn import -m "Import from OC" "OT_Contents.zip" "http://orsoc.se:4488/svn/opentech/OT_Contents.zip" - check_svn_return_value - popd - pushd "openverifla" - svn import -m "Import from OC" "verifla_keyboard_protocol_verification_50procent.jpg" "http://orsoc.se:4488/svn/openverifla/verifla_keyboard_protocol_verification_50procent.jpg" - check_svn_return_value - popd - pushd "or1200gct" - popd - pushd "or1k-cf" - popd - pushd "or1k-new" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/or1k-new/index.shtml" - check_svn_return_value - popd - pushd "ovcodec" - svn import -m "Import from OC" "ogg_files.zip" "http://orsoc.se:4488/svn/ovcodec/ogg_files.zip" - check_svn_return_value - popd - pushd "pap" - popd - pushd "pavr" - svn import -m "Import from OC" "pavr032.chm.zip" "http://orsoc.se:4488/svn/pavr/pavr032.chm.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr032-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr032-devel.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr032.html.zip" "http://orsoc.se:4488/svn/pavr/pavr032.html.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-devel.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-release-chm.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-chm.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-release-html.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-html.zip" - check_svn_return_value - svn import -m "Import from OC" "todo.html" "http://orsoc.se:4488/svn/pavr/todo.html" - check_svn_return_value - popd - pushd "pci" - svn import -m "Import from OC" "charact.shtml" "http://orsoc.se:4488/svn/pci/charact.shtml" - check_svn_return_value - svn import -m "Import from OC" "contacts.shtml" "http://orsoc.se:4488/svn/pci/contacts.shtml" - check_svn_return_value - svn import -m "Import from OC" "current_stat.shtml" "http://orsoc.se:4488/svn/pci/current_stat.shtml" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/pci/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/pci/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/pci/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "links.shtml" "http://orsoc.se:4488/svn/pci/links.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCI_HOST_architecture.jpg" "http://orsoc.se:4488/svn/pci/PCI_HOST_architecture.jpg" - check_svn_return_value - svn import -m "Import from OC" "pci_parity.html" "http://orsoc.se:4488/svn/pci/pci_parity.html" - check_svn_return_value - svn import -m "Import from OC" "pci_prototype.shtml" "http://orsoc.se:4488/svn/pci/pci_prototype.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCIsim.shtml" "http://orsoc.se:4488/svn/pci/PCIsim.shtml" - check_svn_return_value - svn import -m "Import from OC" "pci_snapshots.shtml" "http://orsoc.se:4488/svn/pci/pci_snapshots.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_conn.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_conn.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_cristal.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_cristal.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_sch.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.gif" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_sch.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_test_brd.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_test_brd.gif" - check_svn_return_value - svn import -m "Import from OC" "pcixwin.jpg" "http://orsoc.se:4488/svn/pci/pcixwin.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00022.jpg" "http://orsoc.se:4488/svn/pci/Pic00022.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00026.jpg" "http://orsoc.se:4488/svn/pci/Pic00026.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00027.jpg" "http://orsoc.se:4488/svn/pci/Pic00027.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00028.jpg" "http://orsoc.se:4488/svn/pci/Pic00028.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00037.jpg" "http://orsoc.se:4488/svn/pci/Pic00037.jpg" - check_svn_return_value - svn import -m "Import from OC" "pics" "http://orsoc.se:4488/svn/pci/pics" - check_svn_return_value - svn import -m "Import from OC" "references.shtml" "http://orsoc.se:4488/svn/pci/references.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_app.shtml" "http://orsoc.se:4488/svn/pci/test_app.shtml" - check_svn_return_value - svn import -m "Import from OC" "testbench.shtml" "http://orsoc.se:4488/svn/pci/testbench.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_board.shtml" "http://orsoc.se:4488/svn/pci/test_board.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_driver.shtml" "http://orsoc.se:4488/svn/pci/test_driver.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_snapshots.shtml" "http://orsoc.se:4488/svn/pci/test_snapshots.shtml" - check_svn_return_value - svn import -m "Import from OC" "thumb_pcixwin.jpg" "http://orsoc.se:4488/svn/pci/thumb_pcixwin.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00022.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00022.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00026.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00026.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00027.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00027.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00028.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00028.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00037.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00037.jpg" - check_svn_return_value - svn import -m "Import from OC" "todo_list.shtml" "http://orsoc.se:4488/svn/pci/todo_list.shtml" - check_svn_return_value - popd - pushd "pci32tlite_oc" - popd - pushd "pci-board" - svn import -m "Import from OC" "PCI-Board.jpeg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpeg" - check_svn_return_value - svn import -m "Import from OC" "PCI-Board.jpg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI-CARD-SCH-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-CARD-SCH-v1.0.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCI-Card-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-Card-v1.0.pdf" - check_svn_return_value - popd - pushd "pci_controller" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/pci_controller/*" - check_svn_return_value - popd - pushd "pcie_vera_tb" - popd - pushd "pci_express" - popd - pushd "pci_express_crc" - popd - pushd "pci_ide_controller" - popd - pushd "pci_mini" - svn import -m "Import from OC" "PCI_Mini_IP_core_Datasheet2.0_oc.pdf" "http://orsoc.se:4488/svn/pci_mini/PCI_Mini_IP_core_Datasheet2.0_oc.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCI_mini.zip" "http://orsoc.se:4488/svn/pci_mini/PCI_mini.zip" - check_svn_return_value - popd - pushd "pcix" - popd - pushd "pcmcia" - popd - pushd "performance_counter" - svn import -m "Import from OC" "PeformanceCounterforMicroblazev0.1.zip" "http://orsoc.se:4488/svn/performance_counter/PeformanceCounterforMicroblazev0.1.zip" - check_svn_return_value - popd - pushd "perlilog" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/perlilog/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "old-index.shtml" "http://orsoc.se:4488/svn/perlilog/old-index.shtml" - check_svn_return_value - svn import -m "Import from OC" "Perlilog-0.2.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.2.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Perlilog-0.3.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.3.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide-0.2.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.2.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide-0.3.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.3.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog.tar.gz" "http://orsoc.se:4488/svn/perlilog/perlilog.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "perlilog.zip" "http://orsoc.se:4488/svn/perlilog/perlilog.zip" - check_svn_return_value - popd - pushd "phoenix_controller" - popd - pushd "pic8259" - popd - pushd "picoblaze_interrupt_controller" - svn import -m "Import from OC" "Pblaze_IntController-061221.zip" "http://orsoc.se:4488/svn/picoblaze_interrupt_controller/Pblaze_IntController-061221.zip" - check_svn_return_value - popd - pushd "pif2wb" - popd - pushd "pipelined_aes" - popd - pushd "pipelined_dct" - popd - pushd "piranha" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/piranha/*" - check_svn_return_value - popd - pushd "power_inverter" - popd - pushd "ppcnorthbridge" - popd - pushd "ppx16" - popd - pushd "product_code_iterative_decoder" - popd - pushd "profibus_dp" - svn import -m "Import from OC" "vhdl_source_files.zip" "http://orsoc.se:4488/svn/profibus_dp/vhdl_source_files.zip" - check_svn_return_value - popd - pushd "programmabledct" - popd - pushd "project" - svn import -m "Import from OC" "datapath.pdf" "http://orsoc.se:4488/svn/project/datapath.pdf" - check_svn_return_value - svn import -m "Import from OC" "Informations.doc" "http://orsoc.se:4488/svn/project/Informations.doc" - check_svn_return_value - svn import -m "Import from OC" "memories_core_jenerator_implementations.rar" "http://orsoc.se:4488/svn/project/memories_core_jenerator_implementations.rar" - check_svn_return_value - svn import -m "Import from OC" "Readme-Instructions.doc" "http://orsoc.se:4488/svn/project/Readme-Instructions.doc" - check_svn_return_value - svn import -m "Import from OC" "RegFile_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/RegFile_SystemC_implementation.rar" - check_svn_return_value - svn import -m "Import from OC" "systemC_Implementation.rar" "http://orsoc.se:4488/svn/project/systemC_Implementation.rar" - check_svn_return_value - svn import -m "Import from OC" "Xilinx_project_from_files_from_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/Xilinx_project_from_files_from_SystemC_implementation.rar" - check_svn_return_value - popd - pushd "ps2" - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/ps2/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/ps2/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ps2/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/ps2/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "ps2_keyboard.v" "http://orsoc.se:4488/svn/ps2/ps2_keyboard.v" - check_svn_return_value - svn import -m "Import from OC" "ps2_mouse.v" "http://orsoc.se:4488/svn/ps2/ps2_mouse.v" - check_svn_return_value - svn import -m "Import from OC" "ps2_soc1.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc1.zip" - check_svn_return_value - svn import -m "Import from OC" "ps2_soc2.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc2.zip" - check_svn_return_value - popd - pushd "ps2core" - popd - pushd "ptc" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ptc/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ptc_spec.pdf" "http://orsoc.se:4488/svn/ptc/ptc_spec.pdf" - check_svn_return_value - popd - pushd "pyramid_unit" - popd - pushd "quadraturecount" - popd - pushd "r2000" - popd - pushd "radixrsa" - svn import -m "Import from OC" "core.shtml" "http://orsoc.se:4488/svn/radixrsa/core.shtml" - check_svn_return_value - svn import -m "Import from OC" "doc.shtml" "http://orsoc.se:4488/svn/radixrsa/doc.shtml" - check_svn_return_value - svn import -m "Import from OC" "dotty.gif" "http://orsoc.se:4488/svn/radixrsa/dotty.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/radixrsa/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "montgo.jpg" "http://orsoc.se:4488/svn/radixrsa/montgo.jpg" - check_svn_return_value - svn import -m "Import from OC" "RSAAlgorithm.pdf" "http://orsoc.se:4488/svn/radixrsa/RSAAlgorithm.pdf" - check_svn_return_value - svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/radixrsa/title_logo.gif" - check_svn_return_value - popd - pushd "raggedstone" - svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/raggedstone/README" - check_svn_return_value - popd - pushd "rc5-72" - popd - pushd "rc5_decoder" - popd - pushd "rfid" - svn import -m "Import from OC" "7Prog.pdf" "http://orsoc.se:4488/svn/rfid/7Prog.pdf" - check_svn_return_value - svn import -m "Import from OC" "TheMultiTagTesterFinal.exe" "http://orsoc.se:4488/svn/rfid/TheMultiTagTesterFinal.exe" - check_svn_return_value - popd - pushd "rijndael" - svn import -m "Import from OC" "dekrip_files" "http://orsoc.se:4488/svn/rijndael/dekrip_files" - check_svn_return_value - svn import -m "Import from OC" "dekrip.htm" "http://orsoc.se:4488/svn/rijndael/dekrip.htm" - check_svn_return_value - svn import -m "Import from OC" "enkrip_files" "http://orsoc.se:4488/svn/rijndael/enkrip_files" - check_svn_return_value - svn import -m "Import from OC" "enkrip.htm" "http://orsoc.se:4488/svn/rijndael/enkrip.htm" - check_svn_return_value - svn import -m "Import from OC" "enkrip.pdf" "http://orsoc.se:4488/svn/rijndael/enkrip.pdf" - check_svn_return_value - popd - pushd "risc16f84" - svn import -m "Import from OC" "b13c_environment.zip" "http://orsoc.se:4488/svn/risc16f84/b13c_environment.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/risc16f84/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/risc16f84/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/risc16f84/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/risc16f84/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_clk2x.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_clk2x.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_lite.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_lite.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_small.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_small.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84.v" - check_svn_return_value - svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/risc16f84/srec_to_rs232.pl" - check_svn_return_value - popd - pushd "risc36" - popd - pushd "risc5x" - svn import -m "Import from OC" "hex_conv.zip" "http://orsoc.se:4488/svn/risc5x/hex_conv.zip" - check_svn_return_value - svn import -m "Import from OC" "risc5x_rel1.0.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "risc5x_rel1.1.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.1.zip" - check_svn_return_value - popd - pushd "risc_core_i" - svn import -m "Import from OC" "risc_core_I.zip" "http://orsoc.se:4488/svn/risc_core_i/risc_core_I.zip" - check_svn_return_value - svn import -m "Import from OC" "RISCCore.pdf" "http://orsoc.se:4488/svn/risc_core_i/RISCCore.pdf" - check_svn_return_value - svn import -m "Import from OC" "vhdl files.zip" "http://orsoc.se:4488/svn/risc_core_i/vhdl files.zip" - check_svn_return_value - svn import -m "Import from OC" "Zusammenfassung.pdf" "http://orsoc.se:4488/svn/risc_core_i/Zusammenfassung.pdf" - check_svn_return_value - popd - pushd "riscmcu" - svn import -m "Import from OC" "BlockDiagram.gif" "http://orsoc.se:4488/svn/riscmcu/BlockDiagram.gif" - check_svn_return_value - popd - pushd "risc_processor_with_os" - popd - pushd "rise" - popd - pushd "rng_lib" - svn import -m "Import from OC" "rng_lib_v10.zip" "http://orsoc.se:4488/svn/rng_lib/rng_lib_v10.zip" - check_svn_return_value - popd - pushd "robot_control_library" - svn import -m "Import from OC" "documentation.zip" "http://orsoc.se:4488/svn/robot_control_library/documentation.zip" - check_svn_return_value - svn import -m "Import from OC" "OPB_PID_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PID_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "OPB_PS2_Joypad_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PS2_Joypad_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "Quadrature_Encoder_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Quadrature_Encoder_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "Stepper_Control_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Stepper_Control_v1_00_a.zip" - check_svn_return_value - popd - pushd "rosetta" - popd - pushd "rs232_syscon" - svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/rs232_syscon/b10_safe_12_18_01_dual_path.zip" - check_svn_return_value - svn import -m "Import from OC" "b11_risc16f84_05_03_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b11_risc16f84_05_03_02.zip" - check_svn_return_value - svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b13_safe_09_17_02.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/rs232_syscon/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/rs232_syscon/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "Image4.gif" "http://orsoc.se:4488/svn/rs232_syscon/Image4.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rs232_syscon/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/rs232_syscon/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_1_00_source.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_00_source.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_1_01_xsoc.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_01_xsoc.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon1.doc" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon1.doc" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_autobaud.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_autobaud.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon.htm" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.htm" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon.pdf" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.pdf" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc1.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc1.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc2.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc2.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc3.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc3.zip" - check_svn_return_value - svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/rs232_syscon/srec_to_rs232.pl" - check_svn_return_value - popd - pushd "rs_5_3_gf256" - svn import -m "Import from OC" "ReedSolomon(5,3)Codec.ppt" "http://orsoc.se:4488/svn/rs_5_3_gf256/ReedSolomon(5,3)Codec.ppt" - check_svn_return_value - popd - pushd "rsa" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rsa/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "rsa" "http://orsoc.se:4488/svn/rsa/rsa" - check_svn_return_value - svn import -m "Import from OC" "RSA.htm" "http://orsoc.se:4488/svn/rsa/RSA.htm" - check_svn_return_value - svn import -m "Import from OC" "RSA.shtml" "http://orsoc.se:4488/svn/rsa/RSA.shtml" - check_svn_return_value - popd - pushd "rs_decoder_31_19_6" - popd - pushd "rsencoder" - svn import -m "Import from OC" "readme.txt" "http://orsoc.se:4488/svn/rsencoder/readme.txt" - check_svn_return_value - svn import -m "Import from OC" "reed_solomon.v" "http://orsoc.se:4488/svn/rsencoder/reed_solomon.v" - check_svn_return_value - svn import -m "Import from OC" "rs_testbench.v" "http://orsoc.se:4488/svn/rsencoder/rs_testbench.v" - check_svn_return_value - popd - pushd "s1_core" - popd - pushd "sardmips" - popd - pushd "sasc" - popd - pushd "sata1a" - popd - pushd "sayeh_processor" - popd - pushd "sbd_sqrt_fp" - popd - pushd "sc2v" - popd - pushd "scarm" - svn import -m "Import from OC" "arm1.JPG" "http://orsoc.se:4488/svn/scarm/arm1.JPG" - check_svn_return_value - svn import -m "Import from OC" "chinese" "http://orsoc.se:4488/svn/scarm/chinese" - check_svn_return_value - svn import -m "Import from OC" "english" "http://orsoc.se:4488/svn/scarm/english" - check_svn_return_value - svn import -m "Import from OC" "images" "http://orsoc.se:4488/svn/scarm/images" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/scarm/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "main.shtml" "http://orsoc.se:4488/svn/scarm/main.shtml" - check_svn_return_value - svn import -m "Import from OC" "src.zip" "http://orsoc.se:4488/svn/scarm/src.zip" - check_svn_return_value - svn import -m "Import from OC" "test" "http://orsoc.se:4488/svn/scarm/test" - check_svn_return_value - svn import -m "Import from OC" "test.zip" "http://orsoc.se:4488/svn/scarm/test.zip" - check_svn_return_value - popd - pushd "scsi_interface" - popd - pushd "sdram" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sdram/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml2" "http://orsoc.se:4488/svn/sdram/index.shtml2" - check_svn_return_value - svn import -m "Import from OC" "intefacing block diagram.gif" "http://orsoc.se:4488/svn/sdram/intefacing block diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "interfacing_block_diagram.gif" "http://orsoc.se:4488/svn/sdram/interfacing_block_diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "sdram_doc.pdf" "http://orsoc.se:4488/svn/sdram/sdram_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "sdram.html" "http://orsoc.se:4488/svn/sdram/sdram.html" - check_svn_return_value - svn import -m "Import from OC" "sdram_ip_doc_preliminary.pdf" "http://orsoc.se:4488/svn/sdram/sdram_ip_doc_preliminary.pdf" - check_svn_return_value - popd - pushd "sdram_ctrl" - popd - pushd "sdr_sdram_ctrl" - popd - pushd "serial_div_uu" - svn import -m "Import from OC" "pwm_reader.v" "http://orsoc.se:4488/svn/serial_div_uu/pwm_reader.v" - check_svn_return_value - svn import -m "Import from OC" "serial_divide_uu.v" "http://orsoc.se:4488/svn/serial_div_uu/serial_divide_uu.v" - check_svn_return_value - popd - pushd "serpent_core" - popd - pushd "sfpga" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sfpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ocrp-2_protel_sch.zip" "http://orsoc.se:4488/svn/sfpga/ocrp-2_protel_sch.zip" - check_svn_return_value - svn import -m "Import from OC" "OCRP-2_sch_preliminary.pdf" "http://orsoc.se:4488/svn/sfpga/OCRP-2_sch_preliminary.pdf" - check_svn_return_value - svn import -m "Import from OC" "sfpga_block.gif" "http://orsoc.se:4488/svn/sfpga/sfpga_block.gif" - check_svn_return_value - popd - pushd "sha1" - svn import -m "Import from OC" "sha1_readme_v01.txt" "http://orsoc.se:4488/svn/sha1/sha1_readme_v01.txt" - check_svn_return_value - svn import -m "Import from OC" "sha1_v01.zip" "http://orsoc.se:4488/svn/sha1/sha1_v01.zip" - check_svn_return_value - popd - pushd "sha_core" - popd - pushd "simpcon" - popd - pushd "simplearm" - popd - pushd "simple-cpu" - popd - pushd "simple_fm_receiver" - popd - pushd "simple_gpio" - popd - pushd "simple_pic" - popd - pushd "simple_spi" - popd - pushd "simple_uart" - svn import -m "Import from OC" "simpleUart.zip" "http://orsoc.se:4488/svn/simple_uart/simpleUart.zip" - check_svn_return_value - popd - pushd "single_clock_divider" - popd - pushd "single_port" - svn import -m "Import from OC" "single_port.tar.gz" "http://orsoc.se:4488/svn/single_port/single_port.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "single_port.zip" "http://orsoc.se:4488/svn/single_port/single_port.zip" - check_svn_return_value - popd - pushd "slave_vme_bridge" - popd - pushd "smallarm" - popd - pushd "smbus_if" - svn import -m "Import from OC" "smbus_if.doc" "http://orsoc.se:4488/svn/smbus_if/smbus_if.doc" - check_svn_return_value - popd - pushd "socbuilder" - popd - pushd "soft_core_risc_microprocessor_design_enabling_the_port_of_an_os" - popd - pushd "sonet" - svn import -m "Import from OC" "blockdia.doc" "http://orsoc.se:4488/svn/sonet/blockdia.doc" - check_svn_return_value - svn import -m "Import from OC" "overview.doc" "http://orsoc.se:4488/svn/sonet/overview.doc" - check_svn_return_value - popd - pushd "spacewire" - svn import -m "Import from OC" "Router.JPG" "http://orsoc.se:4488/svn/spacewire/Router.JPG" - check_svn_return_value - svn import -m "Import from OC" "SpWinterfacewithCODEC.JPG" "http://orsoc.se:4488/svn/spacewire/SpWinterfacewithCODEC.JPG" - check_svn_return_value - popd - pushd "spacewire_if" - popd - pushd "spates" - popd - pushd "spdif_interface" - popd - pushd "spi" - popd - pushd "spi_boot" - popd - pushd "spicc" - popd - pushd "spiflashcontroller" - popd - pushd "spimaster" - svn import -m "Import from OC" "spiMaster.zip" "http://orsoc.se:4488/svn/spimaster/spiMaster.zip" - check_svn_return_value - popd - pushd "spi_slave" - popd - pushd "spi-slave" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/spi-slave/*" - check_svn_return_value - popd - pushd "srl_fifo" - popd - pushd "srtdivision" - popd - pushd "ss_pcm" - popd - pushd "ssram" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ssram/index.shtml" - check_svn_return_value - popd - pushd "steppermotordrive" - popd - pushd "sts1" - svn import -m "Import from OC" "spe.vhd" "http://orsoc.se:4488/svn/sts1/spe.vhd" - check_svn_return_value - popd - pushd "svmac" - popd - pushd "sxp" - svn import -m "Import from OC" "sxp_block.gif" "http://orsoc.se:4488/svn/sxp/sxp_block.gif" - check_svn_return_value - popd - pushd "system05" - popd - pushd "system09" - svn import -m "Import from OC" "index.html" "http://orsoc.se:4488/svn/system09/index.html" - check_svn_return_value - svn import -m "Import from OC" "System09-oc-6sep03.zip" "http://orsoc.se:4488/svn/system09/System09-oc-6sep03.zip" - check_svn_return_value - svn import -m "Import from OC" "xbasic.s19" "http://orsoc.se:4488/svn/system09/xbasic.s19" - check_svn_return_value - popd - pushd "system11" - svn import -m "Import from OC" "Sys11_X300_5sep03.zip" "http://orsoc.se:4488/svn/system11/Sys11_X300_5sep03.zip" - check_svn_return_value - popd - pushd "system68" - svn import -m "Import from OC" "Sys68-X300-17jan04.zip" "http://orsoc.se:4488/svn/system68/Sys68-X300-17jan04.zip" - check_svn_return_value - popd - pushd "system6801" - svn import -m "Import from OC" "System6801.zip" "http://orsoc.se:4488/svn/system6801/System6801.zip" - check_svn_return_value - svn import -m "Import from OC" "utilities.zip" "http://orsoc.se:4488/svn/system6801/utilities.zip" - check_svn_return_value - popd - pushd "systemcaes" - popd - pushd "systemc_cordic" - popd - pushd "systemcdes" - popd - pushd "systemcmd5" - popd - pushd "systemc_rng" - popd - pushd "t400" - popd - pushd "t48" - popd - pushd "t51" - popd - pushd "t65" - popd - pushd "t80" - popd - pushd "t8000" - popd - pushd "tdm" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/tdm/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "tdm_core.jpg" "http://orsoc.se:4488/svn/tdm/tdm_core.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_core.ps" "http://orsoc.se:4488/svn/tdm/tdm_core.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_ISDN_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_ISDN_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.html" "http://orsoc.se:4488/svn/tdm/tdm_project.html" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.pdf" "http://orsoc.se:4488/svn/tdm/tdm_project.pdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.ps" "http://orsoc.se:4488/svn/tdm/tdm_project.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_top.ps" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/tdm/wishlogo.ps" - check_svn_return_value - popd - pushd "tdm_switch" - svn import -m "Import from OC" "map.dat" "http://orsoc.se:4488/svn/tdm_switch/map.dat" - check_svn_return_value - svn import -m "Import from OC" "ModelSim_Edition.exe" "http://orsoc.se:4488/svn/tdm_switch/ModelSim_Edition.exe" - check_svn_return_value - svn import -m "Import from OC" "stream_0.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_0.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_1.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_1.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_2.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_2.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_3.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_3.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_4.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_4.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_5.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_5.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_6.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_6.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_7.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_7.dat" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_b.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_b.v" - check_svn_return_value - svn import -m "Import from OC" "TDM_Switch_DS.pdf" "http://orsoc.se:4488/svn/tdm_switch/TDM_Switch_DS.pdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top_timesim.sdf" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.sdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top_timesim.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.v" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top.v" - check_svn_return_value - svn import -m "Import from OC" "testbench_top.v" "http://orsoc.se:4488/svn/tdm_switch/testbench_top.v" - check_svn_return_value - popd - pushd "template" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/template/index.shtml" - check_svn_return_value - popd - pushd "test" - svn import -m "Import from OC" "apple.gif" "http://orsoc.se:4488/svn/test/apple.gif" - check_svn_return_value - svn import -m "Import from OC" "FLEX_w_CMYK_R_LG.jpg" "http://orsoc.se:4488/svn/test/FLEX_w_CMYK_R_LG.jpg" - check_svn_return_value - svn import -m "Import from OC" "include1.ssi" "http://orsoc.se:4488/svn/test/include1.ssi" - check_svn_return_value - svn import -m "Import from OC" "include2.ssi" "http://orsoc.se:4488/svn/test/include2.ssi" - check_svn_return_value - popd - pushd "test1" - svn import -m "Import from OC" "arrow_ltr.gif" "http://orsoc.se:4488/svn/test1/arrow_ltr.gif" - check_svn_return_value - svn import -m "Import from OC" "sed_awk.pdf" "http://orsoc.se:4488/svn/test1/sed_awk.pdf" - check_svn_return_value - popd - pushd "test2" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/test2/*" - check_svn_return_value - popd - pushd "test3" - popd - pushd "test_project" - popd - pushd "test-project" - svn import -m "Import from OC" "vl.bmp" "http://orsoc.se:4488/svn/test-project/vl.bmp" - check_svn_return_value - popd - pushd "tg68" - popd - pushd "tiny64" - popd - pushd "tiny8" - popd - pushd "tlc2" - popd - pushd "toe" - popd - pushd "tone_generator" - popd - pushd "totalcpu" - popd - pushd "trinitor" - popd - pushd "truescalar" - popd - pushd "ts7300_opencore" - svn import -m "Import from OC" "7300stclwp.jpg" "http://orsoc.se:4488/svn/ts7300_opencore/7300stclwp.jpg" - check_svn_return_value - svn import -m "Import from OC" "ts7300_opencore.zip" "http://orsoc.se:4488/svn/ts7300_opencore/ts7300_opencore.zip" - check_svn_return_value - popd - pushd "turbocodes" - svn import -m "Import from OC" "turbo.tar.gz" "http://orsoc.se:4488/svn/turbocodes/turbo.tar.gz" - check_svn_return_value - popd - pushd "tv80" - svn import -m "Import from OC" "tv80_rel1.0.zip" "http://orsoc.se:4488/svn/tv80/tv80_rel1.0.zip" - check_svn_return_value - popd - pushd "twofish" - popd - pushd "twofish_team" - svn import -m "Import from OC" "ciphertext.jpg" "http://orsoc.se:4488/svn/twofish_team/ciphertext.jpg" - check_svn_return_value - svn import -m "Import from OC" "cleartext.jpg" "http://orsoc.se:4488/svn/twofish_team/cleartext.jpg" - check_svn_return_value - svn import -m "Import from OC" "key-mod.jpg" "http://orsoc.se:4488/svn/twofish_team/key-mod.jpg" - check_svn_return_value - svn import -m "Import from OC" "modifiedF.jpg" "http://orsoc.se:4488/svn/twofish_team/modifiedF.jpg" - check_svn_return_value - svn import -m "Import from OC" "peracangan" "http://orsoc.se:4488/svn/twofish_team/peracangan" - check_svn_return_value - svn import -m "Import from OC" "qper.jpg" "http://orsoc.se:4488/svn/twofish_team/qper.jpg" - check_svn_return_value - svn import -m "Import from OC" "s-boxes.jpg" "http://orsoc.se:4488/svn/twofish_team/s-boxes.jpg" - check_svn_return_value - svn import -m "Import from OC" "twofish.jpg" "http://orsoc.se:4488/svn/twofish_team/twofish.jpg" - check_svn_return_value - svn import -m "Import from OC" "twofish.zip" "http://orsoc.se:4488/svn/twofish_team/twofish.zip" - check_svn_return_value - popd - pushd "ualpha" - popd - pushd "uart16550" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/uart16550/index.shtml" - check_svn_return_value - popd - pushd "uart8bit" - popd - pushd "uart_fifo" - popd - pushd "uart_serial" - popd - pushd "ucore" - svn import -m "Import from OC" "ucsys-0.0.1.rar" "http://orsoc.se:4488/svn/ucore/ucsys-0.0.1.rar" - check_svn_return_value - popd - pushd "ultimate_crc" - svn import -m "Import from OC" "ultimate_crc_1_0.zip" "http://orsoc.se:4488/svn/ultimate_crc/ultimate_crc_1_0.zip" - check_svn_return_value - popd - pushd "ultramegasquirt" - popd - pushd "ultravec" - popd - pushd "upcable" - svn import -m "Import from OC" "odd_vhdl.zip" "http://orsoc.se:4488/svn/upcable/odd_vhdl.zip" - check_svn_return_value - svn import -m "Import from OC" "OneDollarDongle.pdf" "http://orsoc.se:4488/svn/upcable/OneDollarDongle.pdf" - check_svn_return_value - svn import -m "Import from OC" "ver1_xc9536xl_vq44_single_side.zip" "http://orsoc.se:4488/svn/upcable/ver1_xc9536xl_vq44_single_side.zip" - check_svn_return_value - popd - pushd "usb11" - popd - pushd "usb1_funct" - popd - pushd "usb_dongle_fpga" - svn import -m "Import from OC" "block_diagram.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/block_diagram.png" - check_svn_return_value - svn import -m "Import from OC" "dongle_block.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/dongle_block.png" - check_svn_return_value - svn import -m "Import from OC" "mini_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/mini_LR_DSC_0016.jpg" - check_svn_return_value - svn import -m "Import from OC" "small_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/small_LR_DSC_0016.jpg" - check_svn_return_value - svn import -m "Import from OC" "usb_dongle.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/usb_dongle.jpg" - check_svn_return_value - popd - pushd "usbhost" - svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/usbhost/alliance.shtml" - check_svn_return_value - svn import -m "Import from OC" "HDL" "http://orsoc.se:4488/svn/usbhost/HDL" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh10.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh10.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh11.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh11.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh12.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh12.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh13.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh13.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh14.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh14.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh15.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh15.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh16.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh16.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh17.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh17.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh18.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh18.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh19.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh19.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh1.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh1.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh20.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh20.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh21.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh21.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh22.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh22.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.shtml" "http://orsoc.se:4488/svn/usbhost/HDL.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.1.gif" "http://orsoc.se:4488/svn/usbhost/index.1.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/usbhost/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/usbhost/README" - check_svn_return_value - popd - pushd "usbhostslave" - svn import -m "Import from OC" "ALDEC_logo.jpg" "http://orsoc.se:4488/svn/usbhostslave/ALDEC_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" "http://orsoc.se:4488/svn/usbhostslave/dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" - check_svn_return_value - svn import -m "Import from OC" "NIOSsoftware.zip" "http://orsoc.se:4488/svn/usbhostslave/NIOSsoftware.zip" - check_svn_return_value - svn import -m "Import from OC" "ohs900.zip" "http://orsoc.se:4488/svn/usbhostslave/ohs900.zip" - check_svn_return_value - svn import -m "Import from OC" "usbhostslave.zip" "http://orsoc.se:4488/svn/usbhostslave/usbhostslave.zip" - check_svn_return_value - popd - pushd "usb_phy" - popd - pushd "usucc" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/usucc/*" - check_svn_return_value - popd - pushd "utop_lvl_1" - popd - pushd "verilator" - popd - pushd "vgafb" - popd - pushd "vga_lcd" - svn import -m "Import from OC" "block_diagram.gif" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/vga_lcd/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core.pdf" "http://orsoc.se:4488/svn/vga_lcd/vga_core.pdf" - check_svn_return_value - popd - pushd "vhcg" - svn import -m "Import from OC" "morpheus1.1release.rar" "http://orsoc.se:4488/svn/vhcg/morpheus1.1release.rar" - check_svn_return_value - svn import -m "Import from OC" "morpheus.tar.gz" "http://orsoc.se:4488/svn/vhcg/morpheus.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Specification.pdf" "http://orsoc.se:4488/svn/vhcg/Specification.pdf" - check_svn_return_value - popd - pushd "vhdl_cpu_emulator" - svn import -m "Import from OC" "vhdl_cpu_emulator_Beta.7z" "http://orsoc.se:4488/svn/vhdl_cpu_emulator/vhdl_cpu_emulator_Beta.7z" - check_svn_return_value - popd - pushd "vhdlmd5" - popd - pushd "vhld_tb" - popd - pushd "video_starter_kit" - svn import -m "Import from OC" "main_designoverview0.0.2.pdf" "http://orsoc.se:4488/svn/video_starter_kit/main_designoverview0.0.2.pdf" - check_svn_return_value - popd - pushd "vip_regs" - popd - pushd "viterbi_decoder" - popd - pushd "viterbi_decoder_k_7_r_1_2" - popd - pushd "vmebus" - popd - pushd "vmm" - popd - pushd "warp" - popd - pushd "wb2hpi" - svn import -m "Import from OC" "BlockTransfer1.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer1.jpg" - check_svn_return_value - svn import -m "Import from OC" "BlockTransfer2.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer2.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspFill1.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory1.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspMemory2.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory2.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSPMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DSPMove1.jpg" - check_svn_return_value - svn import -m "Import from OC" "Registers.jpg" "http://orsoc.se:4488/svn/wb2hpi/Registers.jpg" - check_svn_return_value - svn import -m "Import from OC" "SistemMemoryFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryFill1.jpg" - check_svn_return_value - svn import -m "Import from OC" "SistemMemoryMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryMove1.jpg" - check_svn_return_value - svn import -m "Import from OC" "SystemMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SystemMemory1.jpg" - check_svn_return_value - svn import -m "Import from OC" "TestBench051.jpg" "http://orsoc.se:4488/svn/wb2hpi/TestBench051.jpg" - check_svn_return_value - svn import -m "Import from OC" "wb2hpi_hw2.jpg" "http://orsoc.se:4488/svn/wb2hpi/wb2hpi_hw2.jpg" - check_svn_return_value - popd - pushd "wb2npi" - popd - pushd "wb_builder" - svn import -m "Import from OC" "users_manual.pdf" "http://orsoc.se:4488/svn/wb_builder/users_manual.pdf" - check_svn_return_value - popd - pushd "wb_conbus" - popd - pushd "wb_conmax" - svn import -m "Import from OC" "conmax.jpg" "http://orsoc.se:4488/svn/wb_conmax/conmax.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_conmax/index.shtml" - check_svn_return_value - popd - pushd "wbc_parallel_master" - svn import -m "Import from OC" "wbc_parallel_master-spec_doc-r01.pdf" "http://orsoc.se:4488/svn/wbc_parallel_master/wbc_parallel_master-spec_doc-r01.pdf" - check_svn_return_value - popd - pushd "wb_ddr" - popd - pushd "wb_dma" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_dma/index.shtml" - check_svn_return_value - popd - pushd "wb_flash" - popd - pushd "wbif_68k" - popd - pushd "wb_lpc" - popd - pushd "wb_mcs51" - popd - pushd "wb_rtc" - svn import -m "Import from OC" "ports.jpg" "http://orsoc.se:4488/svn/wb_rtc/ports.jpg" - check_svn_return_value - svn import -m "Import from OC" "structure.jpg" "http://orsoc.se:4488/svn/wb_rtc/structure.jpg" - check_svn_return_value - svn import -m "Import from OC" "wb_rtc.zip" "http://orsoc.se:4488/svn/wb_rtc/wb_rtc.zip" - check_svn_return_value - popd - pushd "wb_tk" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_tk/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_arbiter.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_arbiter.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_async_master.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_master.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_async_slave.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_slave.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_bus_resizer.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_bus_resizer.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_extensions.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_extensions.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_out_reg.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_out_reg.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_ram.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_ram.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_test.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_test.shtml" - check_svn_return_value - popd - pushd "wb_vga" - svn import -m "Import from OC" "accel.shtml" "http://orsoc.se:4488/svn/wb_vga/accel.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_vga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "mouse.shtml" "http://orsoc.se:4488/svn/wb_vga/mouse.shtml" - check_svn_return_value - svn import -m "Import from OC" "palette.shtml" "http://orsoc.se:4488/svn/wb_vga/palette.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_chip.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_chip.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core_v2.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core_v2.shtml" - check_svn_return_value - popd - pushd "wb_z80" - popd - pushd "wb_zbt" - popd - pushd "wisbone_2_ahb" - popd - pushd "wishbone" - svn import -m "Import from OC" "appnote_01.pdf" "http://orsoc.se:4488/svn/wishbone/appnote_01.pdf" - check_svn_return_value - svn import -m "Import from OC" "flex.pdf" "http://orsoc.se:4488/svn/wishbone/flex.pdf" - check_svn_return_value - svn import -m "Import from OC" "press_release_12_08_2002.pdf" "http://orsoc.se:4488/svn/wishbone/press_release_12_08_2002.pdf" - check_svn_return_value - svn import -m "Import from OC" "soc_bus_comparison.pdf" "http://orsoc.se:4488/svn/wishbone/soc_bus_comparison.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b1.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b1.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b2.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b2.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b3.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b3.pdf" - check_svn_return_value - popd - pushd "wishbone2ahb" - popd - pushd "wishbone_bfm" - popd - pushd "wishbone_checker" - popd - pushd "wishbone_out_port" - popd - pushd "wishbone_to_ahb" - popd - pushd "wlanmac" - popd - pushd "wlan_modem" - popd - pushd "wpf" - popd - pushd "x25_protocol_interface_project" - popd - pushd "x86soc" - popd - pushd "xge_mac" - popd - pushd "xmatchpro" - svn import -m "Import from OC" "open_xmw2.zip" "http://orsoc.se:4488/svn/xmatchpro/open_xmw2.zip" - check_svn_return_value - popd - pushd "xtea" - popd - pushd "yacc" - popd - pushd "yellowstar" - svn import -m "Import from OC" "appendix.pdf" "http://orsoc.se:4488/svn/yellowstar/appendix.pdf" - check_svn_return_value - svn import -m "Import from OC" "processor.v" "http://orsoc.se:4488/svn/yellowstar/processor.v" - check_svn_return_value - svn import -m "Import from OC" "report.pdf" "http://orsoc.se:4488/svn/yellowstar/report.pdf" - check_svn_return_value - svn import -m "Import from OC" "yellowstar_schematics.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_schematics.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "yellowstar_symbols.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_symbols.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "yellow_star.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellow_star.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "ys_logo.jpg" "http://orsoc.se:4488/svn/yellowstar/ys_logo.jpg" - check_svn_return_value - popd - pushd "yoda" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/yoda/*" - check_svn_return_value - popd - pushd "z80soc" - svn import -m "Import from OC" "mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/mP5170003.JPG" - check_svn_return_value - svn import -m "Import from OC" "mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/mP5180007.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5170003.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5180007.JPG" - check_svn_return_value - popd - pushd "zpu" - svn import -m "Import from OC" "compile.PNG" "http://orsoc.se:4488/svn/zpu/compile.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator2.PNG" "http://orsoc.se:4488/svn/zpu/simulator2.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator3.PNG" "http://orsoc.se:4488/svn/zpu/simulator3.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator.PNG" "http://orsoc.se:4488/svn/zpu/simulator.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_compile.PNG" "http://orsoc.se:4488/svn/zpu/thumb_compile.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator2.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator2.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator3.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator3.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator.PNG" - check_svn_return_value - popd - ALL_DONE="1" - echo "All checkins done" -done
yellowstar/web_uploads/svn_checkin.sh Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: yellowstar/web_uploads/oc_checkin.sh =================================================================== --- yellowstar/web_uploads/oc_checkin.sh (revision 5) +++ yellowstar/web_uploads/oc_checkin.sh (nonexistent) @@ -1,225 +0,0 @@ -#!/bin/bash -# AUTOMATICALLY GENERATED SCRIPT -# Scans the cores directory, excludes the projects and subdirectories -# listed below, and generates a script which checks in all of the -# remaining files to the SVN repository -# This should be run and the output piped to a new file something like: -# ./oc_cvs_checkin.sh > checkin_script.sh -# and then probably the execute permission enabled on checkin_script.sh -8b10b_encdec -acxbrd -adder -ae68 -aes_128_192_256 -aes_fekete256 -all_digital_fm_receiver -alternascope -aquarius -aspida -ata -auto_baud -a_vhd_16550_uart -a_vhdl_can_controller -avr_core -baudgen -binary_to_bcd -biquad -bluespec-h264 -bluetooth -board -camellia -can -cereon -cf_cordic -cf_fft -cf_fir -cf_fp_mul -cf_interleaver -cf_ldpc -cf_rca -cf_ssp -const_encoder -cordic -cpugen -cryptosorter -dct -ddr_sdr -decoder -des -dfp -diogenes -dram -dualspartainc6713cpci -dwt2d -e123mux -e1framerdeframer -embedded_risc -epp -erp -ethernet_tri_mode -eus100lx -eusfs -fac2222m -fast-crc -fbas_encoder -fcpu -ffr16 -fht -fifouart -filter -firewire -fir_filter_generator -floating_point_adder_subtractor -fpga -fpgaconfig -fpu -fpu100 -freetools -gamepads -gh_vhdl_library -gpio -graphicallcd -graphiti -gsc -gup -hamming_gen -hdlc -help -i2c -i2clog -i2c_slave -i2s -i2s_interface -ic6821 -idea -iiepci -interface_vga80x40 -irda -iso7816-3 -jpeg -jpegcompression -jtag -keypad_scanner -l8051 -lcd -lcd_controller -ldpc_decoder_802_3an -ldpc_encoder_802_3an -lem1_9min -lowpowerfir -lpu -lwrisc -man2uart -manchesterencoderdecoder -maxii-evalboard -mb-jpeg -mcpu -mdct -mem_ctrl -memory_cores -memory_sizer -mfpga -minimips -minirisc -mips789 -mipss -most -mpdma -ncore -neptune-core -nnARM -npigrctrl -oab1 -ocmips -ocrp-1 -opencores -openfire2 -openh263 -openriscdevboard -opentech -openverifla -or1k-new -ovcodec -pavr -pci -pci-board -pci_controller -pci_mini -performance_counter -perlilog -picoblaze_interrupt_controller -piranha -profibus_dp -project -ps2 -ptc -radixrsa -raggedstone -rfid -rijndael -risc16f84 -risc5x -risc_core_i -riscmcu -rng_lib -robot_control_library -rs232_syscon -rs_5_3_gf256 -rsa -rsencoder -scarm -sdram -serial_div_uu -sfpga -sha1 -simple_uart -single_port -smbus_if -sonet -spacewire -spimaster -spi-slave -ssram -sts1 -sxp -system09 -system11 -system68 -system6801 -tdm -tdm_switch -template -test -test1 -test2 -test-project -ts7300_opencore -turbocodes -tv80 -twofish_team -uart16550 -ucore -ultimate_crc -upcable -usb_dongle_fpga -usbhost -usbhostslave -usucc -vga_lcd -vhcg -vhdl_cpu_emulator -video_starter_kit -wb2hpi -wb_builder -wb_conmax -wbc_parallel_master -wb_dma -wb_rtc -wb_tk -wb_vga -wishbone -xmatchpro -yellowstar -yoda -z80soc -zpu Index: yellowstar/web_uploads/appendix.pdf =================================================================== --- yellowstar/web_uploads/appendix.pdf (nonexistent) +++ yellowstar/web_uploads/appendix.pdf (revision 6) @@ -0,0 +1,2300 @@ +%PDF-1.2 +%âãÏÓ +2 0 obj +<< +/D [1 0 R /XYZ null 944 null] +>> +endobj +3 0 obj +<< +/D [1 0 R /XYZ null 187 null] +>> +endobj +4 0 obj +<< +/D [1 0 R /XYZ null 899 null] +>> +endobj +5 0 obj +<< +/D [1 0 R /XYZ null 850 null] +>> +endobj +6 0 obj +<< +/D [1 0 R /XYZ null 823 null] +>> +endobj +7 0 obj +<< +/D [1 0 R /XYZ null 776 null] +>> +endobj +8 0 obj +<< +/D [1 0 R /XYZ null 749 null] +>> +endobj +9 0 obj +<< +/D [1 0 R /XYZ null 695 null] +>> +endobj +10 0 obj +<< +/D [1 0 R /XYZ null 669 null] +>> +endobj +11 0 obj +<< +/D [1 0 R /XYZ null 643 null] +>> +endobj +12 0 obj +<< +/D [1 0 R /XYZ null 617 null] +>> +endobj +13 0 obj +<< +/D [1 0 R /XYZ null 591 null] +>> +endobj +14 0 obj +<< +/D [1 0 R /XYZ null 565 null] +>> +endobj +15 0 obj +<< +/D [1 0 R /XYZ null 539 null] +>> +endobj +16 0 obj +<< +/D [1 0 R /XYZ null 513 null] +>> +endobj +17 0 obj +<< +/D [1 0 R /XYZ null 487 null] +>> +endobj +18 0 obj +<< +/D [1 0 R /XYZ null 461 null] +>> +endobj +19 0 obj +<< +/D [1 0 R /XYZ null 435 null] +>> +endobj +20 0 obj +<< +/D [1 0 R /XYZ null 409 null] +>> +endobj +21 0 obj +<< +/D [1 0 R /XYZ null 383 null] +>> +endobj +22 0 obj +<< +/D [1 0 R /XYZ null 357 null] +>> +endobj +23 0 obj +<< +/D [1 0 R /XYZ null 331 null] +>> +endobj +24 0 obj +<< +/D [1 0 R /XYZ null 305 null] +>> +endobj +25 0 obj +<< +/D [1 0 R /XYZ null 279 null] +>> +endobj +26 0 obj +<< +/D [1 0 R /XYZ null 253 null] +>> +endobj +27 0 obj +<< +/D [1 0 R /XYZ null 227 null] +>> +endobj +28 0 obj +<< +/CreationDate (D:191020213115545) +/Producer (Acrobat Distiller Command 3.01 for Solaris 2.3 and later \(SPARC\)) +/Creator (FrameMaker xm5.5.3L15a) +>> +endobj +29 0 obj +<< +/D [1 0 R /XYZ null null null] +>> +endobj +30 0 obj +<< +/D [1 0 R /XYZ null null null] +>> +endobj +31 0 obj +<< +/I << +/Title (A) +>> +/F 32 0 R +>> +endobj +33 0 obj +<< +/Length 1099 +/Filter /FlateDecode +>> +stream +H‰¤UkoÛ6ý®_q÷e È!©—U¬œºgy –2tˆ;@‘h›­, 7ÀÐß¾Kɲ8è0,@L‰ºçÜs¼ä°²Î>DVÅAÅ€ÁØå£q8,µ´–¸ýÁp¿9>lÁ}¸‚»Ï 2ËwƒQ Àë67–pøˆ +¯¹Yç±uö^‡xi¡s;#W£xƒ>Vø§ægkÝ‘IUÉ"SߨÍC×á„;ð^Þ×mR?‚`LP[pÎÂéçøÂnG‹‹Šs!ð}Ã{<>õ@ûEäNš)«ä§ÃDëd‰•UY3Ï£|LpvšþÙÈBã‘)scµ h³_ôï…©ÇØ +ÉUiÎäƒù‘&"ŒÁ“ 8ðWu²ÁÞO¦ÐÕí%jñǹ± ªü‘Ú¡ròqöúò¦¯Ë«“@† B ÿì¶hÔªÙáÓÙ¨˜‹1™*Ó–*ÃaÙ=2 Î”‰CnUd8r?Ξ°tydþ + ¨í .þ]ôgôvr‰Ùà.¾V7æ¾¥²ê¦ËÞú|þnò›¹gð¼l‹¥2±¿:êBæý‡‡°Á3ªð>6WÄÖ|Æ+/Mò\f»ª¾‹­$qM +endstream +endobj +34 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 35 0 R +/F4 36 0 R +>> +/ExtGState << +/GS1 37 0 R +>> +>> +endobj +40 0 obj +<< +/D [39 0 R /XYZ null 907 null] +>> +endobj +41 0 obj +<< +/D [39 0 R /XYZ null 839 null] +>> +endobj +42 0 obj +<< +/D [39 0 R /XYZ null 813 null] +>> +endobj +43 0 obj +<< +/D [39 0 R /XYZ null 787 null] +>> +endobj +44 0 obj +<< +/D [39 0 R /XYZ null 761 null] +>> +endobj +45 0 obj +<< +/D [39 0 R /XYZ null 733 null] +>> +endobj +46 0 obj +<< +/D [39 0 R /XYZ null 712 null] +>> +endobj +47 0 obj +<< +/D [39 0 R /XYZ null 699 null] +>> +endobj +48 0 obj +<< +/D [39 0 R /XYZ null 686 null] +>> +endobj +49 0 obj +<< +/D [39 0 R /XYZ null 673 null] +>> +endobj +50 0 obj +<< +/D [39 0 R /XYZ null 642 null] +>> +endobj +51 0 obj +<< +/D [39 0 R /XYZ null 615 null] +>> +endobj +52 0 obj +<< +/D [39 0 R /XYZ null 547 null] +>> +endobj +53 0 obj +<< +/D [39 0 R /XYZ null 521 null] +>> +endobj +54 0 obj +<< +/D [39 0 R /XYZ null 495 null] +>> +endobj +55 0 obj +<< +/D [39 0 R /XYZ null 469 null] +>> +endobj +56 0 obj +<< +/D [39 0 R /XYZ null 443 null] +>> +endobj +57 0 obj +<< +/D [39 0 R /XYZ null 417 null] +>> +endobj +58 0 obj +<< +/D [39 0 R /XYZ null 391 null] +>> +endobj +59 0 obj +<< +/D [39 0 R /XYZ null 365 null] +>> +endobj +60 0 obj +<< +/D [39 0 R /XYZ null 339 null] +>> +endobj +61 0 obj +<< +/D [39 0 R /XYZ null 313 null] +>> +endobj +62 0 obj +<< +/D [39 0 R /XYZ null 217 null] +>> +endobj +63 0 obj +<< +/D [39 0 R /XYZ null null null] +>> +endobj +65 0 obj +<< +/Length 1532 +/Filter /FlateDecode +>> +stream +H‰´VmoÛ6þ®_qý2P¬ˆÔ‹å¡Ýà.Mà6]ÛŠÖ [´ÍV–4Inš!?~w¤Þœا °)‘÷Æ»ç’Ãκ¸^pØU– .D>w¢I;”ÒÚâôµ%à-.~×ñB¸ωBxŸ¿¸X¡?vÆ=y°„Ç·ýL­…õzi]\ à°ÜZh'Ðù‚„–ô±ÃßrC÷Ög6- +™%ê‡=âßãŒ{p%×å1.@¸®°G‚s×cÂþ²|kq¡ÍâÐ؇ +hø3[î%ÌGõC!AeU]7µÊ3PÄi•Ã&.âu*!ßB’«lÕ^mëÊÒ[Ð;ä…,c­TÇßl.˜DÝL{vaĆcX^¢/•Çcó›K›l§ªZ–4ÃY›•µ4c…¶ebÖVln‡.[®l³›!‘U­2íÛL”O¬ +Ҽ촲ļHUï[·© q®j3­™¨¸–zËŸ1úï¶Xœ%¬Øbº²!/qŸ9™‚¡c\ž/V¶´Ç°4°]F©ÕI” ¬Õ!;Ö(Rr£VžâŠÊzÇ&\-¹˜BFnæÖy]烯¨På‰ß…Ó–B JAåë +W¡¸†¸”ÛÂ¥†,V©®üV»Á ‡ðÐxAù_ +Àœ0ðÅ©ƒÅÍ=ò¹3ƒ’4ß©MœB*ñƒ6™¦'•»òD`!«ú-/æ¤íŽùíRíöçÕƒSõ©=ò&˜q£—˜Âƒ¬Õæœ, +ÇÒöÄ u¯ÐèG¾ãzr¿iæq{ùõy;QäDƒv’Ù&Ot +û„¥yþ­‚Taƒ¸LB•›Ì]\…¦ó¹oŒúÑpìøãÖÝûÇí + +Ëë:Atqi1îN¢1ÖÄçß^«ºicÒ˜±õ8_Àãã|I—ø‡€{|¼½»ƒG€+%Ó¤žàÌŸYÑâ°PÿHÒ¹¸òÍN5÷=ƒ“©Cl¸l¦I¨ÉJÇÏRíÝaª‰ç&­¦~ä¼23š”0çæ‹·b†‰xô”3pÑô¯Y[5—…fÆPÙè(Bv}Ù™½o¬LæÑæÎÐâ€Æž‰†&‘  -jöP» ´ÜD*Æi:„yßÛL\Ò“51|Q¤ +Õë\‰N +˜æÇ}‹'z¡”Õ1­I£HãF¶ž¸&QïŒ2Ši‚ÿ_Ùfzy9ÃŽ÷Ý-Ô¡Hå0Ó³ïEg= ¿Bb„_(£}¸däÖ)Œ|6­?aMbR’Ó\çá±B㘾 wW‹î÷2ÓÈàö;%A–H/㜶uo‡>s.þìâļWhkJØOPîIt?Fw;Ÿ¡F^§y‹xBïÙ¶O?jMÏG$µšsRåÏUÿ:U½ù@>…7a7yÜá¤Î :f 2ú£/#³5´ˆÆ^¾äဘoì‰`Ë™®ÖJÖƒƒÍãEkKq RYi¤dö2Å°+#Î;q×éw2†ˆ`³­>†_ê&„ùå+nLà›æËÑ¥>> +/ExtGState << +/GS1 37 0 R +>> +>> +endobj +69 0 obj +<< +/D [68 0 R /XYZ null 907 null] +>> +endobj +70 0 obj +<< +/D [68 0 R /XYZ null 881 null] +>> +endobj +71 0 obj +<< +/D [68 0 R /XYZ null 855 null] +>> +endobj +72 0 obj +<< +/D [68 0 R /XYZ null 829 null] +>> +endobj +73 0 obj +<< +/D [68 0 R /XYZ null 803 null] +>> +endobj +74 0 obj +<< +/D [68 0 R /XYZ null 777 null] +>> +endobj +75 0 obj +<< +/D [68 0 R /XYZ null 734 null] +>> +endobj +76 0 obj +<< +/D [68 0 R /XYZ null 705 null] +>> +endobj +77 0 obj +<< +/D [68 0 R /XYZ null 676 null] +>> +endobj +78 0 obj +<< +/D [68 0 R /XYZ null 646 null] +>> +endobj +79 0 obj +<< +/D [68 0 R /XYZ null 617 null] +>> +endobj +80 0 obj +<< +/D [68 0 R /XYZ null 591 null] +>> +endobj +81 0 obj +<< +/D [68 0 R /XYZ null 565 null] +>> +endobj +82 0 obj +<< +/D [68 0 R /XYZ null 536 null] +>> +endobj +83 0 obj +<< +/D [68 0 R /XYZ null 507 null] +>> +endobj +84 0 obj +<< +/D [68 0 R /XYZ null 478 null] +>> +endobj +85 0 obj +<< +/D [68 0 R /XYZ null 452 null] +>> +endobj +86 0 obj +<< +/D [68 0 R /XYZ null 426 null] +>> +endobj +87 0 obj +<< +/D [68 0 R /XYZ null 400 null] +>> +endobj +88 0 obj +<< +/D [68 0 R /XYZ null 349 null] +>> +endobj +89 0 obj +<< +/D [68 0 R /XYZ null 328 null] +>> +endobj +90 0 obj +<< +/D [68 0 R /XYZ null 328 null] +>> +endobj +91 0 obj +<< +/D [68 0 R /XYZ null 328 null] +>> +endobj +92 0 obj +<< +/D [68 0 R /XYZ null 328 null] +>> +endobj +93 0 obj +<< +/D [68 0 R /XYZ null 328 null] +>> +endobj +94 0 obj +<< +/D [68 0 R /XYZ null 308 null] +>> +endobj +95 0 obj +<< +/D [68 0 R /XYZ null 308 null] +>> +endobj +96 0 obj +<< +/D [68 0 R /XYZ null 308 null] +>> +endobj +97 0 obj +<< +/D [68 0 R /XYZ null 308 null] +>> +endobj +98 0 obj +<< +/D [68 0 R /XYZ null 308 null] +>> +endobj +99 0 obj +<< +/D [68 0 R /XYZ null 292 null] +>> +endobj +100 0 obj +<< +/D [68 0 R /XYZ null 292 null] +>> +endobj +101 0 obj +<< +/D [68 0 R /XYZ null 292 null] +>> +endobj +102 0 obj +<< +/D [68 0 R /XYZ null 292 null] +>> +endobj +103 0 obj +<< +/D [68 0 R /XYZ null 292 null] +>> +endobj +104 0 obj +<< +/D [68 0 R /XYZ null 274 null] +>> +endobj +105 0 obj +<< +/D [68 0 R /XYZ null 274 null] +>> +endobj +106 0 obj +<< +/D [68 0 R /XYZ null 274 null] +>> +endobj +107 0 obj +<< +/D [68 0 R /XYZ null 274 null] +>> +endobj +108 0 obj +<< +/D [68 0 R /XYZ null 274 null] +>> +endobj +109 0 obj +<< +/D [68 0 R /XYZ null 256 null] +>> +endobj +110 0 obj +<< +/D [68 0 R /XYZ null 256 null] +>> +endobj +111 0 obj +<< +/D [68 0 R /XYZ null 256 null] +>> +endobj +112 0 obj +<< +/D [68 0 R /XYZ null 256 null] +>> +endobj +113 0 obj +<< +/D [68 0 R /XYZ null 256 null] +>> +endobj +114 0 obj +<< +/D [68 0 R /XYZ null 238 null] +>> +endobj +115 0 obj +<< +/D [68 0 R /XYZ null 238 null] +>> +endobj +116 0 obj +<< +/D [68 0 R /XYZ null 238 null] +>> +endobj +117 0 obj +<< +/D [68 0 R /XYZ null 238 null] +>> +endobj +118 0 obj +<< +/D [68 0 R /XYZ null 238 null] +>> +endobj +119 0 obj +<< +/D [68 0 R /XYZ null null null] +>> +endobj +64 0 obj +<< +/P 39 0 R +/R [222 56 657 780] +/V 32 0 R +/N 120 0 R +>> +endobj +121 0 obj +<< +/Length 1603 +/Filter /FlateDecode +>> +stream +H‰¬WÛnÛF}çWlß–)´Þû’@UÀJ' –‚46ò K”­B]ŠŽc ßÙ o6%+E$rÉÙ3·3³C†n¢£Ó1C7Ûˆ¡%Š(¢(‘Œ$iu)²hO#Ž>ÀË¿%B£$H¢Ñ9ºúFÑ<ÒÒÑr׌Ðj¹ŠÆÑh½åˆ¡É"JS‚‚äVh²7ð›ÌìßCt…ïî²Í|ù#°T +†™@o³ëâ~Z<"N)œ1*°ˆ¿M>DŒ;X¸pEIbÑÂÂðÅ)Ç“Ëx djð¨˜nf·h¹@gÙv‹&·Ó +ºÌŠ<(jRxm_]ŒÑQNÑ€¦µA“7îÔAñT¶ N‹lZfEM¤L6hVh“WΤgUOÍÉ tòÏýt…Ê<àp´­vpN>YE˜“O°=$ ¥Í¶á]ÄšâIkïÇ“g{?æ¥W 7¼Ùÿ[gÿ€­$ïÆer›Ù¤h¼ÎÖyñè麟xåï—›mYÜÏÊe¾ O¦EØ2]ms—mfù<›W;üõý |¼s¢ªƒBüëZór½ÎæKHD•7Ö²o¹EÛåÍe1 ü(]ÙM7ð›Û;ˆ7ø ÿ3—Jxƒ²EÌ5^d ,æ +·³˜b»£·ˆç^ )„ðºÎb#ñ—x %—ø,ŸÎÑ—8¡8/À1FÅqˆ&€ +ÑÕÅø÷÷ççß\J´ã²½ª$!L"•J¢™±àñäïçtWš Ðýô*HžÓûnºZ€Nf³ùK:µ"Òëdº_'T°¬u~.%Š6JÑç +·M¥aÀà¶rú\«H‰°˜šð~­J&$mkÅ?ÖN€dMÓ„(¹­òrÂEðaqÓÜxFÀ"ˆ«)nԄƃ“^•Ò@gÿoؤ1$q˜˜ËÞ°5Z_[ m‡ Ðïk<ÓÁë ]¶CO[”ЋR¡ñ8ËÐu¶Êm)=ô5Öê Œ2O .–7·K@“ÞÕàØô¶q™Y§úLªñî,B!…DªwUœ2pZ ‚teSÓnŽÜîCœA–«Î w]Þ º,ÙÆh@ýEŒÑ¼.ÿ}Æp"=êSsÊ—I¨˜§ä°CY¬í³…[˜6êIÖ+u3V1Ž³ž¬»ƒìož ÔÄᢢ÷,³Ì;ê1äÈa¡ö„¦á:ÏìÄ‚îïò +*oAM^–ù•1c8G×ËN÷EßÉTºóKR\Ÿ+nå5‘âE¾ +/77þÝ"¿/ü]9½^e[/¸½ +Žù7ìuv;9Ãß—õ.0Äí¨eÀ§,HYKêp{…¨Ò…²)ÌùÂùlQÐ5Ði 1rO¼÷ÅÜ=ð“;t w ß,·05ÅJa–½•~LdÔÓŠ".ÎC%1Ú³j§Ã…A¡GÕÅE”'šñET +IãcË +ã\¡Íâ×aý¦î%v>÷“ Ðüd)̨<– ÄLb!?ãôM7*¿²³nc» Ì Ã0jê)¨Ú„™”ˆ´ +¨ö*å„»€u%„Ë-”4uÂ]À¯]@e Œ·»ñˆ=…»´põLjÐHŒ-í¢| ¬·õ§Ö„&ˆÙÄkßö¡®Ù4¬kœŒ ŠðöæIu¢à¶=‰ªá¬ÝÀ8Û¤f@ŸËQ }åu¬t È@‹GL"­îËe§#ÜÔ£ÔNGxJR/3d½ŽHJTz°#5\O>+Æ5ˆjb \±¢kî0 +Æuð Ô,u1|ýÆ"˜†¹†ù‹—# ˜ z„'ÔË yo„9·É?4Â5\O Vn‰pñkoQfcãbMg|ù_b óŽy¡á3%ìPôFšxÚ#¨ßámOÓlÕ>@à.`Ó<ÌÂß.`oÓ x¡iîÄs]³ wYÁ¡>îЊ¸½”h›ÕuµdijÛË*½Šàü†d"øQNÂ/L³iP`:$½’ËŽ°†³ZKX¶µØGR»G0ÂxCà{ ¬k5©(˜`‰e€_×"EU(’9ÕEq"5J颀"ðGÈF_¶MIdc«_:’KNb +endstream +endobj +122 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 35 0 R +/F4 36 0 R +>> +/ExtGState << +/GS1 37 0 R +>> +>> +endobj +124 0 obj +<< +/D [123 0 R /XYZ null 498 null] +>> +endobj +125 0 obj +<< +/D [123 0 R /XYZ null 477 null] +>> +endobj +126 0 obj +<< +/D [123 0 R /XYZ null 464 null] +>> +endobj +127 0 obj +<< +/D [123 0 R /XYZ null 451 null] +>> +endobj +128 0 obj +<< +/D [123 0 R /XYZ null 438 null] +>> +endobj +129 0 obj +<< +/D [123 0 R /XYZ null 407 null] +>> +endobj +130 0 obj +<< +/D [123 0 R /XYZ null 380 null] +>> +endobj +131 0 obj +<< +/D [123 0 R /XYZ null 345 null] +>> +endobj +132 0 obj +<< +/D [123 0 R /XYZ null 332 null] +>> +endobj +133 0 obj +<< +/D [123 0 R /XYZ null 319 null] +>> +endobj +134 0 obj +<< +/D [123 0 R /XYZ null 306 null] +>> +endobj +135 0 obj +<< +/D [123 0 R /XYZ null 293 null] +>> +endobj +136 0 obj +<< +/D [123 0 R /XYZ null 269 null] +>> +endobj +137 0 obj +<< +/D [123 0 R /XYZ null 908 null] +>> +endobj +138 0 obj +<< +/D [123 0 R /XYZ null 887 null] +>> +endobj +139 0 obj +<< +/D [123 0 R /XYZ null 887 null] +>> +endobj +140 0 obj +<< +/D [123 0 R /XYZ null 887 null] +>> +endobj +141 0 obj +<< +/D [123 0 R /XYZ null 887 null] +>> +endobj +142 0 obj +<< +/D [123 0 R /XYZ null 887 null] +>> +endobj +143 0 obj +<< +/D [123 0 R /XYZ null 867 null] +>> +endobj +144 0 obj +<< +/D [123 0 R /XYZ null 867 null] +>> +endobj +145 0 obj +<< +/D [123 0 R /XYZ null 867 null] +>> +endobj +146 0 obj +<< +/D [123 0 R /XYZ null 867 null] +>> +endobj +147 0 obj +<< +/D [123 0 R /XYZ null 867 null] +>> +endobj +148 0 obj +<< +/D [123 0 R /XYZ null 851 null] +>> +endobj +149 0 obj +<< +/D [123 0 R /XYZ null 851 null] +>> +endobj +150 0 obj +<< +/D [123 0 R /XYZ null 851 null] +>> +endobj +151 0 obj +<< +/D [123 0 R /XYZ null 851 null] +>> +endobj +152 0 obj +<< +/D [123 0 R /XYZ null 851 null] +>> +endobj +153 0 obj +<< +/D [123 0 R /XYZ null 834 null] +>> +endobj +154 0 obj +<< +/D [123 0 R /XYZ null 834 null] +>> +endobj +155 0 obj +<< +/D [123 0 R /XYZ null 834 null] +>> +endobj +156 0 obj +<< +/D [123 0 R /XYZ null 834 null] +>> +endobj +157 0 obj +<< +/D [123 0 R /XYZ null 834 null] +>> +endobj +158 0 obj +<< +/D [123 0 R /XYZ null 816 null] +>> +endobj +159 0 obj +<< +/D [123 0 R /XYZ null 816 null] +>> +endobj +160 0 obj +<< +/D [123 0 R /XYZ null 816 null] +>> +endobj +161 0 obj +<< +/D [123 0 R /XYZ null 816 null] +>> +endobj +162 0 obj +<< +/D [123 0 R /XYZ null 816 null] +>> +endobj +163 0 obj +<< +/D [123 0 R /XYZ null 798 null] +>> +endobj +164 0 obj +<< +/D [123 0 R /XYZ null 798 null] +>> +endobj +165 0 obj +<< +/D [123 0 R /XYZ null 798 null] +>> +endobj +166 0 obj +<< +/D [123 0 R /XYZ null 798 null] +>> +endobj +167 0 obj +<< +/D [123 0 R /XYZ null 798 null] +>> +endobj +168 0 obj +<< +/D [123 0 R /XYZ null 772 null] +>> +endobj +169 0 obj +<< +/D [123 0 R /XYZ null 751 null] +>> +endobj +170 0 obj +<< +/D [123 0 R /XYZ null 751 null] +>> +endobj +171 0 obj +<< +/D [123 0 R /XYZ null 751 null] +>> +endobj +172 0 obj +<< +/D [123 0 R /XYZ null 751 null] +>> +endobj +173 0 obj +<< +/D [123 0 R /XYZ null 751 null] +>> +endobj +174 0 obj +<< +/D [123 0 R /XYZ null 731 null] +>> +endobj +175 0 obj +<< +/D [123 0 R /XYZ null 731 null] +>> +endobj +176 0 obj +<< +/D [123 0 R /XYZ null 731 null] +>> +endobj +177 0 obj +<< +/D [123 0 R /XYZ null 731 null] +>> +endobj +178 0 obj +<< +/D [123 0 R /XYZ null 731 null] +>> +endobj +179 0 obj +<< +/D [123 0 R /XYZ null 715 null] +>> +endobj +180 0 obj +<< +/D [123 0 R /XYZ null 715 null] +>> +endobj +181 0 obj +<< +/D [123 0 R /XYZ null 715 null] +>> +endobj +182 0 obj +<< +/D [123 0 R /XYZ null 715 null] +>> +endobj +183 0 obj +<< +/D [123 0 R /XYZ null 715 null] +>> +endobj +184 0 obj +<< +/D [123 0 R /XYZ null 697 null] +>> +endobj +185 0 obj +<< +/D [123 0 R /XYZ null 697 null] +>> +endobj +186 0 obj +<< +/D [123 0 R /XYZ null 697 null] +>> +endobj +187 0 obj +<< +/D [123 0 R /XYZ null 697 null] +>> +endobj +188 0 obj +<< +/D [123 0 R /XYZ null 697 null] +>> +endobj +189 0 obj +<< +/D [123 0 R /XYZ null 679 null] +>> +endobj +190 0 obj +<< +/D [123 0 R /XYZ null 679 null] +>> +endobj +191 0 obj +<< +/D [123 0 R /XYZ null 679 null] +>> +endobj +192 0 obj +<< +/D [123 0 R /XYZ null 679 null] +>> +endobj +193 0 obj +<< +/D [123 0 R /XYZ null 679 null] +>> +endobj +194 0 obj +<< +/D [123 0 R /XYZ null 662 null] +>> +endobj +195 0 obj +<< +/D [123 0 R /XYZ null 662 null] +>> +endobj +196 0 obj +<< +/D [123 0 R /XYZ null 662 null] +>> +endobj +197 0 obj +<< +/D [123 0 R /XYZ null 662 null] +>> +endobj +198 0 obj +<< +/D [123 0 R /XYZ null 662 null] +>> +endobj +199 0 obj +<< +/D [123 0 R /XYZ null 636 null] +>> +endobj +200 0 obj +<< +/D [123 0 R /XYZ null 615 null] +>> +endobj +201 0 obj +<< +/D [123 0 R /XYZ null 615 null] +>> +endobj +202 0 obj +<< +/D [123 0 R /XYZ null 615 null] +>> +endobj +203 0 obj +<< +/D [123 0 R /XYZ null 615 null] +>> +endobj +204 0 obj +<< +/D [123 0 R /XYZ null 615 null] +>> +endobj +205 0 obj +<< +/D [123 0 R /XYZ null 595 null] +>> +endobj +206 0 obj +<< +/D [123 0 R /XYZ null 595 null] +>> +endobj +207 0 obj +<< +/D [123 0 R /XYZ null 595 null] +>> +endobj +208 0 obj +<< +/D [123 0 R /XYZ null 595 null] +>> +endobj +209 0 obj +<< +/D [123 0 R /XYZ null 595 null] +>> +endobj +210 0 obj +<< +/D [123 0 R /XYZ null 579 null] +>> +endobj +211 0 obj +<< +/D [123 0 R /XYZ null 579 null] +>> +endobj +212 0 obj +<< +/D [123 0 R /XYZ null 579 null] +>> +endobj +213 0 obj +<< +/D [123 0 R /XYZ null 579 null] +>> +endobj +214 0 obj +<< +/D [123 0 R /XYZ null 579 null] +>> +endobj +215 0 obj +<< +/D [123 0 R /XYZ null 561 null] +>> +endobj +216 0 obj +<< +/D [123 0 R /XYZ null 561 null] +>> +endobj +217 0 obj +<< +/D [123 0 R /XYZ null 561 null] +>> +endobj +218 0 obj +<< +/D [123 0 R /XYZ null 561 null] +>> +endobj +219 0 obj +<< +/D [123 0 R /XYZ null 561 null] +>> +endobj +220 0 obj +<< +/D [123 0 R /XYZ null 543 null] +>> +endobj +221 0 obj +<< +/D [123 0 R /XYZ null 543 null] +>> +endobj +222 0 obj +<< +/D [123 0 R /XYZ null 543 null] +>> +endobj +223 0 obj +<< +/D [123 0 R /XYZ null 543 null] +>> +endobj +224 0 obj +<< +/D [123 0 R /XYZ null 543 null] +>> +endobj +225 0 obj +<< +/D [123 0 R /XYZ null 525 null] +>> +endobj +226 0 obj +<< +/D [123 0 R /XYZ null 525 null] +>> +endobj +227 0 obj +<< +/D [123 0 R /XYZ null 525 null] +>> +endobj +228 0 obj +<< +/D [123 0 R /XYZ null 525 null] +>> +endobj +229 0 obj +<< +/D [123 0 R /XYZ null 525 null] +>> +endobj +230 0 obj +<< +/D [123 0 R /XYZ null null null] +>> +endobj +120 0 obj +<< +/P 68 0 R +/R [222 56 657 780] +/V 64 0 R +/N 231 0 R +>> +endobj +232 0 obj +<< +/Length 2133 +/Filter /FlateDecode +>> +stream +H‰¼—ËnÛ8†÷~ +.f!U¼J ‹8iŠ 2À 1N’.[MÜÚV`+“vЇŸÃ«HY’•.j ±(<ü’‡ÂèaôöÃF»FK4JP‚2†ã,·_Ûbônt¿ $¦½ gý…n?%h1,S‚¸º¹ŠãÄ6W£«Ñd:z{FFÓÏ£ ©N bDM×ÐÇüMçòßËè6:~z*6‹å·ñÎŦ謸ß>϶ßI2>"'4bãOÓó& + _†K9‹*Á·Ñô±@Åf^.ŠZnvÕöy^-Ë +Z•å×Z-¿ŽqhWþ¡XoÏ„N3 +eJI,r àÒ}°û$î3ž~‘%qNäøNGNò,œQÒ~…ÐdYmž×÷ÅVþxúfýø³úþôãÇå‚Sø‡ús½.ËYU ͳe±Zìl<<ð‡¸û§>X¸K®–ÿò7oϘ)dJb‚•™‚ö1yyA…QŸ'5¡Â—~É’h5ÛUúÊS\ßPHýh§¿u714h.ÛÆór³ún¯Ì£ó=V³›Ùfaž˜Dª™6Ú@gú‹Œ“H¨‚8ÆB¤Ê®ûe…–Nk€¡§Õl^켿AÌ©û²ªÊ5"Að•ŸÕÝ¿Ob­m×,‚ÙûkgѹšEn élûPT¶Õ9‹¼ o4gQ×ÌÀ„»™qU® µf£ûíl3ÔמkÒ°ç.š|x#¯‰±Â&ãœDÓ›»±nÏvúû¥X­Â;_ž×OæòNMùÌbÎ/-`>Ûè‹m1/·æ1¸·?n£§mù°­!†°h^>o*Ð~0«ôÕ‹œYåój¡£gc’DÿŽ±ˆ +p_ý¬z4·`*c}«ôípþJx©Ü›ðBҾɟóçªXÄúöôQ­œ-Ûb·SCoõòÁ4ÚUå¶Xè륷Í4ÞÕóÖÜ´¹Ué=,wz¸pç.úâ»q¬[°Nw……†.B—Ëõ“Z³p-ÅÀR ®Ä€~î(aã GÇ°öÀY,op )@ªnU)×ÔÁ÷Ú,_t>It|ñ;’E~Õ<6{”ÙÏp¢gb‚ã1A©`²lé-jœÃÏ'ï‰ÑE9[ ëqÊ#˜èrùðXé)ó–ÊMë9pY8!& ñ#&‹&ðâ,:1íS;ˆZ¬ërû]®‘÷S@æPâHÎcNQJ³ºDá,æªÒ¾‘¥²Nž&Xj²—E2º€”å1Í} ïr®‚CàÇÈ60C–ä*8þy +2Z‘Ý‹,°×y<ÞÇ3…¼I›A3´…Äëƒ,°6¨¨ +y_iÇvë6HLD[ ‚úÈë-DÄ´w +9X§A5÷ñŒA!oâñ¬=Ãò³ö„¼“6{ ÐØÓ Ôö„¼ëàÕIù”Ë£×[ 1º‚Ã׎E}̸(>ÿšS†HÔ¼vÊàn+î.^ðð)£l­]Ã24¾6€m¥Ëò†œ2¸Ÿ;ep‘ÙâÔ¹øxŠm‘k?eð,Pá@ «qÝFyÄ%¬Aluj`ŽÖª€Èb˜¶h:ÏÔf¡\Š½½-QO»4扭.ÝCb¦J¹CB¨±P™ÕØáz4®‰C4‰÷7¹Z…^­ðñäg¦äàY™SîÎʤUa&^qV®qÂ^ñxCô +yÇ-úËÏêðXœJ±AÁÉÉž¾ì°¾˜<ærÈÈs[J4'™„Omä7¨@7h§š6 4k-ЗˇÇ_S¡™àþ¹³¿B3·voJðp…n[÷ýa[À¶ +myC*t÷sšÁÞvèý…QæÞ_’ýµÇÅûK +ëZ{oÀûKƒ×²öægM +yûkÏâ½»4h§¯{wa˜|wa°úí» n1‡¤þ»Á²SÃöÄ´æÔ¼e§Á;i1gX~ÖœwÚ¶‚ °¶§gklðn^gÍ…­×šç°I†çß×ð³m +Û“ÓÚSóØÓà¶îpÃ245ˆ­[œ2¨ÁÛ7ˆõ:S8¹Û£qËÁ‚æØ?vB¥íÛÜjX‹ Æ"ÈûˆÆ¢ØZ„æh- +‰mY`}¼èÙâ¼ËCçêzA#B³˜dHÀÆ n¬m;å¹<ö­F“7#Â0BÔ¹fmÛ)r1«éÙ҅˜v‚ILpÝ‘iûÉ[L¨[$5¹À3m×Q.8MM.œÚ¶ ‘l) + +)*ÄQLHHŽKãœÕ™¶Ÿ®cuº¦­¥ÓjJ¸åÔ„H|u9V¶:uI¤”¾º.Īí‡h5]GVm¯#›.ÜÊýtu;4€™?hÝö¥ã™¥héL; qR #9"ëŽLÛOWFb\§kÚ¾º4¥ò‰S—eBŠà«KSµa8uY¦Ö£¯® 1í D«é:2m¿#“®¼%¼tMÛ4˘‘´iûÒ1f)Z:ÓCÅ„„èHŽäp™¶Ÿ.M‰”Ã¥kÚ*ä–Tië +endstream +endobj +233 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 35 0 R +/F4 36 0 R +/F6 67 0 R +>> +/ExtGState << +/GS1 37 0 R +>> +>> +endobj +235 0 obj +<< +/D [234 0 R /XYZ null 907 null] +>> +endobj +236 0 obj +<< +/D [234 0 R /XYZ null 886 null] +>> +endobj +237 0 obj +<< +/D [234 0 R /XYZ null 873 null] +>> +endobj +238 0 obj +<< +/D [234 0 R /XYZ null 860 null] +>> +endobj +239 0 obj +<< +/D [234 0 R /XYZ null 847 null] +>> +endobj +240 0 obj +<< +/D [234 0 R /XYZ null 834 null] +>> +endobj +241 0 obj +<< +/D [234 0 R /XYZ null 821 null] +>> +endobj +242 0 obj +<< +/D [234 0 R /XYZ null 808 null] +>> +endobj +243 0 obj +<< +/D [234 0 R /XYZ null 795 null] +>> +endobj +244 0 obj +<< +/D [234 0 R /XYZ null 782 null] +>> +endobj +245 0 obj +<< +/D [234 0 R /XYZ null 769 null] +>> +endobj +246 0 obj +<< +/D [234 0 R /XYZ null 756 null] +>> +endobj +247 0 obj +<< +/D [234 0 R /XYZ null 743 null] +>> +endobj +248 0 obj +<< +/D [234 0 R /XYZ null 730 null] +>> +endobj +249 0 obj +<< +/D [234 0 R /XYZ null 717 null] +>> +endobj +250 0 obj +<< +/D [234 0 R /XYZ null 704 null] +>> +endobj +251 0 obj +<< +/D [234 0 R /XYZ null 691 null] +>> +endobj +252 0 obj +<< +/D [234 0 R /XYZ null 678 null] +>> +endobj +253 0 obj +<< +/D [234 0 R /XYZ null 665 null] +>> +endobj +254 0 obj +<< +/D [234 0 R /XYZ null 652 null] +>> +endobj +255 0 obj +<< +/D [234 0 R /XYZ null 639 null] +>> +endobj +256 0 obj +<< +/D [234 0 R /XYZ null 626 null] +>> +endobj +257 0 obj +<< +/D [234 0 R /XYZ null 613 null] +>> +endobj +258 0 obj +<< +/D [234 0 R /XYZ null 600 null] +>> +endobj +259 0 obj +<< +/D [234 0 R /XYZ null 587 null] +>> +endobj +260 0 obj +<< +/D [234 0 R /XYZ null 574 null] +>> +endobj +261 0 obj +<< +/D [234 0 R /XYZ null 561 null] +>> +endobj +262 0 obj +<< +/D [234 0 R /XYZ null 548 null] +>> +endobj +263 0 obj +<< +/D [234 0 R /XYZ null 535 null] +>> +endobj +264 0 obj +<< +/D [234 0 R /XYZ null 522 null] +>> +endobj +265 0 obj +<< +/D [234 0 R /XYZ null 509 null] +>> +endobj +266 0 obj +<< +/D [234 0 R /XYZ null 496 null] +>> +endobj +267 0 obj +<< +/D [234 0 R /XYZ null 483 null] +>> +endobj +268 0 obj +<< +/D [234 0 R /XYZ null 470 null] +>> +endobj +269 0 obj +<< +/D [234 0 R /XYZ null 457 null] +>> +endobj +270 0 obj +<< +/D [234 0 R /XYZ null 444 null] +>> +endobj +271 0 obj +<< +/D [234 0 R /XYZ null 431 null] +>> +endobj +272 0 obj +<< +/D [234 0 R /XYZ null 418 null] +>> +endobj +273 0 obj +<< +/D [234 0 R /XYZ null 405 null] +>> +endobj +274 0 obj +<< +/D [234 0 R /XYZ null 392 null] +>> +endobj +275 0 obj +<< +/D [234 0 R /XYZ null 379 null] +>> +endobj +276 0 obj +<< +/D [234 0 R /XYZ null 366 null] +>> +endobj +277 0 obj +<< +/D [234 0 R /XYZ null 353 null] +>> +endobj +278 0 obj +<< +/D [234 0 R /XYZ null 340 null] +>> +endobj +279 0 obj +<< +/D [234 0 R /XYZ null 327 null] +>> +endobj +280 0 obj +<< +/D [234 0 R /XYZ null 314 null] +>> +endobj +281 0 obj +<< +/D [234 0 R /XYZ null 301 null] +>> +endobj +282 0 obj +<< +/D [234 0 R /XYZ null 288 null] +>> +endobj +283 0 obj +<< +/D [234 0 R /XYZ null 275 null] +>> +endobj +284 0 obj +<< +/D [234 0 R /XYZ null 262 null] +>> +endobj +285 0 obj +<< +/D [234 0 R /XYZ null 249 null] +>> +endobj +286 0 obj +<< +/D [234 0 R /XYZ null 236 null] +>> +endobj +287 0 obj +<< +/D [234 0 R /XYZ null 223 null] +>> +endobj +288 0 obj +<< +/D [234 0 R /XYZ null null null] +>> +endobj +231 0 obj +<< +/P 123 0 R +/R [222 56 657 780] +/V 120 0 R +/N 289 0 R +>> +endobj +290 0 obj +<< +/Length 722 +/Filter /FlateDecode +>> +stream +H‰ŒUÛr¢@}Ÿ¯èGØ*•¼í5d‰Ö&ÙhŒ¢!¥h¡V’*>~gED§”›çœî>݃ Rë9‹-AðH AKÅj«}<…™ÓÇ="Ãýñ¤ªÒ€/Pª­<ÂèM‚i¨ÍjS†:¸"²‚Uéx»$Ñ]RëÊ€àÎI x8€T™Ü± _wÊ_d$h›Ìüo±‚mUAèzïá~þ€,I²X‘%E¨‹oîA™ËÒÓA·ÙP؉ +÷Ãù~¹?ØîÂýtç¯ð‚ézæ ð·0ÙÂ|½\®E¹.|msÅZ·'Œj,­&ÒÔ™F›I Š"' “%%Kt?i=©Ú–Y•Pj·šºªÈÅW û»`¿z÷›ö¡ÞDζ+»Ÿ7œ7õ'˱˜ 0âÈ‘í@Ù.;ñg<±!5”ú'h†1†TëþºJÄ#Ñêb9~´¾Á½Œû6,óêåf0îÇଣ…´ÈÑ8÷‰%ïXÖXx*°ú +—åçØ'îÅ$O d -­8ÓÃÕ±@^¢ð04k,^´ä4—Ì=ið8´â^^­-ËEÊ5̧„{ŠvZ©üøàÑhÝ{³ ·s"Ÿ6ŠvÏÑ—´‘k[ƒÛ´‘kÇèB‡­»õ̃ãŠbÃhwþ9wše]´ë•†ÔíŽö'Óä;ºHu(5)TË\­¼™?ÙQuzK7°yÖÖ˜2 +ݺ9 +fæ1¥o˜4–¡vŒ¥Ò/çèRikhæÝÓÃI0ý¸ÐŽXN;6&+§÷:¯ñvÉL͉ƒËÍs®ø§wþfÀ¥5êýNŒéÝ^Mç5ƒÆRtÏM£%Ô¢dFÁ„ o—Œlò&Éþè­ÖáYä=góZî…¥g†Ê{~N® És[žÍ³•_™Uë¹à¿ù«ªtÃéŒÕqÉšÃUj +endstream +endobj +291 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 35 0 R +/F6 67 0 R +>> +/ExtGState << +/GS1 37 0 R +>> +>> +endobj +293 0 obj +<< +/D [292 0 R /XYZ null 906 null] +>> +endobj +294 0 obj +<< +/D [292 0 R /XYZ null 893 null] +>> +endobj +295 0 obj +<< +/D [292 0 R /XYZ null 880 null] +>> +endobj +296 0 obj +<< +/D [292 0 R /XYZ null 867 null] +>> +endobj +297 0 obj +<< +/D [292 0 R /XYZ null 854 null] +>> +endobj +298 0 obj +<< +/D [292 0 R /XYZ null 841 null] +>> +endobj +299 0 obj +<< +/D [292 0 R /XYZ null 828 null] +>> +endobj +300 0 obj +<< +/D [292 0 R /XYZ null 815 null] +>> +endobj +301 0 obj +<< +/D [292 0 R /XYZ null 802 null] +>> +endobj +302 0 obj +<< +/D [292 0 R /XYZ null 789 null] +>> +endobj +303 0 obj +<< +/D [292 0 R /XYZ null 776 null] +>> +endobj +304 0 obj +<< +/D [292 0 R /XYZ null 763 null] +>> +endobj +305 0 obj +<< +/D [292 0 R /XYZ null 750 null] +>> +endobj +306 0 obj +<< +/D [292 0 R /XYZ null 737 null] +>> +endobj +307 0 obj +<< +/D [292 0 R /XYZ null 724 null] +>> +endobj +308 0 obj +<< +/D [292 0 R /XYZ null 700 null] +>> +endobj +309 0 obj +<< +/D [292 0 R /XYZ null 674 null] +>> +endobj +310 0 obj +<< +/D [292 0 R /XYZ null null null] +>> +endobj +311 0 obj +<< +/D [292 0 R /XYZ null null null] +>> +endobj +289 0 obj +<< +/P 234 0 R +/R [222 56 657 780] +/V 231 0 R +/N 312 0 R +>> +endobj +317 0 obj +<< +/Length 552 +/Filter /FlateDecode +>> +stream +H‰„“ÝoÚ0ÀßýWÜ£3Ô—‡<ŽttTE­O}€>dÄ@$ÈIÅ@üñ³“À:ñQK‰í»ß}Ù>„9¹{ˆæ%AÈ€0`ÐóÐîÇII2ÓââÀ£V.Ù.‡-¸vÃÆo RÂ=ßöèÖÂ5q\´Ùq»"1é r7pAÌHê ÐBžc ±Ö1æúSóÛ’1ý¶ÙÈ<ÍþX <)º0¿Ô{¢và0æX‘¹”[oâQ»ç{ôjÿzjøm˜2†‡(†Ã!úÃõZ¦YRI½Xb©3è0;pL^÷Þ¶z5VâK˲ÛìÓ?ƒ£6}]ë|Âb£Š©,ËB'k*Üï™Ïèìß +Âý1ƒ#×iqN×^¤ÃK¾¯Óç¾ñ¨=?‹~¸\¡ñ"-þ§kHçTI•9´CËÃç—S&XÓ·nåé5ü@ã'tÜÒ§@§y¡œú„™I¿Ð1 +_â &$y +‘««k·Jê^´ÓyVVRÉ*•¤r¨ß%30– ,ŸQ9]äŪ˜g²ü +Ã|jC–Cµu£Ôo 9÷ÍsÓŸyVÉT÷’иÒ9—fÝ£:z³(´¡j–Óâ=¯”öj[/à4\$ju4è+¹lVY+É‹ªñ›Ì,§K'®ËWæTZÇYÞjs »tg6>ÝZZ°k€mV-Ä”V'¯O|L…ŃókýwAþ +0 +ß>™ +endstream +endobj +318 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 35 0 R +/F6 67 0 R +>> +/ExtGState << +/GS1 37 0 R +>> +>> +endobj +319 0 obj +<< +/Type /Halftone +/HalftoneType 1 +/HalftoneName (Default) +/Frequency 60 +/Angle 45 +/SpotFunction /Round +>> +endobj +37 0 obj +<< +/Type /ExtGState +/SA false +/OP false +/HT /Default +>> +endobj +35 0 obj +<< +/Type /Font +/Subtype /Type1 +/Name /F2 +/Encoding 320 0 R +/BaseFont /Times-Roman +>> +endobj +36 0 obj +<< +/Type /Font +/Subtype /Type1 +/Name /F4 +/Encoding 320 0 R +/BaseFont /Times-Bold +>> +endobj +67 0 obj +<< +/Type /Font +/Subtype /Type1 +/Name /F6 +/Encoding 321 0 R +/BaseFont /Courier +>> +endobj +320 0 obj +<< +/Type /Encoding +/Differences [ 39/quotesingle 96/grave 128/Adieresis/Aring/Ccedilla/Eacute/Ntilde/Odieresis +/Udieresis/aacute/agrave/acircumflex/adieresis/atilde/aring/ccedilla +/eacute/egrave/ecircumflex/edieresis/iacute/igrave/icircumflex/idieresis +/ntilde/oacute/ograve/ocircumflex/odieresis/otilde/uacute/ugrave +/ucircumflex/udieresis/dagger/.notdef 164/section/bullet/paragraph/germandbls +/registered/copyright/trademark/acute/dieresis/.notdef/AE/Oslash + 177/.notdef/.notdef/.notdef/yen 182/.notdef/.notdef/.notdef/.notdef +/.notdef/ordfeminine/ordmasculine/.notdef/ae/oslash/questiondown/exclamdown +/logicalnot/.notdef/florin/.notdef/.notdef/guillemotleft/guillemotright/ellipsis +/.notdef/Agrave/Atilde/Otilde/OE/oe/endash/emdash +/quotedblleft/quotedblright/quoteleft/quoteright 216/ydieresis/Ydieresis/fraction/currency +/guilsinglleft/guilsinglright/fi/fl/daggerdbl/periodcentered/quotesinglbase/quotedblbase +/perthousand/Acircumflex/Ecircumflex/Aacute/Edieresis/Egrave/Iacute/Icircumflex +/Idieresis/Igrave/Oacute/Ocircumflex 241/Ograve/Uacute/Ucircumflex/Ugrave + 246/circumflex/tilde/macron/breve/dotaccent/ring/cedilla/hungarumlaut +/ogonek/caron +] +>> +endobj +321 0 obj +<< +/Type /Encoding +/Differences [ 39/quotesingle 96/grave 128/Adieresis/Aring/Ccedilla/Eacute/Ntilde/Odieresis +/Udieresis/aacute/agrave/acircumflex/adieresis/atilde/aring/ccedilla +/eacute/egrave/ecircumflex/edieresis/iacute/igrave/icircumflex/idieresis +/ntilde/oacute/ograve/ocircumflex/odieresis/otilde/uacute/ugrave +/ucircumflex/udieresis/dagger/.notdef 164/section/bullet/paragraph/germandbls +/registered/copyright/trademark/acute/dieresis/.notdef/AE/Oslash + 177/.notdef/.notdef/.notdef/yen 182/.notdef/.notdef/.notdef/.notdef +/.notdef/ordfeminine/ordmasculine/.notdef/ae/oslash/questiondown/exclamdown +/logicalnot/.notdef/florin/.notdef/.notdef/guillemotleft/guillemotright/ellipsis +/.notdef/Agrave/Atilde/Otilde/OE/oe/endash/emdash +/quotedblleft/quotedblright/quoteleft/quoteright 216/ydieresis/Ydieresis/fraction/currency +/guilsinglleft/guilsinglright/fi/fl/daggerdbl/periodcentered/quotesinglbase/quotedblbase +/perthousand/Acircumflex/Ecircumflex/Aacute/Edieresis/Egrave/Iacute/Icircumflex +/Idieresis/Igrave/Oacute/Ocircumflex 241/Ograve/Uacute/Ucircumflex/Ugrave + 246/circumflex/tilde/macron/breve/dotaccent/ring/cedilla/hungarumlaut +/ogonek/caron +] +>> +endobj +1 0 obj +<< +/Type /Page +/Parent 38 0 R +/Resources 34 0 R +/Contents 33 0 R +/CropBox [123 0 719 842] +/B [32 0 R] +>> +endobj +39 0 obj +<< +/Type /Page +/Parent 38 0 R +/Resources 66 0 R +/Contents 65 0 R +/CropBox [123 0 719 842] +/B [64 0 R] +>> +endobj +68 0 obj +<< +/Type /Page +/Parent 38 0 R +/Resources 122 0 R +/Contents 121 0 R +/CropBox [123 0 719 842] +/B [120 0 R] +>> +endobj +123 0 obj +<< +/Type /Page +/Parent 38 0 R +/Resources 233 0 R +/Contents 232 0 R +/CropBox [123 0 719 842] +/B [231 0 R] +>> +endobj +234 0 obj +<< +/Type /Page +/Parent 38 0 R +/Resources 291 0 R +/Contents 290 0 R +/CropBox [123 0 719 842] +/B [289 0 R] +>> +endobj +292 0 obj +<< +/Type /Page +/Parent 38 0 R +/Resources 318 0 R +/Contents 317 0 R +/CropBox [123 0 719 842] +/B [312 0 R] +>> +endobj +38 0 obj +<< +/Type /Pages +/Kids [1 0 R 39 0 R 68 0 R 123 0 R 234 0 R 292 0 R] +/Count 6 +/MediaBox [0 0 842 842] +>> +endobj +322 0 obj +<< +/Count 4 +/First 313 0 R +/Last 313 0 R +>> +endobj +313 0 obj +<< +/Title (A Instruction Set) +/Dest [1 0 R /XYZ null 861 null] +/Parent 322 0 R +/First 314 0 R +/Last 316 0 R +/Count 3 +>> +endobj +314 0 obj +<< +/Title (A.1 R-type) +/Dest [1 0 R /XYZ null 785 null] +/Parent 313 0 R +/Next 315 0 R +>> +endobj +315 0 obj +<< +/Title (A.2 I-type) +/Dest [39 0 R /XYZ null 651 null] +/Parent 313 0 R +/Prev 314 0 R +/Next 316 0 R +>> +endobj +316 0 obj +<< +/Title (A.3 J-type) +/Dest [123 0 R /XYZ null 416 null] +/Parent 313 0 R +/Prev 315 0 R +>> +endobj +312 0 obj +<< +/P 292 0 R +/R [222 56 657 780] +/V 289 0 R +/N 32 0 R +>> +endobj +32 0 obj +<< +/T 31 0 R +/P 1 0 R +/R [222 56 657 780] +/V 312 0 R +/N 64 0 R +>> +endobj +323 0 obj +[ 31 0 R ] +endobj +324 0 obj +<< +/Limits [(F) (G742117)] +/Names [(F) 30 0 R (G473549) 2 0 R (G473550) 3 0 R (G473591) 4 0 R (G742028) 5 0 R (G742030) 7 0 R (G742034) 11 0 R (G742035) 12 0 R +(G742036) 13 0 R (G742037) 14 0 R (G742038) 15 0 R (G742039) 16 0 R (G742040) 17 0 R (G742041) 18 0 R (G742042) 19 0 R (G742043) 20 0 R +(G742045) 21 0 R (G742046) 22 0 R (G742047) 23 0 R (G742048) 24 0 R (G742050) 25 0 R (G742051) 26 0 R (G742052) 27 0 R (G742053) 40 0 R +(G742055) 41 0 R (G742056) 42 0 R (G742057) 43 0 R (G742058) 44 0 R (G742060) 45 0 R (G742062) 46 0 R (G742063) 47 0 R (G742064) 48 0 R +(G742065) 49 0 R (G742068) 50 0 R (G742069) 51 0 R (G742070) 52 0 R (G742071) 53 0 R (G742072) 54 0 R (G742073) 55 0 R (G742074) 56 0 R +(G742075) 57 0 R (G742076) 58 0 R (G742077) 59 0 R (G742078) 60 0 R (G742080) 61 0 R (G742082) 62 0 R (G742083) 69 0 R (G742084) 70 0 R +(G742085) 71 0 R (G742086) 72 0 R (G742087) 73 0 R (G742090) 74 0 R (G742095) 125 0 R (G742097) 129 0 R (G742099) 131 0 R (G742106) 136 0 R +(G742108) 235 0 R (G742110) 236 0 R (G742111) 237 0 R (G742113) 239 0 R (G742114) 240 0 R (G742115) 241 0 R (G742116) 242 0 R (G742117) 243 0 R] +>> +endobj +325 0 obj +<< +/Limits [(G742118) (G742480)] +/Names [(G742118) 244 0 R (G742119) 245 0 R (G742120) 246 0 R (G742121) 247 0 R (G742122) 248 0 R (G742123) 249 0 R (G742124) 250 0 R (G742125) 251 0 R +(G742126) 252 0 R (G742127) 253 0 R (G742128) 254 0 R (G742129) 255 0 R (G742130) 256 0 R (G742131) 257 0 R (G742132) 258 0 R (G742133) 259 0 R +(G742134) 260 0 R (G742135) 261 0 R (G742137) 263 0 R (G742138) 264 0 R (G742139) 265 0 R (G742140) 266 0 R (G742141) 267 0 R (G742142) 268 0 R +(G742143) 269 0 R (G742144) 270 0 R (G742145) 271 0 R (G742146) 272 0 R (G742147) 273 0 R (G742148) 274 0 R (G742149) 275 0 R (G742150) 276 0 R +(G742151) 277 0 R (G742152) 279 0 R (G742153) 280 0 R (G742154) 281 0 R (G742155) 282 0 R (G742156) 283 0 R (G742157) 284 0 R (G742158) 285 0 R +(G742159) 286 0 R (G742160) 287 0 R (G742161) 293 0 R (G742162) 294 0 R (G742163) 295 0 R (G742164) 296 0 R (G742165) 297 0 R (G742166) 298 0 R +(G742167) 299 0 R (G742168) 300 0 R (G742169) 301 0 R (G742170) 302 0 R (G742171) 303 0 R (G742172) 304 0 R (G742173) 305 0 R (G742174) 306 0 R +(G742175) 307 0 R (G742207) 6 0 R (G742220) 10 0 R (G742261) 262 0 R (G742304) 8 0 R (G742305) 9 0 R (G742473) 130 0 R (G742480) 132 0 R] +>> +endobj +326 0 obj +<< +/Limits [(G742481) (G743041)] +/Names [(G742481) 135 0 R (G742482) 278 0 R (G742491) 238 0 R (G742493) 133 0 R (G742497) 126 0 R (G742501) 127 0 R (G742503) 128 0 R (G742508) 134 0 R +(G742527) 124 0 R (G742530) 75 0 R (G742623) 76 0 R (G742628) 77 0 R (G742636) 78 0 R (G742643) 79 0 R (G742649) 80 0 R (G742650) 81 0 R +(G742652) 82 0 R (G742656) 83 0 R (G742658) 84 0 R (G742660) 85 0 R (G742661) 86 0 R (G742717) 87 0 R (G742842) 88 0 R (G742852) 89 0 R +(G742854) 90 0 R (G742856) 91 0 R (G742858) 92 0 R (G742860) 93 0 R (G742862) 94 0 R (G742864) 95 0 R (G742866) 96 0 R (G742868) 97 0 R +(G742870) 98 0 R (G742872) 99 0 R (G742874) 100 0 R (G742876) 101 0 R (G742878) 102 0 R (G742880) 103 0 R (G742882) 104 0 R (G742884) 105 0 R +(G742886) 106 0 R (G742888) 107 0 R (G742890) 108 0 R (G742892) 109 0 R (G742894) 110 0 R (G742896) 111 0 R (G742898) 112 0 R (G742900) 113 0 R +(G742902) 114 0 R (G742904) 115 0 R (G742906) 116 0 R (G742908) 117 0 R (G742910) 118 0 R (G743013) 137 0 R (G743023) 138 0 R (G743025) 139 0 R +(G743027) 140 0 R (G743029) 141 0 R (G743031) 142 0 R (G743033) 143 0 R (G743035) 144 0 R (G743037) 145 0 R (G743039) 146 0 R (G743041) 147 0 R] +>> +endobj +327 0 obj +<< +/Limits [(G743043) (G743195)] +/Names [(G743043) 148 0 R (G743045) 149 0 R (G743047) 150 0 R (G743049) 151 0 R (G743051) 152 0 R (G743053) 153 0 R (G743055) 154 0 R (G743057) 155 0 R +(G743059) 156 0 R (G743061) 157 0 R (G743063) 158 0 R (G743065) 159 0 R (G743067) 160 0 R (G743069) 161 0 R (G743071) 162 0 R (G743073) 163 0 R +(G743075) 164 0 R (G743077) 165 0 R (G743079) 166 0 R (G743081) 167 0 R (G743088) 168 0 R (G743098) 169 0 R (G743100) 170 0 R (G743102) 171 0 R +(G743104) 172 0 R (G743106) 173 0 R (G743108) 174 0 R (G743110) 175 0 R (G743112) 176 0 R (G743114) 177 0 R (G743116) 178 0 R (G743118) 179 0 R +(G743120) 180 0 R (G743122) 181 0 R (G743124) 182 0 R (G743126) 183 0 R (G743128) 184 0 R (G743130) 185 0 R (G743132) 186 0 R (G743134) 187 0 R +(G743136) 188 0 R (G743138) 189 0 R (G743140) 190 0 R (G743142) 191 0 R (G743144) 192 0 R (G743146) 193 0 R (G743148) 194 0 R (G743150) 195 0 R +(G743152) 196 0 R (G743154) 197 0 R (G743156) 198 0 R (G743163) 199 0 R (G743173) 200 0 R (G743175) 201 0 R (G743177) 202 0 R (G743179) 203 0 R +(G743181) 204 0 R (G743183) 205 0 R (G743185) 206 0 R (G743187) 207 0 R (G743189) 208 0 R (G743191) 209 0 R (G743193) 210 0 R (G743195) 211 0 R] +>> +endobj +328 0 obj +<< +/Limits [(G743197) (P.6)] +/Names [(G743197) 212 0 R (G743199) 213 0 R (G743201) 214 0 R (G743203) 215 0 R (G743205) 216 0 R (G743207) 217 0 R (G743209) 218 0 R (G743211) 219 0 R +(G743213) 220 0 R (G743215) 221 0 R (G743217) 222 0 R (G743219) 223 0 R (G743221) 224 0 R (G743223) 225 0 R (G743225) 226 0 R (G743227) 227 0 R +(G743229) 228 0 R (G743231) 229 0 R (G744090) 308 0 R (G744091) 309 0 R (L) 311 0 R (P.1) 29 0 R (P.2) 63 0 R (P.3) 119 0 R +(P.4) 230 0 R (P.5) 288 0 R (P.6) 310 0 R] +>> +endobj +329 0 obj +<< +/Kids [324 0 R 325 0 R 326 0 R 327 0 R 328 0 R] +>> +endobj +330 0 obj +<< +/Dests 329 0 R +>> +endobj +331 0 obj +<< +/Type /Catalog +/Pages 38 0 R +/Outlines 322 0 R +/Threads 323 0 R +/Names 330 0 R +/OpenAction [1 0 R /XYZ null null null] +/PageMode /UseOutlines +>> +endobj +xref +0 332 +0000000000 65535 f +0000027498 00000 n +0000000016 00000 n +0000000067 00000 n +0000000118 00000 n +0000000169 00000 n +0000000220 00000 n +0000000271 00000 n +0000000322 00000 n +0000000373 00000 n +0000000424 00000 n +0000000476 00000 n +0000000528 00000 n +0000000580 00000 n +0000000632 00000 n +0000000684 00000 n +0000000736 00000 n +0000000788 00000 n +0000000840 00000 n +0000000892 00000 n +0000000944 00000 n +0000000996 00000 n +0000001048 00000 n +0000001100 00000 n +0000001152 00000 n +0000001204 00000 n +0000001256 00000 n +0000001308 00000 n +0000001360 00000 n +0000001529 00000 n +0000001582 00000 n +0000001635 00000 n +0000028966 00000 n +0000001687 00000 n +0000002860 00000 n +0000024844 00000 n +0000024945 00000 n +0000024772 00000 n +0000028238 00000 n +0000027618 00000 n +0000002968 00000 n +0000003021 00000 n +0000003074 00000 n +0000003127 00000 n +0000003180 00000 n +0000003233 00000 n +0000003286 00000 n +0000003339 00000 n +0000003392 00000 n +0000003445 00000 n +0000003498 00000 n +0000003551 00000 n +0000003604 00000 n +0000003657 00000 n +0000003710 00000 n +0000003763 00000 n +0000003816 00000 n +0000003869 00000 n +0000003922 00000 n +0000003975 00000 n +0000004028 00000 n +0000004081 00000 n +0000004134 00000 n +0000004187 00000 n +0000008690 00000 n +0000004241 00000 n +0000005847 00000 n +0000025045 00000 n +0000027739 00000 n +0000005966 00000 n +0000006019 00000 n +0000006072 00000 n +0000006125 00000 n +0000006178 00000 n +0000006231 00000 n +0000006284 00000 n +0000006337 00000 n +0000006390 00000 n +0000006443 00000 n +0000006496 00000 n +0000006549 00000 n +0000006602 00000 n +0000006655 00000 n +0000006708 00000 n +0000006761 00000 n +0000006814 00000 n +0000006867 00000 n +0000006920 00000 n +0000006973 00000 n +0000007026 00000 n +0000007079 00000 n +0000007132 00000 n +0000007185 00000 n +0000007238 00000 n +0000007291 00000 n +0000007344 00000 n +0000007397 00000 n +0000007450 00000 n +0000007503 00000 n +0000007556 00000 n +0000007609 00000 n +0000007663 00000 n +0000007717 00000 n +0000007771 00000 n +0000007825 00000 n +0000007879 00000 n +0000007933 00000 n +0000007987 00000 n +0000008041 00000 n +0000008095 00000 n +0000008149 00000 n +0000008203 00000 n +0000008257 00000 n +0000008311 00000 n +0000008365 00000 n +0000008419 00000 n +0000008473 00000 n +0000008527 00000 n +0000008581 00000 n +0000008635 00000 n +0000016436 00000 n +0000008763 00000 n +0000010441 00000 n +0000027863 00000 n +0000010550 00000 n +0000010605 00000 n +0000010660 00000 n +0000010715 00000 n +0000010770 00000 n +0000010825 00000 n +0000010880 00000 n +0000010935 00000 n +0000010990 00000 n +0000011045 00000 n +0000011100 00000 n +0000011155 00000 n +0000011210 00000 n +0000011265 00000 n +0000011320 00000 n +0000011375 00000 n +0000011430 00000 n +0000011485 00000 n +0000011540 00000 n +0000011595 00000 n +0000011650 00000 n +0000011705 00000 n +0000011760 00000 n +0000011815 00000 n +0000011870 00000 n +0000011925 00000 n +0000011980 00000 n +0000012035 00000 n +0000012090 00000 n +0000012145 00000 n +0000012200 00000 n +0000012255 00000 n +0000012310 00000 n +0000012365 00000 n +0000012420 00000 n +0000012475 00000 n +0000012530 00000 n +0000012585 00000 n +0000012640 00000 n +0000012695 00000 n +0000012750 00000 n +0000012805 00000 n +0000012860 00000 n +0000012915 00000 n +0000012970 00000 n +0000013025 00000 n +0000013080 00000 n +0000013135 00000 n +0000013190 00000 n +0000013245 00000 n +0000013300 00000 n +0000013355 00000 n +0000013410 00000 n +0000013465 00000 n +0000013520 00000 n +0000013575 00000 n +0000013630 00000 n +0000013685 00000 n +0000013740 00000 n +0000013795 00000 n +0000013850 00000 n +0000013905 00000 n +0000013960 00000 n +0000014015 00000 n +0000014070 00000 n +0000014125 00000 n +0000014180 00000 n +0000014235 00000 n +0000014290 00000 n +0000014345 00000 n +0000014400 00000 n +0000014455 00000 n +0000014510 00000 n +0000014565 00000 n +0000014620 00000 n +0000014675 00000 n +0000014730 00000 n +0000014785 00000 n +0000014840 00000 n +0000014895 00000 n +0000014950 00000 n +0000015005 00000 n +0000015060 00000 n +0000015115 00000 n +0000015170 00000 n +0000015225 00000 n +0000015280 00000 n +0000015335 00000 n +0000015390 00000 n +0000015445 00000 n +0000015500 00000 n +0000015555 00000 n +0000015610 00000 n +0000015665 00000 n +0000015720 00000 n +0000015775 00000 n +0000015830 00000 n +0000015885 00000 n +0000015940 00000 n +0000015995 00000 n +0000016050 00000 n +0000016105 00000 n +0000016160 00000 n +0000016215 00000 n +0000016270 00000 n +0000016325 00000 n +0000016380 00000 n +0000021809 00000 n +0000016510 00000 n +0000018718 00000 n +0000027988 00000 n +0000018838 00000 n +0000018893 00000 n +0000018948 00000 n +0000019003 00000 n +0000019058 00000 n +0000019113 00000 n +0000019168 00000 n +0000019223 00000 n +0000019278 00000 n +0000019333 00000 n +0000019388 00000 n +0000019443 00000 n +0000019498 00000 n +0000019553 00000 n +0000019608 00000 n +0000019663 00000 n +0000019718 00000 n +0000019773 00000 n +0000019828 00000 n +0000019883 00000 n +0000019938 00000 n +0000019993 00000 n +0000020048 00000 n +0000020103 00000 n +0000020158 00000 n +0000020213 00000 n +0000020268 00000 n +0000020323 00000 n +0000020378 00000 n +0000020433 00000 n +0000020488 00000 n +0000020543 00000 n +0000020598 00000 n +0000020653 00000 n +0000020708 00000 n +0000020763 00000 n +0000020818 00000 n +0000020873 00000 n +0000020928 00000 n +0000020983 00000 n +0000021038 00000 n +0000021093 00000 n +0000021148 00000 n +0000021203 00000 n +0000021258 00000 n +0000021313 00000 n +0000021368 00000 n +0000021423 00000 n +0000021478 00000 n +0000021533 00000 n +0000021588 00000 n +0000021643 00000 n +0000021698 00000 n +0000021753 00000 n +0000023837 00000 n +0000021885 00000 n +0000022681 00000 n +0000028113 00000 n +0000022790 00000 n +0000022845 00000 n +0000022900 00000 n +0000022955 00000 n +0000023010 00000 n +0000023065 00000 n +0000023120 00000 n +0000023175 00000 n +0000023230 00000 n +0000023285 00000 n +0000023340 00000 n +0000023395 00000 n +0000023450 00000 n +0000023505 00000 n +0000023560 00000 n +0000023615 00000 n +0000023670 00000 n +0000023725 00000 n +0000023781 00000 n +0000028891 00000 n +0000028419 00000 n +0000028556 00000 n +0000028662 00000 n +0000028783 00000 n +0000023913 00000 n +0000024539 00000 n +0000024648 00000 n +0000025142 00000 n +0000026320 00000 n +0000028358 00000 n +0000029048 00000 n +0000029076 00000 n +0000030221 00000 n +0000031428 00000 n +0000032617 00000 n +0000033831 00000 n +0000034343 00000 n +0000034414 00000 n +0000034452 00000 n +trailer +<< +/Size 332 +/Root 331 0 R +/Info 28 0 R +/ID [<6c1077369e53f88c882d02adb640b57e><6c1077369e53f88c882d02adb640b57e>] +>> +startxref +34617 +%%EOF Index: yellowstar/web_uploads/yellowstar_symbols.tar.gz =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: yellowstar/web_uploads/yellowstar_symbols.tar.gz =================================================================== --- yellowstar/web_uploads/yellowstar_symbols.tar.gz (nonexistent) +++ yellowstar/web_uploads/yellowstar_symbols.tar.gz (revision 6)
yellowstar/web_uploads/yellowstar_symbols.tar.gz Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: yellowstar/web_uploads/processor.v =================================================================== --- yellowstar/web_uploads/processor.v (nonexistent) +++ yellowstar/web_uploads/processor.v (revision 6) @@ -0,0 +1,5789 @@ +// Workview VERILOG Netlister - V6.2 +// Wednesday February 5, 2003 - 12:28 pm +// Design name: 1 +// Options : -b -locAll -upcAll -n -v2 -oprocessor.v -mixedcase +// Levels : xilinx + +module REG5 (CLK, EN, I, O, RES); + FDRE X1I1 (.C(CLK), .CE(EN), .D(I[4]), .Q(O[4]), .R(RES)); + FDRE X1I2 (.C(CLK), .CE(EN), .D(I[3]), .Q(O[3]), .R(RES)); + FDRE X1I3 (.C(CLK), .CE(EN), .D(I[2]), .Q(O[2]), .R(RES)); + FDRE X1I4 (.C(CLK), .CE(EN), .D(I[1]), .Q(O[1]), .R(RES)); + FDRE X1I5 (.C(CLK), .CE(EN), .D(I[0]), .Q(O[0]), .R(RES)); + +endmodule // REG5 + +module M2_1 (.D0(D[0]), .D1(D[1]), O, S0); + output O; + input S0; + input [1:0] D; + wire [15:0] O, I, Q, D; + wire [7:0] DPO, SPO; + wire M0, M1; + AND2B1 X1I7 (.I0(S0), .I1(D[0]), .O(M0)); + OR2 X1I8 (.I0(M1), .I1(M0), .O(O)); + AND2 X1I9 (.I0(D[1]), .I1(S0), .O(M1)); + +endmodule // M2_1 + +module M2_1X5 (A, B, O, SB); + M2_1 X1I60 (.D0(A[4]), .D1(B[4]), .O(O[4]), .S0(SB)); + M2_1 X1I61 (.D0(A[3]), .D1(B[3]), .O(O[3]), .S0(SB)); + M2_1 X1I62 (.D0(A[2]), .D1(B[2]), .O(O[2]), .S0(SB)); + M2_1 X1I63 (.D0(A[1]), .D1(B[1]), .O(O[1]), .S0(SB)); + M2_1 X1I64 (.D0(A[0]), .D1(B[0]), .O(O[0]), .S0(SB)); + +endmodule // M2_1X5 + +module XOR32_32_32 (A, B, O); + XNOR2 X1I107 (.I0(B[16]), .I1(A[16]), .O(O[16])); + XNOR2 X1I108 (.I0(B[17]), .I1(A[17]), .O(O[17])); + XNOR2 X1I109 (.I0(B[19]), .I1(A[19]), .O(O[19])); + XNOR2 X1I110 (.I0(B[18]), .I1(A[18]), .O(O[18])); + XNOR2 X1I111 (.I0(B[22]), .I1(A[22]), .O(O[22])); + XNOR2 X1I112 (.I0(B[23]), .I1(A[23]), .O(O[23])); + XNOR2 X1I113 (.I0(B[21]), .I1(A[21]), .O(O[21])); + XNOR2 X1I114 (.I0(B[20]), .I1(A[20]), .O(O[20])); + XNOR2 X1I123 (.I0(B[8]), .I1(A[8]), .O(O[8])); + XNOR2 X1I124 (.I0(B[9]), .I1(A[9]), .O(O[9])); + XNOR2 X1I125 (.I0(B[11]), .I1(A[11]), .O(O[11])); + XNOR2 X1I126 (.I0(B[10]), .I1(A[10]), .O(O[10])); + XNOR2 X1I127 (.I0(B[14]), .I1(A[14]), .O(O[14])); + XNOR2 X1I128 (.I0(B[15]), .I1(A[15]), .O(O[15])); + XNOR2 X1I129 (.I0(B[13]), .I1(A[13]), .O(O[13])); + XNOR2 X1I130 (.I0(B[12]), .I1(A[12]), .O(O[12])); + XNOR2 X1I139 (.I0(B[0]), .I1(A[0]), .O(O[0])); + XNOR2 X1I140 (.I0(B[1]), .I1(A[1]), .O(O[1])); + XNOR2 X1I141 (.I0(B[3]), .I1(A[3]), .O(O[3])); + XNOR2 X1I142 (.I0(B[2]), .I1(A[2]), .O(O[2])); + XNOR2 X1I143 (.I0(B[6]), .I1(A[6]), .O(O[6])); + XNOR2 X1I144 (.I0(B[7]), .I1(A[7]), .O(O[7])); + XNOR2 X1I145 (.I0(B[5]), .I1(A[5]), .O(O[5])); + XNOR2 X1I146 (.I0(B[4]), .I1(A[4]), .O(O[4])); + XNOR2 X1I91 (.I0(B[24]), .I1(A[24]), .O(O[24])); + XNOR2 X1I92 (.I0(B[25]), .I1(A[25]), .O(O[25])); + XNOR2 X1I93 (.I0(B[27]), .I1(A[27]), .O(O[27])); + XNOR2 X1I94 (.I0(B[26]), .I1(A[26]), .O(O[26])); + XNOR2 X1I95 (.I0(B[30]), .I1(A[30]), .O(O[30])); + XNOR2 X1I96 (.I0(B[31]), .I1(A[31]), .O(O[31])); + XNOR2 X1I97 (.I0(B[29]), .I1(A[29]), .O(O[29])); + XNOR2 X1I98 (.I0(B[28]), .I1(A[28]), .O(O[28])); + +endmodule // XOR32_32_32 + +module AND16 (I0, I1, I10, I11, I12, I13, I14, I15, I2, I3, I4, I5, I6, I7, + I8, I9, O); + output O; + input I9, I8, I7, I6, I5, I4, I3, I2, I15, I14, I13, I12, I11, I10, I1, I0 + ; + wire S0, S1, S2, S3; + AND4 X1I110 (.I0(I0), .I1(I1), .I2(I2), .I3(I3), .O(S0)); + AND4 X1I127 (.I0(I4), .I1(I5), .I2(I6), .I3(I7), .O(S1)); + AND4 X1I151 (.I0(I8), .I1(I9), .I2(I10), .I3(I11), .O(S2)); + AND4 X1I161 (.I0(I12), .I1(I13), .I2(I14), .I3(I15), .O(S3)); + AND4 X1I178 (.I0(S0), .I1(S1), .I2(S2), .I3(S3), .O(O)); + +endmodule // AND16 + +module AND32 (I, O); + wire X1N4, X1N5; + AND16 X1I1 (.I0(I[16]), .I1(I[17]), .I10(I[26]), .I11(I[27]), .I12(I[28]) + , .I13(I[29]), .I14(I[30]), .I15(I[31]), .I2(I[18]), .I3(I[19]), .I4 + (I[20]), .I5(I[21]), .I6(I[22]), .I7(I[23]), .I8(I[24]), .I9(I[25]), .O + (X1N4)); + AND16 X1I2 (.I0(I[15]), .I1(I[14]), .I10(I[5]), .I11(I[4]), .I12(I[3]), + .I13(I[2]), .I14(I[1]), .I15(I[0]), .I2(I[13]), .I3(I[12]), .I4(I[11]), + .I5(I[10]), .I6(I[9]), .I7(I[8]), .I8(I[7]), .I9(I[6]), .O(X1N5)); + AND2 X1I3 (.I0(X1N5), .I1(X1N4), .O(O)); + +endmodule // AND32 + +module MUX2_1X32 (A, B, SB, S); + output [31:0] S; + input [31:0] B; + input [31:0] A; + M2_1 X1I100 (.D0(A[17]), .D1(B[17]), .O(S[17]), .S0(SB)); + M2_1 X1I101 (.D0(A[21]), .D1(B[21]), .O(S[21]), .S0(SB)); + M2_1 X1I102 (.D0(A[20]), .D1(B[20]), .O(S[20]), .S0(SB)); + M2_1 X1I103 (.D0(A[22]), .D1(B[22]), .O(S[22]), .S0(SB)); + M2_1 X1I104 (.D0(A[23]), .D1(B[23]), .O(S[23]), .S0(SB)); + M2_1 X1I105 (.D0(A[15]), .D1(B[15]), .O(S[15]), .S0(SB)); + M2_1 X1I106 (.D0(A[14]), .D1(B[14]), .O(S[14]), .S0(SB)); + M2_1 X1I107 (.D0(A[12]), .D1(B[12]), .O(S[12]), .S0(SB)); + M2_1 X1I108 (.D0(A[13]), .D1(B[13]), .O(S[13]), .S0(SB)); + M2_1 X1I109 (.D0(A[9]), .D1(B[9]), .O(S[9]), .S0(SB)); + M2_1 X1I110 (.D0(A[8]), .D1(B[8]), .O(S[8]), .S0(SB)); + M2_1 X1I111 (.D0(A[10]), .D1(B[10]), .O(S[10]), .S0(SB)); + M2_1 X1I112 (.D0(A[11]), .D1(B[11]), .O(S[11]), .S0(SB)); + M2_1 X1I117 (.D0(A[7]), .D1(B[7]), .O(S[7]), .S0(SB)); + M2_1 X1I118 (.D0(A[6]), .D1(B[6]), .O(S[6]), .S0(SB)); + M2_1 X1I119 (.D0(A[4]), .D1(B[4]), .O(S[4]), .S0(SB)); + M2_1 X1I12 (.D0(A[31]), .D1(B[31]), .O(S[31]), .S0(SB)); + M2_1 X1I120 (.D0(A[5]), .D1(B[5]), .O(S[5]), .S0(SB)); + M2_1 X1I121 (.D0(A[1]), .D1(B[1]), .O(S[1]), .S0(SB)); + M2_1 X1I122 (.D0(A[0]), .D1(B[0]), .O(S[0]), .S0(SB)); + M2_1 X1I123 (.D0(A[2]), .D1(B[2]), .O(S[2]), .S0(SB)); + M2_1 X1I124 (.D0(A[3]), .D1(B[3]), .O(S[3]), .S0(SB)); + M2_1 X1I13 (.D0(A[30]), .D1(B[30]), .O(S[30]), .S0(SB)); + M2_1 X1I14 (.D0(A[28]), .D1(B[28]), .O(S[28]), .S0(SB)); + M2_1 X1I15 (.D0(A[29]), .D1(B[29]), .O(S[29]), .S0(SB)); + M2_1 X1I16 (.D0(A[25]), .D1(B[25]), .O(S[25]), .S0(SB)); + M2_1 X1I17 (.D0(A[24]), .D1(B[24]), .O(S[24]), .S0(SB)); + M2_1 X1I18 (.D0(A[26]), .D1(B[26]), .O(S[26]), .S0(SB)); + M2_1 X1I19 (.D0(A[27]), .D1(B[27]), .O(S[27]), .S0(SB)); + M2_1 X1I97 (.D0(A[19]), .D1(B[19]), .O(S[19]), .S0(SB)); + M2_1 X1I98 (.D0(A[18]), .D1(B[18]), .O(S[18]), .S0(SB)); + M2_1 X1I99 (.D0(A[16]), .D1(B[16]), .O(S[16]), .S0(SB)); + +endmodule // MUX2_1X32 + +module MU_TITLE; + +endmodule // MU_TITLE + +module CLOCK (CLOCK); + wire X1N5; + IPAD X1I3 (.IPAD(X1N5)); + BUFG X1I4 (.I(X1N5), .O(CLOCK)); + MU_TITLE X1I9 (); + +endmodule // CLOCK + +module CLOCK (CLK1, CLK2, CLK_50MHZ); + wire X1N5; + STARTUP_VIRTEX X1I11 (.CLK(CLK1)); + BUFG X1I53 (.I(X1N5), .O(CLK_50MHZ)); + CLOCK X1I71 (.CLOCK(X1N5)); + BUF X1I72 (.I(X1N5), .O(CLK1)); + MU_TITLE X1I9 (); + +// WARNING - Component X1I11 has unconnected pins: 2 input, 0 output, 0 inout. +endmodule // CLOCK + +module STARTUPRAM (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), D + , O, WCLK, WE); + output [31:0] O; + input WE, WCLK; + input [4:0] A; + input [31:0] D; + wire [4:0] A; + RAM32X1S X1I100 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[25]), .O(O[25]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I101 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[27]), .O(O[27]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I102 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[31]), .O(O[31]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I103 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[30]), .O(O[30]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I104 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[29]), .O(O[29]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I105 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[28]), .O(O[28]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I106 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[26]), .O(O[26]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I17 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[0]), .O(O[0]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I18 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[1]), .O(O[1]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I19 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[3]), .O(O[3]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I20 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[7]), .O(O[7]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I21 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[6]), .O(O[6]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I22 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[5]), .O(O[5]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I23 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[4]), .O(O[4]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I27 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[2]), .O(O[2]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I37 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[10]), .O(O[10]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I38 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[12]), .O(O[12]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I39 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[13]), .O(O[13]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I40 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[14]), .O(O[14]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I41 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[15]), .O(O[15]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I42 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[11]), .O(O[11]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I43 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[9]), .O(O[9]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I44 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[8]), .O(O[8]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I91 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[18]), .O(O[18]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I92 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[20]), .O(O[20]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I93 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[21]), .O(O[21]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I94 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[22]), .O(O[22]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I95 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[23]), .O(O[23]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I96 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[19]), .O(O[19]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I97 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[17]), .O(O[17]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I98 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[16]), .O(O[16]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I99 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D + (D[24]), .O(O[24]), .WCLK(WCLK), .WE(WE)); + +endmodule // STARTUPRAM + +module FPGA_FLASHDISP (AIN, A, BAR0, BAR1, BAR2, BAR3, BAR4, BAR5, BAR6, + BAR7, BAR8, DIN, .DIP1(DIP[1]), .DIP2(DIP[2]), .DIP3(DIP[3]), .DIP4 + (DIP[4]), .DIP5(DIP[5]), .DIP6(DIP[6]), .DIP7(DIP[7]), .DIP8(DIP[8]), + DISPNFLASH, DOE, DOUT, FLASHRDY, + \LEDLA,LEDLB,LEDLC,LEDLD,LEDLE,LEDLF,LEDLG , + .LEDLA,LEDLB,LEDLC,LEDLD,LEDLE,LEDLF,LEDLG + (\LEDLA,LEDLB,LEDLC,LEDLD,LEDLE,LEDLF,LEDLG ), + \LEDRA,LEDRB,LEDRC,LEDRD,LEDRE,LEDRF,LEDRG , + .LEDRA,LEDRB,LEDRC,LEDRD,LEDRE,LEDRF,LEDRG + (\LEDRA,LEDRB,LEDRC,LEDRD,LEDRE,LEDRF,LEDRG ), NFLASHCE, NFLASHCEIN, + NFLASHOE, NFLASHOEIN, NFLASHWE, NFLASHWEIN, NFPGAOE); + wire [8:1] DIP; + wire X1N420, X1N114, X1N403, X1N106, X1N404, X1N107, X1N270, X1N126, + X1N108, X1N271, X1N244, X1N127, X1N118, X1N272, X1N119, X1N408, X1N273, + X1N427, X1N409, X1N274, X1N247, X1N275, X1N266, X1N276, X1N277, X1N268, + X1N278, X1N269, X1N279, LEDLA, LEDLB, LEDLC, LEDLD, LEDLE, LEDLF, LEDRA + , LEDLG, LEDRB, LEDRC, LEDRD, X1N21, LEDRE, LEDRF, X1N50, LEDRG, X1N61, + X1N43, X1N16, X1N62, X1N44, X1N35, X1N36, X1N18, X1N55, X1N28, X1N56, + X1N29, X1N76, X1N67, X1N49, X1N86, X1N77, X1N68, X1N96, X1N87, X1N78, + X1N69, X1N97, X1N88, X1N79, X1N98, X1N89, X1N99; + M2_1 X1I100 (.D0(DOUT[1]), .D1(LEDLC), .O(X1N99), .S0(DISPNFLASH)); + OBUFT X1I101 (.I(X1N99), .O(X1N98), .T(X1N247)); + IBUF X1I102 (.I(X1N98), .O(DIN[1])); + IBUF X1I103 (.I(X1N107), .O(DIN[0])); + OBUFT X1I104 (.I(X1N106), .O(X1N107), .T(X1N247)); + M2_1 X1I105 (.D0(DOUT[0]), .D1(LEDLD), .O(X1N106), .S0(DISPNFLASH)); + M2_1 X1I113 (.D0(A[4]), .D1(LEDRF), .O(X1N114), .S0(DISPNFLASH)); + M2_1 X1I117 (.D0(A[2]), .D1(LEDRG), .O(X1N118), .S0(DISPNFLASH)); + M2_1 X1I120 (.D0(A[3]), .D1(LEDRB), .O(X1N119), .S0(DISPNFLASH)); + M2_1 X1I125 (.D0(A[1]), .D1(LEDRE), .O(X1N126), .S0(DISPNFLASH)); + M2_1 X1I128 (.D0(A[0]), .D1(LEDRC), .O(X1N127), .S0(DISPNFLASH)); + M2_1 X1I143 (.D0(A[5]), .D1(LEDRA), .O(X1N108), .S0(DISPNFLASH)); + IOPAD X1I167 (.IOPAD(X1N279)); + IOPAD X1I168 (.IOPAD(X1N278)); + IOPAD X1I169 (.IOPAD(X1N276)); + IBUF X1I17 (.I(X1N16), .O(FLASHRDY)); + IOPAD X1I170 (.IOPAD(X1N277)); + IOPAD X1I171 (.IOPAD(X1N275)); + IOPAD X1I172 (.IOPAD(X1N274)); + IOPAD X1I173 (.IOPAD(X1N107)); + IOPAD X1I174 (.IOPAD(X1N98)); + IOPAD X1I175 (.IOPAD(X1N88)); + IOPAD X1I176 (.IOPAD(X1N97)); + IOPAD X1I177 (.IOPAD(X1N68)); + IOPAD X1I178 (.IOPAD(X1N77)); + IOPAD X1I179 (.IOPAD(X1N87)); + IOPAD X1I180 (.IOPAD(X1N78)); + IOPAD X1I195 (.IOPAD(X1N50)); + IOPAD X1I196 (.IOPAD(X1N55)); + IOPAD X1I197 (.IOPAD(X1N49)); + IOPAD X1I198 (.IOPAD(X1N44)); + IOPAD X1I199 (.IOPAD(X1N61)); + IOPAD X1I200 (.IOPAD(X1N56)); + IOPAD X1I201 (.IOPAD(X1N62)); + IOPAD X1I202 (.IOPAD(X1N67)); + M2_1 X1I203 (.D0(A[11]), .D1(BAR5), .O(X1N35), .S0(DISPNFLASH)); + IOPAD X1I216 (.IOPAD(X1N273)); + IOPAD X1I217 (.IOPAD(X1N272)); + IOPAD X1I218 (.IOPAD(X1N271)); + IOPAD X1I219 (.IOPAD(X1N270)); + M2_1 X1I22 (.D0(A[7]), .D1(BAR1), .O(X1N21), .S0(DISPNFLASH)); + IOPAD X1I220 (.IOPAD(X1N269)); + IOPAD X1I221 (.IOPAD(X1N268)); + IOPAD X1I222 (.IOPAD(X1N266)); + IPAD X1I223 (.IPAD(X1N16)); + M2_1 X1I226 (.D0(A[12]), .D1(BAR6), .O(X1N18), .S0(DISPNFLASH)); + AND2B1 X1I243 (.I0(DISPNFLASH), .I1(DOE), .O(X1N427)); + OBUFT X1I248 (.I(X1N18), .O(X1N266), .T(NFPGAOE)); + OBUFT X1I249 (.I(X1N35), .O(X1N268), .T(NFPGAOE)); + OBUFT X1I250 (.I(X1N29), .O(X1N269), .T(NFPGAOE)); + OBUFT X1I251 (.I(X1N36), .O(X1N270), .T(NFPGAOE)); + OBUFT X1I252 (.I(X1N43), .O(X1N271), .T(NFPGAOE)); + OBUFT X1I253 (.I(X1N21), .O(X1N272), .T(NFPGAOE)); + OBUFT X1I254 (.I(X1N28), .O(X1N273), .T(NFPGAOE)); + M2_1 X1I27 (.D0(A[6]), .D1(BAR0), .O(X1N28), .S0(DISPNFLASH)); + IBUF X1I297 (.I(X1N266), .O(AIN[12])); + M2_1 X1I30 (.D0(A[10]), .D1(BAR4), .O(X1N29), .S0(DISPNFLASH)); + IBUF X1I303 (.I(X1N268), .O(AIN[11])); + IBUF X1I306 (.I(X1N269), .O(AIN[10])); + IBUF X1I310 (.I(X1N270), .O(AIN[9])); + IBUF X1I313 (.I(X1N271), .O(AIN[8])); + IBUF X1I316 (.I(X1N272), .O(AIN[7])); + IBUF X1I319 (.I(X1N273), .O(AIN[6])); + OR2 X1I331 (.I0(NFPGAOE), .I1(DISPNFLASH), .O(X1N244)); + OBUFT X1I343 (.I(X1N108), .O(X1N274), .T(NFPGAOE)); + OBUFT X1I344 (.I(X1N114), .O(X1N275), .T(NFPGAOE)); + OBUFT X1I345 (.I(X1N119), .O(X1N277), .T(NFPGAOE)); + OBUFT X1I346 (.I(X1N118), .O(X1N276), .T(NFPGAOE)); + OBUFT X1I347 (.I(X1N126), .O(X1N279), .T(NFPGAOE)); + OBUFT X1I348 (.I(X1N127), .O(X1N278), .T(NFPGAOE)); + IBUF X1I354 (.I(X1N275), .O(AIN[4])); + IBUF X1I357 (.I(X1N274), .O(AIN[5])); + IBUF X1I360 (.I(X1N277), .O(AIN[3])); + IBUF X1I363 (.I(X1N276), .O(AIN[2])); + IBUF X1I366 (.I(X1N279), .O(AIN[1])); + IBUF X1I369 (.I(X1N278), .O(AIN[0])); + M2_1 X1I37 (.D0(A[9]), .D1(BAR3), .O(X1N36), .S0(DISPNFLASH)); + IOPAD X1I394 (.IOPAD(X1N404)); + IBUF X1I395 (.I(X1N404), .O(NFLASHOEIN)); + OBUFT X1I396 (.I(X1N403), .O(X1N404), .T(NFPGAOE)); + M2_1 X1I397 (.D0(NFLASHOE), .D1(BAR7), .O(X1N403), .S0(DISPNFLASH)); + M2_1 X1I412 (.D0(NFLASHWE), .D1(BAR8), .O(X1N409), .S0(DISPNFLASH)); + OBUFT X1I413 (.I(X1N409), .O(X1N408), .T(NFPGAOE)); + IBUF X1I414 (.I(X1N408), .O(NFLASHWEIN)); + IOPAD X1I415 (.IOPAD(X1N408)); + IOPAD X1I417 (.IOPAD(X1N420)); + IBUF X1I418 (.I(X1N420), .O(NFLASHCEIN)); + OBUFT X1I419 (.I(NFLASHCE), .O(X1N420), .T(NFPGAOE)); + M2_1 X1I42 (.D0(A[8]), .D1(BAR2), .O(X1N43), .S0(DISPNFLASH)); + OR2 X1I426 (.I0(NFPGAOE), .I1(X1N427), .O(X1N247)); + BUF X1I431 (.I(DIP[8]), .O(AIN[20])); + BUF X1I434 (.I(DIP[7]), .O(AIN[19])); + BUF X1I437 (.I(DIP[6]), .O(AIN[18])); + BUF X1I440 (.I(DIP[5]), .O(AIN[17])); + BUF X1I443 (.I(DIP[4]), .O(AIN[16])); + BUF X1I446 (.I(DIP[3]), .O(AIN[15])); + BUF X1I449 (.I(DIP[2]), .O(AIN[14])); + OBUFT X1I45 (.I(A[16]), .O(X1N44), .T(X1N244)); + BUF X1I454 (.I(DIP[1]), .O(AIN[13])); + IBUF X1I46 (.I(X1N44), .O(DIP[4])); + IBUF X1I47 (.I(X1N49), .O(DIP[3])); + OBUFT X1I48 (.I(A[15]), .O(X1N49), .T(X1N244)); + OBUFT X1I51 (.I(A[13]), .O(X1N50), .T(X1N244)); + IBUF X1I52 (.I(X1N50), .O(DIP[1])); + IBUF X1I53 (.I(X1N55), .O(DIP[2])); + OBUFT X1I54 (.I(A[14]), .O(X1N55), .T(X1N244)); + OBUFT X1I57 (.I(A[18]), .O(X1N56), .T(X1N244)); + IBUF X1I58 (.I(X1N56), .O(DIP[6])); + IBUF X1I59 (.I(X1N61), .O(DIP[5])); + OBUFT X1I60 (.I(A[17]), .O(X1N61), .T(X1N244)); + OBUFT X1I63 (.I(A[19]), .O(X1N62), .T(X1N244)); + IBUF X1I64 (.I(X1N62), .O(DIP[7])); + IBUF X1I65 (.I(X1N67), .O(DIP[8])); + OBUFT X1I66 (.I(A[20]), .O(X1N67), .T(X1N244)); + M2_1 X1I70 (.D0(DOUT[4]), .D1(LEDLB), .O(X1N69), .S0(DISPNFLASH)); + OBUFT X1I71 (.I(X1N69), .O(X1N68), .T(X1N247)); + IBUF X1I72 (.I(X1N68), .O(DIN[4])); + IBUF X1I73 (.I(X1N77), .O(DIN[5])); + OBUFT X1I74 (.I(X1N76), .O(X1N77), .T(X1N247)); + M2_1 X1I75 (.D0(DOUT[5]), .D1(LEDLF), .O(X1N76), .S0(DISPNFLASH)); + M2_1 X1I80 (.D0(DOUT[7]), .D1(LEDRD), .O(X1N79), .S0(DISPNFLASH)); + OBUFT X1I81 (.I(X1N79), .O(X1N78), .T(X1N247)); + IBUF X1I82 (.I(X1N78), .O(DIN[7])); + IBUF X1I83 (.I(X1N87), .O(DIN[6])); + OBUFT X1I84 (.I(X1N86), .O(X1N87), .T(X1N247)); + M2_1 X1I85 (.D0(DOUT[6]), .D1(LEDLA), .O(X1N86), .S0(DISPNFLASH)); + M2_1 X1I90 (.D0(DOUT[2]), .D1(LEDLE), .O(X1N89), .S0(DISPNFLASH)); + OBUFT X1I91 (.I(X1N89), .O(X1N88), .T(X1N247)); + IBUF X1I92 (.I(X1N88), .O(DIN[2])); + IBUF X1I93 (.I(X1N97), .O(DIN[3])); + OBUFT X1I94 (.I(X1N96), .O(X1N97), .T(X1N247)); + M2_1 X1I95 (.D0(DOUT[3]), .D1(LEDLG), .O(X1N96), .S0(DISPNFLASH)); + +endmodule // FPGA_FLASHDISP + +module FD16RE (C, CE, D, Q, R); + output [15:0] Q; + input R, CE, C; + input [15:0] D; + wire [15:0] O, I, IO; + wire [7:0] DPO, SPO; + FDRE Q0 (.C(C), .CE(CE), .D(D[0]), .Q(Q[0]), .R(R)); + FDRE Q1 (.C(C), .CE(CE), .D(D[1]), .Q(Q[1]), .R(R)); + FDRE Q2 (.C(C), .CE(CE), .D(D[2]), .Q(Q[2]), .R(R)); + FDRE Q3 (.C(C), .CE(CE), .D(D[3]), .Q(Q[3]), .R(R)); + FDRE Q4 (.C(C), .CE(CE), .D(D[4]), .Q(Q[4]), .R(R)); + FDRE Q5 (.C(C), .CE(CE), .D(D[5]), .Q(Q[5]), .R(R)); + FDRE Q6 (.C(C), .CE(CE), .D(D[6]), .Q(Q[6]), .R(R)); + FDRE Q7 (.C(C), .CE(CE), .D(D[7]), .Q(Q[7]), .R(R)); + FDRE Q8 (.C(C), .CE(CE), .D(D[8]), .Q(Q[8]), .R(R)); + FDRE Q9 (.C(C), .CE(CE), .D(D[9]), .Q(Q[9]), .R(R)); + FDRE Q10 (.C(C), .CE(CE), .D(D[10]), .Q(Q[10]), .R(R)); + FDRE Q11 (.C(C), .CE(CE), .D(D[11]), .Q(Q[11]), .R(R)); + FDRE Q12 (.C(C), .CE(CE), .D(D[12]), .Q(Q[12]), .R(R)); + FDRE Q13 (.C(C), .CE(CE), .D(D[13]), .Q(Q[13]), .R(R)); + FDRE Q14 (.C(C), .CE(CE), .D(D[14]), .Q(Q[14]), .R(R)); + FDRE Q15 (.C(C), .CE(CE), .D(D[15]), .Q(Q[15]), .R(R)); + +endmodule // FD16RE + +module REG32R (CLK, EN, I, O, RESET); + FD16RE X1I55 (.C(CLK), .CE(EN), .D({I[15], I[14], I[13], I[12], I[11], + I[10], I[9], I[8], I[7], I[6], I[5], I[4], I[3], I[2], I[1], I[0]}), .Q( + {O[15], O[14], O[13], O[12], O[11], O[10], O[9], O[8], O[7], O[6], O[5] + , O[4], O[3], O[2], O[1], O[0]}), .R(RESET)); + FD16RE X1I56 (.C(CLK), .CE(EN), .D({I[31], I[30], I[29], I[28], I[27], + I[26], I[25], I[24], I[23], I[22], I[21], I[20], I[19], I[18], I[17], + I[16]}), .Q({O[31], O[30], O[29], O[28], O[27], O[26], O[25], O[24], + O[23], O[22], O[21], O[20], O[19], O[18], O[17], O[16]}), .R(RESET)); + +endmodule // REG32R + +module BUFE16 (E, I, O); + output [15:0] O; + input E; + input [15:0] I; + wire [63:0] A; + wire [15:0] Q, D, B, IO; + wire [7:0] DPO, SPO; + BUFE X1I30 (.E(E), .I(I[8]), .O(O[8])); + BUFE X1I31 (.E(E), .I(I[9]), .O(O[9])); + BUFE X1I32 (.E(E), .I(I[10]), .O(O[10])); + BUFE X1I33 (.E(E), .I(I[11]), .O(O[11])); + BUFE X1I34 (.E(E), .I(I[15]), .O(O[15])); + BUFE X1I35 (.E(E), .I(I[14]), .O(O[14])); + BUFE X1I36 (.E(E), .I(I[13]), .O(O[13])); + BUFE X1I37 (.E(E), .I(I[12]), .O(O[12])); + BUFE X1I38 (.E(E), .I(I[6]), .O(O[6])); + BUFE X1I39 (.E(E), .I(I[7]), .O(O[7])); + BUFE X1I40 (.E(E), .I(I[0]), .O(O[0])); + BUFE X1I41 (.E(E), .I(I[1]), .O(O[1])); + BUFE X1I42 (.E(E), .I(I[2]), .O(O[2])); + BUFE X1I43 (.E(E), .I(I[3]), .O(O[3])); + BUFE X1I44 (.E(E), .I(I[4]), .O(O[4])); + BUFE X1I45 (.E(E), .I(I[5]), .O(O[5])); + +endmodule // BUFE16 + +module BUFE32 (E, I, O); + output [31:0] O; + input E; + input [31:0] I; + BUFE16 X1I2 (.E(E), .I({I[15], I[14], I[13], I[12], I[11], I[10], I[9], + I[8], I[7], I[6], I[5], I[4], I[3], I[2], I[1], I[0]}), .O({O[15], O[14] + , O[13], O[12], O[11], O[10], O[9], O[8], O[7], O[6], O[5], O[4], O[3], + O[2], O[1], O[0]})); + BUFE16 X1I3 (.E(E), .I({I[31], I[30], I[29], I[28], I[27], I[26], I[25], + I[24], I[23], I[22], I[21], I[20], I[19], I[18], I[17], I[16]}), .O({ + O[31], O[30], O[29], O[28], O[27], O[26], O[25], O[24], O[23], O[22], + O[21], O[20], O[19], O[18], O[17], O[16]})); + +endmodule // BUFE32 + +module D4_16E (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .D0(D[0]), .D1 + (D[1]), .D10(D[10]), .D11(D[11]), .D12(D[12]), .D13(D[13]), .D14(D[14]) + , .D15(D[15]), .D2(D[2]), .D3(D[3]), .D4(D[4]), .D5(D[5]), .D6(D[6]), + .D7(D[7]), .D8(D[8]), .D9(D[9]), E); + output [15:0] D; + input E; + input [3:0] A; + wire [63:0] A; + wire [15:0] Q, D, O, I, IO; + wire [7:0] DPO, SPO; + AND5B3 X1I53 (.I0(A[0]), .I1(A[1]), .I2(A[2]), .I3(A[3]), .I4(E), .O(D[8]) + ); + AND5B2 X1I54 (.I0(A[1]), .I1(A[2]), .I2(E), .I3(A[3]), .I4(A[0]), .O + (D[9])); + AND5B2 X1I55 (.I0(A[0]), .I1(A[2]), .I2(E), .I3(A[3]), .I4(A[1]), .O + (D[10])); + AND5B1 X1I56 (.I0(A[2]), .I1(A[0]), .I2(A[1]), .I3(A[3]), .I4(E), .O + (D[11])); + AND5B2 X1I57 (.I0(A[0]), .I1(A[1]), .I2(E), .I3(A[3]), .I4(A[2]), .O + (D[12])); + AND5B1 X1I58 (.I0(A[1]), .I1(A[0]), .I2(A[2]), .I3(A[3]), .I4(E), .O + (D[13])); + AND5B1 X1I59 (.I0(A[0]), .I1(A[1]), .I2(A[2]), .I3(A[3]), .I4(E), .O + (D[14])); + AND5 X1I60 (.I0(A[3]), .I1(A[2]), .I2(A[1]), .I3(A[0]), .I4(E), .O(D[15]) + ); + AND5B2 X1I61 (.I0(A[3]), .I1(A[0]), .I2(E), .I3(A[2]), .I4(A[1]), .O + (D[6])); + AND5B1 X1I62 (.I0(A[3]), .I1(A[2]), .I2(A[1]), .I3(A[0]), .I4(E), .O(D[7]) + ); + AND5B2 X1I63 (.I0(A[3]), .I1(A[1]), .I2(E), .I3(A[2]), .I4(A[0]), .O + (D[5])); + AND5B3 X1I64 (.I0(A[0]), .I1(A[1]), .I2(A[3]), .I3(A[2]), .I4(E), .O(D[4]) + ); + AND5B2 X1I65 (.I0(A[2]), .I1(A[3]), .I2(E), .I3(A[0]), .I4(A[1]), .O + (D[3])); + AND5B3 X1I66 (.I0(A[0]), .I1(A[3]), .I2(A[2]), .I3(A[1]), .I4(E), .O(D[2]) + ); + AND5B3 X1I67 (.I0(A[1]), .I1(A[2]), .I2(A[3]), .I3(A[0]), .I4(E), .O + (D[1])); + AND5B4 X1I68 (.I0(A[3]), .I1(A[2]), .I2(A[1]), .I3(A[0]), .I4(E), .O(D[0]) + ); + +endmodule // D4_16E + +module BUFE8 (E, I, O); + output [7:0] O; + input E; + input [7:0] I; + wire [63:0] A; + wire [15:0] I, O, Q, D, B, IO; + wire [7:0] DPO, SPO; + BUFE X1I30 (.E(E), .I(I[0]), .O(O[0])); + BUFE X1I31 (.E(E), .I(I[1]), .O(O[1])); + BUFE X1I32 (.E(E), .I(I[2]), .O(O[2])); + BUFE X1I33 (.E(E), .I(I[3]), .O(O[3])); + BUFE X1I34 (.E(E), .I(I[7]), .O(O[7])); + BUFE X1I35 (.E(E), .I(I[6]), .O(O[6])); + BUFE X1I36 (.E(E), .I(I[5]), .O(O[5])); + BUFE X1I37 (.E(E), .I(I[4]), .O(O[4])); + +endmodule // BUFE8 + +module RAM32X32S (A0, A1, A2, A3, A4, D, O, WCLK, WE); + output [31:0] O; + input WE, WCLK, A4, A3, A2, A1, A0; + input [31:0] D; + RAM32X1S X1I100 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[25]), + .O(O[25]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I101 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[27]), + .O(O[27]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I102 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[31]), + .O(O[31]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I103 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[30]), + .O(O[30]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I104 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[29]), + .O(O[29]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I105 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[28]), + .O(O[28]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I106 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[26]), + .O(O[26]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I17 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[0]), .O + (O[0]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I18 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[1]), .O + (O[1]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I19 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[3]), .O + (O[3]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I20 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[7]), .O + (O[7]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I21 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[6]), .O + (O[6]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I22 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[5]), .O + (O[5]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I23 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[4]), .O + (O[4]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I27 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[2]), .O + (O[2]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I37 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[10]), .O + (O[10]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I38 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[12]), .O + (O[12]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I39 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[13]), .O + (O[13]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I40 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[14]), .O + (O[14]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I41 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[15]), .O + (O[15]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I42 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[11]), .O + (O[11]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I43 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[9]), .O + (O[9]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I44 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[8]), .O + (O[8]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I91 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[18]), .O + (O[18]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I92 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[20]), .O + (O[20]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I93 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[21]), .O + (O[21]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I94 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[22]), .O + (O[22]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I95 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[23]), .O + (O[23]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I96 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[19]), .O + (O[19]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I97 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[17]), .O + (O[17]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I98 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[16]), .O + (O[16]), .WCLK(WCLK), .WE(WE)); + RAM32X1S X1I99 (.A0(A0), .A1(A1), .A2(A2), .A3(A3), .A4(A4), .D(D[24]), .O + (O[24]), .WCLK(WCLK), .WE(WE)); + +endmodule // RAM32X32S + +module REGBANK1 (D, OA, OB, RA, RB, WCLK, WE, WSEL, W); + output [31:0] OB; + output [31:0] OA; + input WSEL, WE, WCLK; + input [4:0] W; + input [4:0] RB; + input [4:0] RA; + input [31:0] D; + wire [4:0] A, B, RB, W, RA; + wire X1N111, X1N36, X1N38; + RAM32X32S X1I1 (.A0(A[0]), .A1(A[1]), .A2(A[2]), .A3(A[3]), .A4(A[4]), .D( + {D[31], D[30], D[29], D[28], D[27], D[26], D[25], D[24], D[23], D[22], + D[21], D[20], D[19], D[18], D[17], D[16], D[15], D[14], D[13], D[12], + D[11], D[10], D[9], D[8], D[7], D[6], D[5], D[4], D[3], D[2], D[1], D[0] + }), .O({OA[31], OA[30], OA[29], OA[28], OA[27], OA[26], OA[25], OA[24], + OA[23], OA[22], OA[21], OA[20], OA[19], OA[18], OA[17], OA[16], OA[15], + OA[14], OA[13], OA[12], OA[11], OA[10], OA[9], OA[8], OA[7], OA[6], + OA[5], OA[4], OA[3], OA[2], OA[1], OA[0]}), .WCLK(WCLK), .WE(X1N36)); + AND2 X1I110 (.I0(X1N111), .I1(WE), .O(X1N36)); + OR5 X1I112 (.I0(W[0]), .I1(W[1]), .I2(W[2]), .I3(W[3]), .I4(W[4]), .O + (X1N111)); + INV X1I121 (.I(WSEL), .O(X1N38)); + RAM32X32S X1I2 (.A0(B[0]), .A1(B[1]), .A2(B[2]), .A3(B[3]), .A4(B[4]), .D( + {D[31], D[30], D[29], D[28], D[27], D[26], D[25], D[24], D[23], D[22], + D[21], D[20], D[19], D[18], D[17], D[16], D[15], D[14], D[13], D[12], + D[11], D[10], D[9], D[8], D[7], D[6], D[5], D[4], D[3], D[2], D[1], D[0] + }), .O({OB[31], OB[30], OB[29], OB[28], OB[27], OB[26], OB[25], OB[24], + OB[23], OB[22], OB[21], OB[20], OB[19], OB[18], OB[17], OB[16], OB[15], + OB[14], OB[13], OB[12], OB[11], OB[10], OB[9], OB[8], OB[7], OB[6], + OB[5], OB[4], OB[3], OB[2], OB[1], OB[0]}), .WCLK(WCLK), .WE(X1N36)); + M2_1X5 X1I65 (.A({RA[4], RA[3], RA[2], RA[1], RA[0]}), .B({W[4], W[3], + W[2], W[1], W[0]}), .O({A[4], A[3], A[2], A[1], A[0]}), .SB(X1N38)); + M2_1X5 X1I66 (.A({RB[4], RB[3], RB[2], RB[1], RB[0]}), .B({W[4], W[3], + W[2], W[1], W[0]}), .O({B[4], B[3], B[2], B[1], B[0]}), .SB(X1N38)); + +endmodule // REGBANK1 + +module FTRSE (C, CE, Q, R, S, T); + output Q; + input T, S, R, CE, C; + wire CE_S, D_S, TQ; + XOR2 X1I32 (.I0(T), .I1(Q), .O(TQ)); + FDRE X1I35 (.C(C), .CE(CE_S), .D(D_S), .Q(Q), .R(R)); + OR2 X1I73 (.I0(S), .I1(TQ), .O(D_S)); + OR2 X1I77 (.I0(CE), .I1(S), .O(CE_S)); + +endmodule // FTRSE + +module CB8RE (C, CE, CEO, Q, R, TC); + output TC, CEO; + output [7:0] Q; + input R, CE, C; + wire X1N5, T2, T3, T4, T5, T6, T7, X1N10; + VCC X1I13 (.P(X1N10)); + FTRSE Q6 (.C(C), .CE(CE), .Q(Q[6]), .R(R), .S(X1N5), .T(T6)); + FTRSE Q5 (.C(C), .CE(CE), .Q(Q[5]), .R(R), .S(X1N5), .T(T5)); + FTRSE Q4 (.C(C), .CE(CE), .Q(Q[4]), .R(R), .S(X1N5), .T(T4)); + FTRSE Q3 (.C(C), .CE(CE), .Q(Q[3]), .R(R), .S(X1N5), .T(T3)); + FTRSE Q2 (.C(C), .CE(CE), .Q(Q[2]), .R(R), .S(X1N5), .T(T2)); + FTRSE Q1 (.C(C), .CE(CE), .Q(Q[1]), .R(R), .S(X1N5), .T(Q[0])); + FTRSE Q0 (.C(C), .CE(CE), .Q(Q[0]), .R(R), .S(X1N5), .T(X1N10)); + AND2 X1I21 (.I0(Q[1]), .I1(Q[0]), .O(T2)); + AND3 X1I22 (.I0(Q[2]), .I1(Q[1]), .I2(Q[0]), .O(T3)); + AND4 X1I23 (.I0(Q[3]), .I1(Q[2]), .I2(Q[1]), .I3(Q[0]), .O(T4)); + AND2 X1I25 (.I0(Q[4]), .I1(T4), .O(T5)); + AND3 X1I26 (.I0(Q[5]), .I1(Q[4]), .I2(T4), .O(T6)); + AND4 X1I28 (.I0(Q[6]), .I1(Q[5]), .I2(Q[4]), .I3(T4), .O(T7)); + AND5 X1I29 (.I0(Q[7]), .I1(Q[6]), .I2(Q[5]), .I3(Q[4]), .I4(T4), .O(TC)); + AND2 X1I32 (.I0(CE), .I1(TC), .O(CEO)); + FTRSE Q7 (.C(C), .CE(CE), .Q(Q[7]), .R(R), .S(X1N5), .T(T7)); + GND X1I7 (.G(X1N5)); + +endmodule // CB8RE + +module CB2RE (C, CE, CEO, Q0, Q1, R, TC); + output TC, Q1, Q0, CEO; + input R, CE, C; + wire X1N50, X1N33; + FTRSE Q1 (.C(C), .CE(CE), .Q(Q1), .R(R), .S(X1N50), .T(Q0)); + FTRSE Q0 (.C(C), .CE(CE), .Q(Q0), .R(R), .S(X1N50), .T(X1N33)); + AND2 X1I37 (.I0(Q1), .I1(Q0), .O(TC)); + VCC X1I47 (.P(X1N33)); + GND X1I54 (.G(X1N50)); + AND2 X1I55 (.I0(CE), .I1(TC), .O(CEO)); + +endmodule // CB2RE + +module CB4RE (C, CE, CEO, Q0, Q1, Q2, Q3, R, TC); + output TC, Q3, Q2, Q1, Q0, CEO; + input R, CE, C; + wire T2, T3, X1N62, X1N55; + AND4 X1I31 (.I0(Q3), .I1(Q2), .I2(Q1), .I3(Q0), .O(TC)); + AND3 X1I32 (.I0(Q2), .I1(Q1), .I2(Q0), .O(T3)); + AND2 X1I33 (.I0(Q1), .I1(Q0), .O(T2)); + FTRSE Q0 (.C(C), .CE(CE), .Q(Q0), .R(R), .S(X1N62), .T(X1N55)); + FTRSE Q1 (.C(C), .CE(CE), .Q(Q1), .R(R), .S(X1N62), .T(Q0)); + FTRSE Q2 (.C(C), .CE(CE), .Q(Q2), .R(R), .S(X1N62), .T(T2)); + FTRSE Q3 (.C(C), .CE(CE), .Q(Q3), .R(R), .S(X1N62), .T(T3)); + VCC X1I58 (.P(X1N55)); + GND X1I64 (.G(X1N62)); + AND2 X1I69 (.I0(CE), .I1(TC), .O(CEO)); + +endmodule // CB4RE + +module M2_1E (.D0(D[0]), .D1(D[1]), E, O, S0); + output O; + input S0, E; + input [1:0] D; + wire [15:0] O, I, Q, D; + wire [7:0] DPO, SPO; + wire M0, M1; + AND3 X1I30 (.I0(D[1]), .I1(E), .I2(S0), .O(M1)); + AND3B1 X1I31 (.I0(S0), .I1(E), .I2(D[0]), .O(M0)); + OR2 X1I38 (.I0(M1), .I1(M0), .O(O)); + +endmodule // M2_1E + +module M8_1E (.D0(D[0]), .D1(D[1]), .D2(D[2]), .D3(D[3]), .D4(D[4]), .D5 + (D[5]), .D6(D[6]), .D7(D[7]), E, O, S0, S1, S2); + output O; + input S2, S1, S0, E; + input [7:0] D; + wire [15:0] O, I, Q, D; + wire [7:0] DPO, SPO; + wire M01, M03, M23, M45, M47, M67; + MUXF5_L M03 (.I0(M01), .I1(M23), .LO(M03), .S(S1)); + M2_1E M45 (.D0(D[4]), .D1(D[5]), .E(E), .O(M45), .S0(S0)); + M2_1E M67 (.D0(D[6]), .D1(D[7]), .E(E), .O(M67), .S0(S0)); + MUXF5_L M47 (.I0(M45), .I1(M67), .LO(M47), .S(S1)); + M2_1E M23 (.D0(D[2]), .D1(D[3]), .E(E), .O(M23), .S0(S0)); + M2_1E M01 (.D0(D[0]), .D1(D[1]), .E(E), .O(M01), .S0(S0)); + MUXF6 O (.I0(M03), .I1(M47), .O(O), .S(S2)); + +endmodule // M8_1E + +module SR8CE (C, CE, CLR, Q, SLI); + output [0:7] Q; + input SLI, CLR, CE, C; + FDCE Q7 (.C(C), .CE(CE), .CLR(CLR), .D(Q[6]), .Q(Q[7])); + FDCE Q3 (.C(C), .CE(CE), .CLR(CLR), .D(Q[2]), .Q(Q[3])); + FDCE Q5 (.C(C), .CE(CE), .CLR(CLR), .D(Q[4]), .Q(Q[5])); + FDCE Q4 (.C(C), .CE(CE), .CLR(CLR), .D(Q[3]), .Q(Q[4])); + FDCE Q1 (.C(C), .CE(CE), .CLR(CLR), .D(Q[0]), .Q(Q[1])); + FDCE Q0 (.C(C), .CE(CE), .CLR(CLR), .D(SLI), .Q(Q[0])); + FDCE Q2 (.C(C), .CE(CE), .CLR(CLR), .D(Q[1]), .Q(Q[2])); + FDCE Q6 (.C(C), .CE(CE), .CLR(CLR), .D(Q[5]), .Q(Q[6])); + +endmodule // SR8CE + +module FD8CE (C, CE, CLR, D, Q); + output [7:0] Q; + input CLR, CE, C; + input [7:0] D; + wire [15:0] O, I, Q, D, IO; + wire [7:0] DPO, SPO; + FDCE Q7 (.C(C), .CE(CE), .CLR(CLR), .D(D[7]), .Q(Q[7])); + FDCE Q6 (.C(C), .CE(CE), .CLR(CLR), .D(D[6]), .Q(Q[6])); + FDCE Q5 (.C(C), .CE(CE), .CLR(CLR), .D(D[5]), .Q(Q[5])); + FDCE Q4 (.C(C), .CE(CE), .CLR(CLR), .D(D[4]), .Q(Q[4])); + FDCE Q1 (.C(C), .CE(CE), .CLR(CLR), .D(D[1]), .Q(Q[1])); + FDCE Q0 (.C(C), .CE(CE), .CLR(CLR), .D(D[0]), .Q(Q[0])); + FDCE Q2 (.C(C), .CE(CE), .CLR(CLR), .D(D[2]), .Q(Q[2])); + FDCE Q3 (.C(C), .CE(CE), .CLR(CLR), .D(D[3]), .Q(Q[3])); + +endmodule // FD8CE + +module SERIAL (CLK, GOT_BYTE, IN, OUT, READY, REQ); + wire [7:0] CLKDIV, IN, OUT, SERIN; + wire X1N122, X1N123, X1N124, X1N164, EOF, X1N7, X1N174, X1N175, X1N139, + X1N9, X1N185, DATA_OUT, X1N186, STOP, RCV, RXD, SER_CLK, SHIFT_R, NRCV, + X1N13, X1N41, X1N33, X1N70, X1N35, X1N81, X1N63, X1N46, X1N48, X1N58, + X1N78, SHIFT, TRANS, START; + CB8RE X1I1 (.C(CLK), .CE(X1N13), .Q({CLKDIV[7], CLKDIV[6], CLKDIV[5], + CLKDIV[4], CLKDIV[3], CLKDIV[2], CLKDIV[1], CLKDIV[0]}), .R(X1N9)); + CB2RE X1I119 (.C(SER_CLK), .CE(TRANS), .CEO(SHIFT), .R(READY)); + VCC X1I12 (.P(X1N13)); + CB4RE X1I120 (.C(SER_CLK), .CE(SHIFT), .Q0(X1N122), .Q1(X1N123), .Q2 + (X1N124), .Q3(X1N139), .R(READY)); + M8_1E X1I121 (.D0(IN[7]), .D1(IN[0]), .D2(IN[1]), .D3(IN[2]), .D4(IN[3]), + .D5(IN[4]), .D6(IN[5]), .D7(IN[6]), .E(X1N164), .O(DATA_OUT), .S0 + (X1N122), .S1(X1N123), .S2(X1N124)); + FDE X1I130 (.C(SER_CLK), .CE(X1N185), .D(REQ), .Q(TRANS)); + AND4B4 X1I138 (.I0(X1N139), .I1(X1N124), .I2(X1N123), .I3(X1N122), .O + (START)); + AND2 X1I146 (.I0(X1N139), .I1(X1N122), .O(STOP)); + AND4 X1I16 (.I0(CLKDIV[0]), .I1(CLKDIV[2]), .I2(CLKDIV[4]), .I3(CLKDIV[5]) + , .O(X1N9)); + OR3 X1I162 (.I0(READY), .I1(DATA_OUT), .I2(STOP), .O(X1N175)); + INV X1I163 (.I(START), .O(X1N164)); + OBUF X1I172 (.I(X1N175), .O(X1N174)); + OPAD X1I173 (.OPAD(X1N174)); + INV X1I177 (.I(TRANS), .O(READY)); + AND2 X1I180 (.I0(SHIFT), .I1(STOP), .O(X1N186)); + OR2 X1I184 (.I0(X1N186), .I1(REQ), .O(X1N185)); + FDE X1I2 (.C(CLK), .CE(X1N9), .D(X1N7), .Q(SER_CLK)); + CB4RE X1I20 (.C(SER_CLK), .CE(X1N41), .Q0(X1N48), .Q3(X1N46), .R(NRCV)); + CB2RE X1I22 (.C(SER_CLK), .CE(RCV), .CEO(X1N41), .Q0(X1N33), .Q1(X1N35), + .R(NRCV)); + FDE X1I23 (.C(SER_CLK), .CE(X1N58), .D(X1N70), .Q(RCV)); + INV X1I25 (.I(RCV), .O(NRCV)); + AND3 X1I28 (.I0(X1N48), .I1(X1N46), .I2(X1N33), .O(GOT_BYTE)); + AND3 X1I29 (.I0(RCV), .I1(X1N46), .I2(X1N48), .O(EOF)); + INV X1I3 (.I(SER_CLK), .O(X1N7)); + AND2B1 X1I30 (.I0(X1N35), .I1(X1N33), .O(SHIFT_R)); + OR2B1 X1I57 (.I0(RXD), .I1(EOF), .O(X1N58)); + IPAD X1I62 (.IPAD(X1N63)); + IBUF X1I64 (.I(X1N63), .O(RXD)); + INV X1I69 (.I(EOF), .O(X1N70)); + SR8CE X1I71 (.C(SER_CLK), .CE(SHIFT_R), .CLR(X1N78), .Q({SERIN[7], + SERIN[6], SERIN[5], SERIN[4], SERIN[3], SERIN[2], SERIN[1], SERIN[0]}), + .SLI(RXD)); + FD8CE X1I72 (.C(SER_CLK), .CE(EOF), .CLR(X1N81), .D({SERIN[7], SERIN[6], + SERIN[5], SERIN[4], SERIN[3], SERIN[2], SERIN[1], SERIN[0]}), .Q({OUT[7] + , OUT[6], OUT[5], OUT[4], OUT[3], OUT[2], OUT[1], OUT[0]})); + GND X1I77 (.G(X1N78)); + GND X1I80 (.G(X1N81)); + +// WARNING - Component X1I22 has unconnected pins: 0 input, 1 output, 0 inout. +// WARNING - Component X1I119 has unconnected pins: 0 input, 3 output, 0 inout. +// WARNING - Component X1I120 has unconnected pins: 0 input, 2 output, 0 inout. +// WARNING - Component X1I1 has unconnected pins: 0 input, 2 output, 0 inout. +// WARNING - Component X1I20 has unconnected pins: 0 input, 4 output, 0 inout. +endmodule // SERIAL + +module X16_FIFO (ACK_IN, ACK_OUT, CLK, IN, OUT, REQ_IN, REQ_OUT); + wire [7:0] A, B, C, D, E, F, G, H, I; + wire X1N100, X1N101, X1N102, X1N121, X1N131, X1N122, X1N104, X1N132, + X1N123, X1N133, X1N107, X1N108, X1N30, X1N23, X1N43, X1N44, X1N35, X1N90 + , X1N54, X1N45, X1N91, X1N55, X1N37, X1N28, X1N92, X1N56, X1N76, X1N77; + FD8CE X1I1 (.C(CLK), .CE(X1N30), .CLR(X1N35), .D({H[7], H[6], H[5], H[4], + H[3], H[2], H[1], H[0]}), .Q({I[7], I[6], I[5], I[4], I[3], I[2], I[1], + I[0]})); + GND X1I109 (.G(X1N108)); + OR2B1 X1I110 (.I0(X1N107), .I1(X1N104), .O(X1N123)); + FDE X1I111 (.C(CLK), .CE(X1N123), .D(X1N122), .Q(X1N107)); + FD8CE X1I113 (.C(CLK), .CE(X1N123), .CLR(X1N108), .D({B[7], B[6], B[5], + B[4], B[3], B[2], B[1], B[0]}), .Q({C[7], C[6], C[5], C[4], C[3], C[2], + C[1], C[0]})); + FD8CE X1I116 (.C(CLK), .CE(X1N133), .CLR(X1N121), .D({A[7], A[6], A[5], + A[4], A[3], A[2], A[1], A[0]}), .Q({B[7], B[6], B[5], B[4], B[3], B[2], + B[1], B[0]})); + FDE X1I118 (.C(CLK), .CE(X1N133), .D(X1N132), .Q(X1N122)); + OR2B1 X1I119 (.I0(X1N122), .I1(X1N123), .O(X1N133)); + GND X1I120 (.G(X1N121)); + FD8CE X1I126 (.C(CLK), .CE(ACK_IN), .CLR(X1N131), .D({IN[7], IN[6], IN[5] + , IN[4], IN[3], IN[2], IN[1], IN[0]}), .Q({A[7], A[6], A[5], A[4], A[3] + , A[2], A[1], A[0]})); + FDE X1I128 (.C(CLK), .CE(ACK_IN), .D(REQ_IN), .Q(X1N132)); + OR2B1 X1I129 (.I0(X1N132), .I1(X1N133), .O(ACK_IN)); + GND X1I130 (.G(X1N131)); + FDE X1I14 (.C(CLK), .CE(X1N23), .D(X1N28), .Q(REQ_OUT)); + OR2B1 X1I18 (.I0(REQ_OUT), .I1(ACK_OUT), .O(X1N23)); + OR2B1 X1I27 (.I0(X1N28), .I1(X1N23), .O(X1N30)); + GND X1I34 (.G(X1N35)); + GND X1I38 (.G(X1N37)); + GND X1I46 (.G(X1N45)); + OR2B1 X1I47 (.I0(X1N43), .I1(X1N30), .O(X1N44)); + FDE X1I48 (.C(CLK), .CE(X1N44), .D(X1N54), .Q(X1N43)); + FD8CE X1I50 (.C(CLK), .CE(X1N44), .CLR(X1N45), .D({G[7], G[6], G[5], G[4] + , G[3], G[2], G[1], G[0]}), .Q({H[7], H[6], H[5], H[4], H[3], H[2], H[1] + , H[0]})); + GND X1I57 (.G(X1N56)); + OR2B1 X1I58 (.I0(X1N54), .I1(X1N44), .O(X1N55)); + FDE X1I59 (.C(CLK), .CE(X1N55), .D(X1N76), .Q(X1N54)); + FD8CE X1I61 (.C(CLK), .CE(X1N55), .CLR(X1N56), .D({F[7], F[6], F[5], F[4] + , F[3], F[2], F[1], F[0]}), .Q({G[7], G[6], G[5], G[4], G[3], G[2], G[1] + , G[0]})); + FD8CE X1I7 (.C(CLK), .CE(X1N23), .CLR(X1N37), .D({I[7], I[6], I[5], I[4], + I[3], I[2], I[1], I[0]}), .Q({OUT[7], OUT[6], OUT[5], OUT[4], OUT[3], + OUT[2], OUT[1], OUT[0]})); + GND X1I78 (.G(X1N77)); + OR2B1 X1I79 (.I0(X1N76), .I1(X1N55), .O(X1N92)); + FDE X1I80 (.C(CLK), .CE(X1N92), .D(X1N91), .Q(X1N76)); + FD8CE X1I82 (.C(CLK), .CE(X1N92), .CLR(X1N77), .D({E[7], E[6], E[5], E[4] + , E[3], E[2], E[1], E[0]}), .Q({F[7], F[6], F[5], F[4], F[3], F[2], F[1] + , F[0]})); + FD8CE X1I85 (.C(CLK), .CE(X1N102), .CLR(X1N90), .D({D[7], D[6], D[5], D[4] + , D[3], D[2], D[1], D[0]}), .Q({E[7], E[6], E[5], E[4], E[3], E[2], E[1] + , E[0]})); + FDE X1I87 (.C(CLK), .CE(X1N102), .D(X1N101), .Q(X1N91)); + OR2B1 X1I88 (.I0(X1N91), .I1(X1N92), .O(X1N102)); + GND X1I89 (.G(X1N90)); + FDE X1I9 (.C(CLK), .CE(X1N30), .D(X1N43), .Q(X1N28)); + FD8CE X1I95 (.C(CLK), .CE(X1N104), .CLR(X1N100), .D({C[7], C[6], C[5], + C[4], C[3], C[2], C[1], C[0]}), .Q({D[7], D[6], D[5], D[4], D[3], D[2], + D[1], D[0]})); + FDE X1I97 (.C(CLK), .CE(X1N104), .D(X1N107), .Q(X1N101)); + OR2B1 X1I98 (.I0(X1N101), .I1(X1N102), .O(X1N104)); + GND X1I99 (.G(X1N100)); + +endmodule // X16_FIFO + +module SERIAL_FIFO (ACK_IN, ACK_OUT, CLK, CLK_50MHZ, IN, OUTPUT, REQ_IN, + REQ_OUT); + wire [7:0] A, B, OUTPUT; + wire X1N1, X1N2, X1N3, X1N7, X1N9, X1N11, X1N12, X1N18; + AND2B1 X1I10 (.I0(X1N11), .I1(X1N1), .O(X1N9)); + AND2 X1I13 (.I0(X1N11), .I1(X1N12), .O(X1N2)); + SERIAL SERIAL_LINK (.CLK(CLK_50MHZ), .GOT_BYTE(X1N7), .IN({A[7], A[6], + A[5], A[4], A[3], A[2], A[1], A[0]}), .OUT({B[7], B[6], B[5], B[4], B[3] + , B[2], B[1], B[0]}), .READY(X1N1), .REQ(X1N2)); + X16_FIFO X1I15 (.ACK_IN(ACK_IN), .ACK_OUT(X1N9), .CLK(CLK), .IN({IN[7], + IN[6], IN[5], IN[4], IN[3], IN[2], IN[1], IN[0]}), .OUT({A[7], A[6], + A[5], A[4], A[3], A[2], A[1], A[0]}), .REQ_IN(REQ_IN), .REQ_OUT(X1N12)); + FD X1I16 (.C(CLK), .D(X1N7), .Q(X1N3)); + AND2B1 X1I17 (.I0(X1N3), .I1(X1N7), .O(X1N18)); + X16_FIFO X1I6 (.ACK_OUT(ACK_OUT), .CLK(CLK), .IN({B[7], B[6], B[5], B[4], + B[3], B[2], B[1], B[0]}), .OUT({OUTPUT[7], OUTPUT[6], OUTPUT[5], + OUTPUT[4], OUTPUT[3], OUTPUT[2], OUTPUT[1], OUTPUT[0]}), .REQ_IN(X1N18) + , .REQ_OUT(REQ_OUT)); + FD X1I8 (.C(CLK), .D(X1N1), .Q(X1N11)); + +endmodule // SERIAL_FIFO + +module LOGIC1 (A, B, O0, O1, S); + wire X1N20, X1N21, X1N31, X1N22, X1N61, X1N25, X1N63, X1N46, X1N37, X1N57 + , X1N39, X1N58; + OR4 X1I1 (.I0(X1N46), .I1(X1N37), .I2(X1N25), .I3(X1N22), .O(S)); + INV X1I17 (.I(O1), .O(X1N21)); + INV X1I18 (.I(O0), .O(X1N20)); + AND4 X1I19 (.I0(B), .I1(A), .I2(X1N21), .I3(X1N20), .O(X1N22)); + AND3 X1I24 (.I0(X1N31), .I1(O0), .I2(X1N21), .O(X1N25)); + OR2 X1I30 (.I0(B), .I1(A), .O(X1N31)); + AND3 X1I36 (.I0(X1N39), .I1(X1N20), .I2(O1), .O(X1N37)); + AND4 X1I54 (.I0(X1N58), .I1(X1N57), .I2(O1), .I3(O0), .O(X1N46)); + INV X1I55 (.I(A), .O(X1N57)); + INV X1I56 (.I(B), .O(X1N58)); + OR2 X1I59 (.I0(X1N63), .I1(X1N61), .O(X1N39)); + AND2 X1I60 (.I0(X1N57), .I1(B), .O(X1N61)); + AND2 X1I62 (.I0(A), .I1(X1N58), .O(X1N63)); + +endmodule // LOGIC1 + +module ADD1 (A, B, CI, CO, S, SUB); + wire X1N12, X1N13, X1N33, X1N34, X1N35; + XOR2 X1I10 (.I0(CI), .I1(A), .O(X1N13)); + AND2 X1I20 (.I0(X1N12), .I1(CI), .O(X1N33)); + AND2 X1I21 (.I0(X1N12), .I1(A), .O(X1N34)); + AND2 X1I22 (.I0(CI), .I1(A), .O(X1N35)); + XOR2 X1I24 (.I0(X1N12), .I1(X1N13), .O(S)); + OR3 X1I31 (.I0(X1N33), .I1(X1N34), .I2(X1N35), .O(CO)); + XOR2 X1I9 (.I0(SUB), .I1(B), .O(X1N12)); + +endmodule // ADD1 + +module ALU2 (A, B, OP, OVERFLOW, S); + wire X1N401, X1N311, X1N221, X1N203, X1N600, X1N510, X1N420, X1N402, + X1N330, X1N312, X1N240, X1N222, X1N204, X1N601, X1N511, X1N421, X1N403, + X1N331, X1N313, X1N241, X1N223, X1N205, X1N160, X1N602, X1N512, X1N440, + X1N422, X1N404, X1N350, X1N332, X1N314, X1N242, X1N224, X1N206, X1N161, + X1N630, X1N603, X1N540, X1N513, X1N712, X1N631, X1N613, X1N541, X1N523, + X1N442, X1N424, X1N406, X1N370, X1N352, X1N334, X1N316, X1N280, X1N262, + X1N226, X1N208, X1N190, X1N731, X1N632, X1N542, X1N164, X1N155, X1N750, + X1N660, X1N633, X1N615, X1N570, X1N543, X1N525, X1N480, X1N156, X1N706, + X1N661, X1N643, X1N616, X1N571, X1N553, X1N526, X1N508, X1N490, X1N481, + X1N472, X1N662, X1N617, X1N572, X1N527, X1N491, X1N464, X1N455, X1N437, + X1N419, X1N383, X1N365, X1N347, X1N329, X1N293, X1N275, X1N239, X1N690, + X1N663, X1N645, X1N618, X1N573, X1N555, X1N528, X1N492, X1N456, X1N438, + X1N384, X1N366, X1N348, X1N294, X1N276, X1N691, X1N673, X1N646, X1N628, + X1N583, X1N556, X1N538, X1N475, X1N457, X1N439, X1N385, X1N367, X1N349, + X1N295, X1N277, X1N692, X1N647, X1N557, X1N476, X1N458, X1N386, X1N368, + X1N296, X1N278, X1N693, X1N675, X1N648, X1N585, X1N558, X1N495, X1N757, + X1N748, X1N676, X1N658, X1N586, X1N568, X1N496, X1N388, X1N298, X1N677, + X1N587, X1N497, X1N678, X1N588, X1N498, X1N489, X1N688, X1N598, CO, + X1N20, X1N24, X1N27, X1N28, X1N19; + OR2 X1I157 (.I0(X1N155), .I1(X1N156), .O(S[1])); + AND2 X1I158 (.I0(OP[2]), .I1(X1N161), .O(X1N155)); + AND2 X1I159 (.I0(X1N24), .I1(X1N160), .O(X1N156)); + LOGIC1 X1I167 (.A(A[1]), .B(B[1]), .O0(OP[0]), .O1(OP[1]), .S(X1N161)); + ADD1 X1I168 (.A(A[1]), .B(B[1]), .CI(X1N164), .CO(X1N190), .S(X1N160), + .SUB(OP[1])); + ADD1 X1I193 (.A(A[2]), .B(B[2]), .CI(X1N190), .CO(X1N208), .S(X1N204), + .SUB(OP[1])); + LOGIC1 X1I197 (.A(A[2]), .B(B[2]), .O0(OP[0]), .O1(OP[1]), .S(X1N203)); + AND2 X1I198 (.I0(X1N24), .I1(X1N204), .O(X1N205)); + AND2 X1I199 (.I0(OP[2]), .I1(X1N203), .O(X1N206)); + OR2 X1I200 (.I0(X1N206), .I1(X1N205), .O(S[2])); + ADD1 X1I211 (.A(A[3]), .B(B[3]), .CI(X1N208), .CO(X1N226), .S(X1N222), + .SUB(OP[1])); + LOGIC1 X1I215 (.A(A[3]), .B(B[3]), .O0(OP[0]), .O1(OP[1]), .S(X1N221)); + AND2 X1I216 (.I0(X1N24), .I1(X1N222), .O(X1N223)); + AND2 X1I217 (.I0(OP[2]), .I1(X1N221), .O(X1N224)); + OR2 X1I218 (.I0(X1N224), .I1(X1N223), .O(S[3])); + AND2 X1I22 (.I0(X1N24), .I1(X1N20), .O(X1N27)); + ADD1 X1I229 (.A(A[4]), .B(B[4]), .CI(X1N226), .CO(X1N262), .S(X1N240), + .SUB(OP[1])); + AND2 X1I23 (.I0(OP[2]), .I1(X1N19), .O(X1N28)); + LOGIC1 X1I233 (.A(A[4]), .B(B[4]), .O0(OP[0]), .O1(OP[1]), .S(X1N239)); + AND2 X1I234 (.I0(X1N24), .I1(X1N240), .O(X1N241)); + AND2 X1I235 (.I0(OP[2]), .I1(X1N239), .O(X1N242)); + OR2 X1I236 (.I0(X1N242), .I1(X1N241), .O(S[4])); + ADD1 X1I265 (.A(A[5]), .B(B[5]), .CI(X1N262), .CO(X1N280), .S(X1N276), + .SUB(OP[1])); + LOGIC1 X1I269 (.A(A[5]), .B(B[5]), .O0(OP[0]), .O1(OP[1]), .S(X1N275)); + AND2 X1I270 (.I0(X1N24), .I1(X1N276), .O(X1N277)); + AND2 X1I271 (.I0(OP[2]), .I1(X1N275), .O(X1N278)); + OR2 X1I272 (.I0(X1N278), .I1(X1N277), .O(S[5])); + ADD1 X1I283 (.A(A[6]), .B(B[6]), .CI(X1N280), .CO(X1N298), .S(X1N294), + .SUB(OP[1])); + LOGIC1 X1I287 (.A(A[6]), .B(B[6]), .O0(OP[0]), .O1(OP[1]), .S(X1N293)); + AND2 X1I288 (.I0(X1N24), .I1(X1N294), .O(X1N295)); + AND2 X1I289 (.I0(OP[2]), .I1(X1N293), .O(X1N296)); + OR2 X1I290 (.I0(X1N296), .I1(X1N295), .O(S[6])); + ADD1 X1I301 (.A(A[7]), .B(B[7]), .CI(X1N298), .CO(X1N316), .S(X1N312), + .SUB(OP[1])); + LOGIC1 X1I305 (.A(A[7]), .B(B[7]), .O0(OP[0]), .O1(OP[1]), .S(X1N311)); + AND2 X1I306 (.I0(X1N24), .I1(X1N312), .O(X1N313)); + AND2 X1I307 (.I0(OP[2]), .I1(X1N311), .O(X1N314)); + OR2 X1I308 (.I0(X1N314), .I1(X1N313), .O(S[7])); + ADD1 X1I319 (.A(A[8]), .B(B[8]), .CI(X1N316), .CO(X1N334), .S(X1N330), + .SUB(OP[1])); + LOGIC1 X1I323 (.A(A[8]), .B(B[8]), .O0(OP[0]), .O1(OP[1]), .S(X1N329)); + AND2 X1I324 (.I0(X1N24), .I1(X1N330), .O(X1N331)); + AND2 X1I325 (.I0(OP[2]), .I1(X1N329), .O(X1N332)); + OR2 X1I326 (.I0(X1N332), .I1(X1N331), .O(S[8])); + ADD1 X1I337 (.A(A[9]), .B(B[9]), .CI(X1N334), .CO(X1N352), .S(X1N348), + .SUB(OP[1])); + LOGIC1 X1I341 (.A(A[9]), .B(B[9]), .O0(OP[0]), .O1(OP[1]), .S(X1N347)); + AND2 X1I342 (.I0(X1N24), .I1(X1N348), .O(X1N349)); + AND2 X1I343 (.I0(OP[2]), .I1(X1N347), .O(X1N350)); + OR2 X1I344 (.I0(X1N350), .I1(X1N349), .O(S[9])); + ADD1 X1I355 (.A(A[10]), .B(B[10]), .CI(X1N352), .CO(X1N370), .S(X1N366), + .SUB(OP[1])); + LOGIC1 X1I359 (.A(A[10]), .B(B[10]), .O0(OP[0]), .O1(OP[1]), .S(X1N365)); + AND2 X1I360 (.I0(X1N24), .I1(X1N366), .O(X1N367)); + AND2 X1I361 (.I0(OP[2]), .I1(X1N365), .O(X1N368)); + OR2 X1I362 (.I0(X1N368), .I1(X1N367), .O(S[10])); + ADD1 X1I373 (.A(A[11]), .B(B[11]), .CI(X1N370), .CO(X1N388), .S(X1N384), + .SUB(OP[1])); + LOGIC1 X1I377 (.A(A[11]), .B(B[11]), .O0(OP[0]), .O1(OP[1]), .S(X1N383)); + AND2 X1I378 (.I0(X1N24), .I1(X1N384), .O(X1N385)); + AND2 X1I379 (.I0(OP[2]), .I1(X1N383), .O(X1N386)); + OR2 X1I380 (.I0(X1N386), .I1(X1N385), .O(S[11])); + ADD1 X1I391 (.A(A[12]), .B(B[12]), .CI(X1N388), .CO(X1N406), .S(X1N402), + .SUB(OP[1])); + LOGIC1 X1I395 (.A(A[12]), .B(B[12]), .O0(OP[0]), .O1(OP[1]), .S(X1N401)); + AND2 X1I396 (.I0(X1N24), .I1(X1N402), .O(X1N403)); + AND2 X1I397 (.I0(OP[2]), .I1(X1N401), .O(X1N404)); + OR2 X1I398 (.I0(X1N404), .I1(X1N403), .O(S[12])); + LOGIC1 X1I4 (.A(A[0]), .B(B[0]), .O0(OP[0]), .O1(OP[1]), .S(X1N19)); + ADD1 X1I409 (.A(A[13]), .B(B[13]), .CI(X1N406), .CO(X1N424), .S(X1N420), + .SUB(OP[1])); + LOGIC1 X1I413 (.A(A[13]), .B(B[13]), .O0(OP[0]), .O1(OP[1]), .S(X1N419)); + AND2 X1I414 (.I0(X1N24), .I1(X1N420), .O(X1N421)); + AND2 X1I415 (.I0(OP[2]), .I1(X1N419), .O(X1N422)); + OR2 X1I416 (.I0(X1N422), .I1(X1N421), .O(S[13])); + ADD1 X1I427 (.A(A[14]), .B(B[14]), .CI(X1N424), .CO(X1N442), .S(X1N438), + .SUB(OP[1])); + LOGIC1 X1I431 (.A(A[14]), .B(B[14]), .O0(OP[0]), .O1(OP[1]), .S(X1N437)); + AND2 X1I432 (.I0(X1N24), .I1(X1N438), .O(X1N439)); + AND2 X1I433 (.I0(OP[2]), .I1(X1N437), .O(X1N440)); + OR2 X1I434 (.I0(X1N440), .I1(X1N439), .O(S[14])); + ADD1 X1I445 (.A(A[15]), .B(B[15]), .CI(X1N442), .CO(X1N472), .S(X1N456), + .SUB(OP[1])); + LOGIC1 X1I449 (.A(A[15]), .B(B[15]), .O0(OP[0]), .O1(OP[1]), .S(X1N455)); + AND2 X1I450 (.I0(X1N24), .I1(X1N456), .O(X1N457)); + AND2 X1I451 (.I0(OP[2]), .I1(X1N455), .O(X1N458)); + OR2 X1I452 (.I0(X1N458), .I1(X1N457), .O(S[15])); + ADD1 X1I467 (.A(A[17]), .B(B[17]), .CI(X1N464), .CO(X1N508), .S(X1N490), + .SUB(OP[1])); + ADD1 X1I468 (.A(A[16]), .B(B[16]), .CI(X1N472), .CO(X1N464), .S(X1N476), + .SUB(OP[1])); + LOGIC1 X1I469 (.A(A[16]), .B(B[16]), .O0(OP[0]), .O1(OP[1]), .S(X1N475)); + AND2 X1I477 (.I0(X1N24), .I1(X1N476), .O(X1N480)); + AND2 X1I478 (.I0(OP[2]), .I1(X1N475), .O(X1N481)); + OR2 X1I479 (.I0(X1N481), .I1(X1N480), .O(S[16])); + LOGIC1 X1I483 (.A(A[17]), .B(B[17]), .O0(OP[0]), .O1(OP[1]), .S(X1N489)); + AND2 X1I484 (.I0(X1N24), .I1(X1N490), .O(X1N491)); + AND2 X1I485 (.I0(OP[2]), .I1(X1N489), .O(X1N492)); + OR2 X1I486 (.I0(X1N492), .I1(X1N491), .O(S[17])); + OR2 X1I501 (.I0(X1N495), .I1(X1N496), .O(S[18])); + AND2 X1I502 (.I0(OP[2]), .I1(X1N498), .O(X1N495)); + AND2 X1I503 (.I0(X1N24), .I1(X1N497), .O(X1N496)); + LOGIC1 X1I504 (.A(A[18]), .B(B[18]), .O0(OP[0]), .O1(OP[1]), .S(X1N498)); + ADD1 X1I505 (.A(A[18]), .B(B[18]), .CI(X1N508), .CO(X1N523), .S(X1N497), + .SUB(OP[1])); + OR2 X1I516 (.I0(X1N510), .I1(X1N511), .O(S[19])); + AND2 X1I517 (.I0(OP[2]), .I1(X1N513), .O(X1N510)); + AND2 X1I518 (.I0(X1N24), .I1(X1N512), .O(X1N511)); + LOGIC1 X1I519 (.A(A[19]), .B(B[19]), .O0(OP[0]), .O1(OP[1]), .S(X1N513)); + ADD1 X1I520 (.A(A[19]), .B(B[19]), .CI(X1N523), .CO(X1N538), .S(X1N512), + .SUB(OP[1])); + OR2 X1I531 (.I0(X1N525), .I1(X1N526), .O(S[20])); + AND2 X1I532 (.I0(OP[2]), .I1(X1N528), .O(X1N525)); + AND2 X1I533 (.I0(X1N24), .I1(X1N527), .O(X1N526)); + LOGIC1 X1I534 (.A(A[20]), .B(B[20]), .O0(OP[0]), .O1(OP[1]), .S(X1N528)); + ADD1 X1I535 (.A(A[20]), .B(B[20]), .CI(X1N538), .CO(X1N553), .S(X1N527), + .SUB(OP[1])); + OR2 X1I546 (.I0(X1N540), .I1(X1N541), .O(S[21])); + AND2 X1I547 (.I0(OP[2]), .I1(X1N543), .O(X1N540)); + AND2 X1I548 (.I0(X1N24), .I1(X1N542), .O(X1N541)); + LOGIC1 X1I549 (.A(A[21]), .B(B[21]), .O0(OP[0]), .O1(OP[1]), .S(X1N543)); + ADD1 X1I550 (.A(A[21]), .B(B[21]), .CI(X1N553), .CO(X1N568), .S(X1N542), + .SUB(OP[1])); + OR2 X1I561 (.I0(X1N555), .I1(X1N556), .O(S[22])); + AND2 X1I562 (.I0(OP[2]), .I1(X1N558), .O(X1N555)); + AND2 X1I563 (.I0(X1N24), .I1(X1N557), .O(X1N556)); + LOGIC1 X1I564 (.A(A[22]), .B(B[22]), .O0(OP[0]), .O1(OP[1]), .S(X1N558)); + ADD1 X1I565 (.A(A[22]), .B(B[22]), .CI(X1N568), .CO(X1N583), .S(X1N557), + .SUB(OP[1])); + OR2 X1I576 (.I0(X1N570), .I1(X1N571), .O(S[23])); + AND2 X1I577 (.I0(OP[2]), .I1(X1N573), .O(X1N570)); + AND2 X1I578 (.I0(X1N24), .I1(X1N572), .O(X1N571)); + LOGIC1 X1I579 (.A(A[23]), .B(B[23]), .O0(OP[0]), .O1(OP[1]), .S(X1N573)); + ADD1 X1I580 (.A(A[23]), .B(B[23]), .CI(X1N583), .CO(X1N598), .S(X1N572), + .SUB(OP[1])); + OR2 X1I591 (.I0(X1N585), .I1(X1N586), .O(S[24])); + AND2 X1I592 (.I0(OP[2]), .I1(X1N588), .O(X1N585)); + AND2 X1I593 (.I0(X1N24), .I1(X1N587), .O(X1N586)); + LOGIC1 X1I594 (.A(A[24]), .B(B[24]), .O0(OP[0]), .O1(OP[1]), .S(X1N588)); + ADD1 X1I595 (.A(A[24]), .B(B[24]), .CI(X1N598), .CO(X1N613), .S(X1N587), + .SUB(OP[1])); + OR2 X1I606 (.I0(X1N600), .I1(X1N601), .O(S[25])); + AND2 X1I607 (.I0(OP[2]), .I1(X1N603), .O(X1N600)); + AND2 X1I608 (.I0(X1N24), .I1(X1N602), .O(X1N601)); + LOGIC1 X1I609 (.A(A[25]), .B(B[25]), .O0(OP[0]), .O1(OP[1]), .S(X1N603)); + ADD1 X1I610 (.A(A[25]), .B(B[25]), .CI(X1N613), .CO(X1N628), .S(X1N602), + .SUB(OP[1])); + OR2 X1I621 (.I0(X1N615), .I1(X1N616), .O(S[26])); + AND2 X1I622 (.I0(OP[2]), .I1(X1N618), .O(X1N615)); + AND2 X1I623 (.I0(X1N24), .I1(X1N617), .O(X1N616)); + LOGIC1 X1I624 (.A(A[26]), .B(B[26]), .O0(OP[0]), .O1(OP[1]), .S(X1N618)); + ADD1 X1I625 (.A(A[26]), .B(B[26]), .CI(X1N628), .CO(X1N643), .S(X1N617), + .SUB(OP[1])); + OR2 X1I636 (.I0(X1N630), .I1(X1N631), .O(S[27])); + AND2 X1I637 (.I0(OP[2]), .I1(X1N633), .O(X1N630)); + AND2 X1I638 (.I0(X1N24), .I1(X1N632), .O(X1N631)); + LOGIC1 X1I639 (.A(A[27]), .B(B[27]), .O0(OP[0]), .O1(OP[1]), .S(X1N633)); + ADD1 X1I640 (.A(A[27]), .B(B[27]), .CI(X1N643), .CO(X1N658), .S(X1N632), + .SUB(OP[1])); + OR2 X1I651 (.I0(X1N645), .I1(X1N646), .O(S[28])); + AND2 X1I652 (.I0(OP[2]), .I1(X1N648), .O(X1N645)); + AND2 X1I653 (.I0(X1N24), .I1(X1N647), .O(X1N646)); + LOGIC1 X1I654 (.A(A[28]), .B(B[28]), .O0(OP[0]), .O1(OP[1]), .S(X1N648)); + ADD1 X1I655 (.A(A[28]), .B(B[28]), .CI(X1N658), .CO(X1N673), .S(X1N647), + .SUB(OP[1])); + OR2 X1I666 (.I0(X1N660), .I1(X1N661), .O(S[29])); + AND2 X1I667 (.I0(OP[2]), .I1(X1N663), .O(X1N660)); + AND2 X1I668 (.I0(X1N24), .I1(X1N662), .O(X1N661)); + LOGIC1 X1I669 (.A(A[29]), .B(B[29]), .O0(OP[0]), .O1(OP[1]), .S(X1N663)); + ADD1 X1I670 (.A(A[29]), .B(B[29]), .CI(X1N673), .CO(X1N688), .S(X1N662), + .SUB(OP[1])); + OR2 X1I681 (.I0(X1N675), .I1(X1N676), .O(S[30])); + AND2 X1I682 (.I0(OP[2]), .I1(X1N678), .O(X1N675)); + AND2 X1I683 (.I0(X1N24), .I1(X1N677), .O(X1N676)); + LOGIC1 X1I684 (.A(A[30]), .B(B[30]), .O0(OP[0]), .O1(OP[1]), .S(X1N678)); + ADD1 X1I685 (.A(A[30]), .B(B[30]), .CI(X1N688), .CO(X1N706), .S(X1N677), + .SUB(OP[1])); + OR2 X1I696 (.I0(X1N690), .I1(X1N691), .O(S[31])); + AND2 X1I697 (.I0(OP[2]), .I1(X1N693), .O(X1N690)); + AND2 X1I698 (.I0(X1N24), .I1(X1N692), .O(X1N691)); + LOGIC1 X1I699 (.A(A[31]), .B(B[31]), .O0(OP[0]), .O1(OP[1]), .S(X1N693)); + ADD1 X1I703 (.A(A[31]), .B(B[31]), .CI(X1N706), .CO(CO), .S(X1N692), .SUB + (OP[1])); + AND2 X1I714 (.I0(X1N712), .I1(A[0]), .O(X1N164)); + XOR2 X1I719 (.I0(OP[1]), .I1(B[0]), .O(X1N712)); + XOR2 X1I721 (.I0(X1N712), .I1(A[0]), .O(X1N20)); + AND2 X1I729 (.I0(OP[3]), .I1(CO), .O(X1N731)); + OR3 X1I730 (.I0(X1N731), .I1(X1N28), .I2(X1N27), .O(S[0])); + AND2 X1I746 (.I0(X1N750), .I1(X1N748), .O(X1N24)); + INV X1I747 (.I(OP[3]), .O(X1N748)); + INV X1I749 (.I(OP[2]), .O(X1N750)); + XOR2 X1I753 (.I0(X1N706), .I1(CO), .O(X1N757)); + AND2 X1I756 (.I0(X1N24), .I1(X1N757), .O(OVERFLOW)); + +endmodule // ALU2 + +module FD16CE (C, CE, CLR, D, Q); + output [15:0] Q; + input CLR, CE, C; + input [15:0] D; + wire [15:0] O, I, IO; + wire [7:0] DPO, SPO; + FDCE Q5 (.C(C), .CE(CE), .CLR(CLR), .D(D[5]), .Q(Q[5])); + FDCE Q1 (.C(C), .CE(CE), .CLR(CLR), .D(D[1]), .Q(Q[1])); + FDCE Q0 (.C(C), .CE(CE), .CLR(CLR), .D(D[0]), .Q(Q[0])); + FDCE Q2 (.C(C), .CE(CE), .CLR(CLR), .D(D[2]), .Q(Q[2])); + FDCE Q3 (.C(C), .CE(CE), .CLR(CLR), .D(D[3]), .Q(Q[3])); + FDCE Q4 (.C(C), .CE(CE), .CLR(CLR), .D(D[4]), .Q(Q[4])); + FDCE Q6 (.C(C), .CE(CE), .CLR(CLR), .D(D[6]), .Q(Q[6])); + FDCE Q7 (.C(C), .CE(CE), .CLR(CLR), .D(D[7]), .Q(Q[7])); + FDCE Q8 (.C(C), .CE(CE), .CLR(CLR), .D(D[8]), .Q(Q[8])); + FDCE Q9 (.C(C), .CE(CE), .CLR(CLR), .D(D[9]), .Q(Q[9])); + FDCE Q10 (.C(C), .CE(CE), .CLR(CLR), .D(D[10]), .Q(Q[10])); + FDCE Q11 (.C(C), .CE(CE), .CLR(CLR), .D(D[11]), .Q(Q[11])); + FDCE Q12 (.C(C), .CE(CE), .CLR(CLR), .D(D[12]), .Q(Q[12])); + FDCE Q13 (.C(C), .CE(CE), .CLR(CLR), .D(D[13]), .Q(Q[13])); + FDCE Q14 (.C(C), .CE(CE), .CLR(CLR), .D(D[14]), .Q(Q[14])); + FDCE Q15 (.C(C), .CE(CE), .CLR(CLR), .D(D[15]), .Q(Q[15])); + +endmodule // FD16CE + +module REG32 (CLK, EN, I, O); + wire X1N57; + FD16CE X1I55 (.C(CLK), .CE(EN), .CLR(X1N57), .D({I[15], I[14], I[13], + I[12], I[11], I[10], I[9], I[8], I[7], I[6], I[5], I[4], I[3], I[2], + I[1], I[0]}), .Q({O[15], O[14], O[13], O[12], O[11], O[10], O[9], O[8], + O[7], O[6], O[5], O[4], O[3], O[2], O[1], O[0]})); + FD16CE X1I56 (.C(CLK), .CE(EN), .CLR(X1N57), .D({I[31], I[30], I[29], + I[28], I[27], I[26], I[25], I[24], I[23], I[22], I[21], I[20], I[19], + I[18], I[17], I[16]}), .Q({O[31], O[30], O[29], O[28], O[27], O[26], + O[25], O[24], O[23], O[22], O[21], O[20], O[19], O[18], O[17], O[16]})); + GND X1I59 (.G(X1N57)); + +endmodule // REG32 + +module INTERRUPT_VECTOR (OUT, PLUS_100, PLUS_80, VECTOR_8000); + wire [31:0] P, O; + wire X1N142, X1N97; + BUF X1I1 (.I(X1N97), .O(O[9])); + INV X1I10 (.I(X1N97), .O(O[25])); + INV X1I11 (.I(X1N97), .O(O[22])); + INV X1I12 (.I(X1N97), .O(O[23])); + INV X1I13 (.I(X1N97), .O(O[31])); + GND X1I135 (.G(X1N97)); + MUX2_1X32 X1I139 (.A({O[31], O[30], O[29], O[28], O[27], O[26], O[25], + O[24], O[23], O[22], O[21], O[20], O[19], O[18], O[17], O[16], O[15], + O[14], O[13], O[12], O[11], O[10], O[9], O[8], O[7], O[6], O[5], O[4], + O[3], O[2], O[1], O[0]}), .B({P[31], P[30], P[29], P[28], P[27], P[26], + P[25], P[24], P[23], P[22], P[21], P[20], P[19], P[18], P[17], P[16], + P[15], P[14], P[13], P[12], P[11], P[10], P[9], P[8], P[7], P[6], P[5], + P[4], P[3], P[2], P[1], P[0]}), .SB(VECTOR_8000), .S({OUT[31], OUT[30], + OUT[29], OUT[28], OUT[27], OUT[26], OUT[25], OUT[24], OUT[23], OUT[22], + OUT[21], OUT[20], OUT[19], OUT[18], OUT[17], OUT[16], OUT[15], OUT[14], + OUT[13], OUT[12], OUT[11], OUT[10], OUT[9], OUT[8], OUT[7], OUT[6], + OUT[5], OUT[4], OUT[3], OUT[2], OUT[1], OUT[0]})); + GND X1I143 (.G(X1N142)); + BUF X1I144 (.I(X1N142), .O(P[11])); + BUF X1I145 (.I(X1N142), .O(P[14])); + BUF X1I146 (.I(X1N142), .O(P[1])); + BUF X1I147 (.I(X1N142), .O(P[0])); + BUF X1I148 (.I(X1N142), .O(P[3])); + BUF X1I149 (.I(X1N142), .O(P[2])); + BUF X1I150 (.I(X1N142), .O(P[17])); + BUF X1I151 (.I(X1N142), .O(P[16])); + BUF X1I152 (.I(X1N142), .O(P[15])); + BUF X1I153 (.I(X1N142), .O(P[12])); + BUF X1I154 (.I(X1N142), .O(P[13])); + BUF X1I155 (.I(PLUS_100), .O(P[8])); + BUF X1I156 (.I(X1N142), .O(P[6])); + BUF X1I157 (.I(PLUS_80), .O(P[7])); + BUF X1I158 (.I(X1N142), .O(P[4])); + BUF X1I159 (.I(X1N142), .O(P[5])); + BUF X1I160 (.I(X1N142), .O(P[19])); + BUF X1I161 (.I(X1N142), .O(P[18])); + BUF X1I162 (.I(X1N142), .O(P[21])); + BUF X1I163 (.I(X1N142), .O(P[20])); + BUF X1I164 (.I(X1N142), .O(P[30])); + INV X1I165 (.I(X1N142), .O(P[31])); + BUF X1I166 (.I(X1N142), .O(P[23])); + BUF X1I167 (.I(X1N142), .O(P[22])); + BUF X1I168 (.I(X1N142), .O(P[25])); + BUF X1I169 (.I(X1N142), .O(P[24])); + BUF X1I170 (.I(X1N142), .O(P[27])); + BUF X1I171 (.I(X1N142), .O(P[26])); + BUF X1I172 (.I(X1N142), .O(P[29])); + BUF X1I173 (.I(X1N142), .O(P[28])); + BUF X1I174 (.I(X1N142), .O(P[10])); + BUF X1I175 (.I(X1N142), .O(P[9])); + BUF X1I2 (.I(X1N97), .O(O[10])); + BUF X1I38 (.I(X1N97), .O(O[30])); + BUF X1I39 (.I(X1N97), .O(O[20])); + BUF X1I40 (.I(X1N97), .O(O[21])); + BUF X1I41 (.I(X1N97), .O(O[18])); + BUF X1I42 (.I(X1N97), .O(O[19])); + BUF X1I43 (.I(X1N97), .O(O[5])); + BUF X1I44 (.I(X1N97), .O(O[4])); + BUF X1I45 (.I(PLUS_80), .O(O[7])); + BUF X1I46 (.I(X1N97), .O(O[6])); + BUF X1I47 (.I(PLUS_100), .O(O[8])); + BUF X1I48 (.I(X1N97), .O(O[13])); + BUF X1I49 (.I(X1N97), .O(O[12])); + INV X1I5 (.I(X1N97), .O(O[28])); + BUF X1I50 (.I(X1N97), .O(O[15])); + BUF X1I51 (.I(X1N97), .O(O[16])); + BUF X1I52 (.I(X1N97), .O(O[17])); + BUF X1I53 (.I(X1N97), .O(O[2])); + BUF X1I54 (.I(X1N97), .O(O[3])); + BUF X1I55 (.I(X1N97), .O(O[0])); + BUF X1I56 (.I(X1N97), .O(O[1])); + INV X1I6 (.I(X1N97), .O(O[29])); + INV X1I7 (.I(X1N97), .O(O[26])); + BUF X1I72 (.I(X1N97), .O(O[14])); + INV X1I8 (.I(X1N97), .O(O[27])); + INV X1I9 (.I(X1N97), .O(O[24])); + BUF X1I96 (.I(X1N97), .O(O[11])); + +endmodule // INTERRUPT_VECTOR + +module MUX3_1X32 (A, B, C, S); + output [31:0] S; + input [31:0] A; + wire [31:0] TEMP, C; + MUX2_1X32 X1I1 (.A({TEMP[31], TEMP[30], TEMP[29], TEMP[28], TEMP[27], + TEMP[26], TEMP[25], TEMP[24], TEMP[23], TEMP[22], TEMP[21], TEMP[20], + TEMP[19], TEMP[18], TEMP[17], TEMP[16], TEMP[15], TEMP[14], TEMP[13], + TEMP[12], TEMP[11], TEMP[10], TEMP[9], TEMP[8], TEMP[7], TEMP[6], + TEMP[5], TEMP[4], TEMP[3], TEMP[2], TEMP[1], TEMP[0]}), .B({C[31], C[30] + , C[29], C[28], C[27], C[26], C[25], C[24], C[23], C[22], C[21], C[20], + C[19], C[18], C[17], C[16], C[15], C[14], C[13], C[12], C[11], C[10], + C[9], C[8], C[7], C[6], C[5], C[4], C[3], C[2], C[1], C[0]}), .SB(C), + .S({S[31], S[30], S[29], S[28], S[27], S[26], S[25], S[24], S[23], S[22] + , S[21], S[20], S[19], S[18], S[17], S[16], S[15], S[14], S[13], S[12], + S[11], S[10], S[9], S[8], S[7], S[6], S[5], S[4], S[3], S[2], S[1], S[0] + })); + MUX2_1X32 X1I2 (.A({A[31], A[30], A[29], A[28], A[27], A[26], A[25], A[24] + , A[23], A[22], A[21], A[20], A[19], A[18], A[17], A[16], A[15], A[14], + A[13], A[12], A[11], A[10], A[9], A[8], A[7], A[6], A[5], A[4], A[3], + A[2], A[1], A[0]}), .B({B[31], B[30], B[29], B[28], B[27], B[26], B[25] + , B[24], B[23], B[22], B[21], B[20], B[19], B[18], B[17], B[16], B[15], + B[14], B[13], B[12], B[11], B[10], B[9], B[8], B[7], B[6], B[5], B[4], + B[3], B[2], B[1], B[0]}), .SB(B), .S({TEMP[31], TEMP[30], TEMP[29], + TEMP[28], TEMP[27], TEMP[26], TEMP[25], TEMP[24], TEMP[23], TEMP[22], + TEMP[21], TEMP[20], TEMP[19], TEMP[18], TEMP[17], TEMP[16], TEMP[15], + TEMP[14], TEMP[13], TEMP[12], TEMP[11], TEMP[10], TEMP[9], TEMP[8], + TEMP[7], TEMP[6], TEMP[5], TEMP[4], TEMP[3], TEMP[2], TEMP[1], TEMP[0]}) + ); + +endmodule // MUX3_1X32 + +module RANDOM (CLK, P); + wire X1N130, X1N121, X1N141, X1N124, X1N115, X1N134, X1N127, X1N118, + X1N137, X1N92, RESET; + INV X1I114 (.I(P[9]), .O(X1N115)); + INV X1I117 (.I(P[10]), .O(X1N118)); + INV X1I123 (.I(P[12]), .O(X1N124)); + INV X1I126 (.I(P[13]), .O(X1N127)); + AND2 X1I129 (.I0(P[9]), .I1(P[8]), .O(X1N130)); + AND2 X1I139 (.I0(P[11]), .I1(X1N134), .O(X1N137)); + AND2 X1I143 (.I0(P[12]), .I1(X1N137), .O(X1N141)); + AND2 X1I168 (.I0(P[10]), .I1(X1N130), .O(X1N134)); + OR2B1 X1I169 (.I0(P[11]), .I1(RESET), .O(X1N121)); + AND2 X1I182 (.I0(P[13]), .I1(X1N141), .O(RESET)); + FDE X1I78 (.C(CLK), .CE(X1N134), .D(X1N121), .Q(P[11])); + FDE X1I79 (.C(CLK), .CE(X1N130), .D(X1N118), .Q(P[10])); + FDE X1I80 (.C(CLK), .CE(X1N141), .D(X1N127), .Q(P[13])); + FDE X1I81 (.C(CLK), .CE(X1N137), .D(X1N124), .Q(P[12])); + FDE X1I82 (.C(CLK), .CE(P[8]), .D(X1N115), .Q(P[9])); + FDE X1I83 (.C(CLK), .D(X1N92), .Q(P[8])); + INV X1I90 (.I(P[8]), .O(X1N92)); + +// WARNING - Component X1I83 has unconnected pins: 1 input, 0 output, 0 inout. +endmodule // RANDOM + +module X7SEG (\D,C,B,A , .D,C,B,A(\D,C,B,A ), \SA,SB,SC,SD,SE,SF,SG , + .SA,SB,SC,SD,SE,SF,SG(\SA,SB,SC,SD,SE,SF,SG )); + wire X1N131, X1N105, X1N142, X1N133, X1N106, X1N161, X1N171, X1N144, + X1N135, X1N108, X1N190, X1N163, X1N191, X1N173, X1N146, X1N129, X1N175, + X1N157, X1N148, X1N168, X1N159, X1N188, SA, SB, SC, SD, SE, SF, SG, + X1N60, A, X1N62, B, C, D, X1N59; + AND4B3 X1I1 (.I0(B), .I1(C), .I2(D), .I3(A), .O(X1N148)); + NOR3 X1I104 (.I0(X1N106), .I1(X1N105), .I2(X1N108), .O(SE)); + AND3B2 X1I110 (.I0(C), .I1(D), .I2(A), .O(X1N157)); + AND3B2 X1I111 (.I0(C), .I1(D), .I2(B), .O(X1N159)); + AND3B1 X1I112 (.I0(D), .I1(A), .I2(B), .O(X1N161)); + AND4B1 X1I113 (.I0(B), .I1(A), .I2(C), .I3(D), .O(X1N163)); + NOR4 X1I128 (.I0(X1N129), .I1(X1N131), .I2(X1N133), .I3(X1N135), .O(SB)); + NOR4 X1I139 (.I0(X1N144), .I1(X1N146), .I2(X1N142), .I3(X1N148), .O(SA)); + NOR4 X1I150 (.I0(X1N168), .I1(X1N171), .I2(X1N173), .I3(X1N175), .O(SD)); + NOR4 X1I153 (.I0(X1N163), .I1(X1N161), .I2(X1N159), .I3(X1N157), .O(SF)); + AND3B3 X1I177 (.I0(B), .I1(C), .I2(D), .O(X1N188)); + AND4B1 X1I179 (.I0(D), .I1(A), .I2(B), .I3(C), .O(X1N190)); + AND4B2 X1I180 (.I0(A), .I1(B), .I2(C), .I3(D), .O(X1N191)); + NOR3 X1I189 (.I0(X1N191), .I1(X1N190), .I2(X1N188), .O(SG)); + AND4B3 X1I2 (.I0(A), .I1(B), .I2(D), .I3(C), .O(X1N142)); + MU_TITLE X1I201 (); + AND4B2 X1I24 (.I0(B), .I1(D), .I2(C), .I3(A), .O(X1N135)); + AND4B2 X1I25 (.I0(A), .I1(D), .I2(C), .I3(B), .O(X1N133)); + AND3 X1I26 (.I0(A), .I1(B), .I2(D), .O(X1N131)); + AND3B1 X1I27 (.I0(A), .I1(C), .I2(D), .O(X1N129)); + AND4B1 X1I3 (.I0(C), .I1(A), .I2(B), .I3(D), .O(X1N146)); + AND4B1 X1I4 (.I0(B), .I1(A), .I2(C), .I3(D), .O(X1N144)); + AND3B1 X1I43 (.I0(A), .I1(C), .I2(D), .O(X1N59)); + AND3 X1I44 (.I0(B), .I1(C), .I2(D), .O(X1N62)); + AND4B3 X1I46 (.I0(A), .I1(C), .I2(D), .I3(B), .O(X1N60)); + NOR3 X1I58 (.I0(X1N62), .I1(X1N59), .I2(X1N60), .O(SC)); + AND4B3 X1I67 (.I0(B), .I1(C), .I2(D), .I3(A), .O(X1N175)); + AND4B3 X1I76 (.I0(A), .I1(B), .I2(D), .I3(C), .O(X1N173)); + AND3 X1I77 (.I0(A), .I1(B), .I2(C), .O(X1N171)); + AND4B2 X1I81 (.I0(A), .I1(C), .I2(B), .I3(D), .O(X1N168)); + AND3B2 X1I87 (.I0(B), .I1(D), .I2(C), .O(X1N105)); + AND3B2 X1I89 (.I0(B), .I1(C), .I2(A), .O(X1N106)); + AND2B1 X1I98 (.I0(D), .I1(A), .O(X1N108)); + +endmodule // X7SEG + +module X14SEG (IN, LEFT, RIGHT); + X7SEG X1I1 (.D,C,B,A({IN[3], IN[2], IN[1], IN[0]}), .SA,SB,SC,SD,SE,SF,SG( + {RIGHT[6], RIGHT[5], RIGHT[4], RIGHT[3], RIGHT[2], RIGHT[1], RIGHT[0]}) + ); + X7SEG X1I2 (.D,C,B,A({IN[7], IN[6], IN[5], IN[4]}), + .SA,SB,SC,SD,SE,SF,SG({LEFT[6], LEFT[5], LEFT[4], LEFT[3], LEFT[2], + LEFT[1], LEFT[0]})); + +endmodule // X14SEG + +module PC32 (CLK, EN, I, O); + wire [31:0] OA, IA, I, O; + wire X1N57; + INV X1I141 (.I(I[31]), .O(IA[31])); + BUF X1I168 (.I(I[30]), .O(IA[30])); + INV X1I169 (.I(I[29]), .O(IA[29])); + INV X1I170 (.I(I[28]), .O(IA[28])); + INV X1I171 (.I(I[27]), .O(IA[27])); + INV X1I172 (.I(I[26]), .O(IA[26])); + INV X1I173 (.I(I[25]), .O(IA[25])); + INV X1I174 (.I(I[24]), .O(IA[24])); + INV X1I175 (.I(I[23]), .O(IA[23])); + INV X1I176 (.I(I[22]), .O(IA[22])); + BUF X1I177 (.I(I[21]), .O(IA[21])); + BUF X1I178 (.I(I[20]), .O(IA[20])); + BUF X1I179 (.I(I[19]), .O(IA[19])); + BUF X1I180 (.I(I[18]), .O(IA[18])); + BUF X1I198 (.I(I[17]), .O(IA[17])); + BUF X1I199 (.I(I[16]), .O(IA[16])); + BUF X1I200 (.I(I[14]), .O(IA[14])); + BUF X1I201 (.I(I[15]), .O(IA[15])); + BUF X1I202 (.I(I[12]), .O(IA[12])); + BUF X1I203 (.I(I[13]), .O(IA[13])); + BUF X1I204 (.I(I[10]), .O(IA[10])); + BUF X1I205 (.I(I[11]), .O(IA[11])); + BUF X1I206 (.I(I[8]), .O(IA[8])); + BUF X1I207 (.I(I[9]), .O(IA[9])); + BUF X1I208 (.I(I[6]), .O(IA[6])); + BUF X1I209 (.I(I[7]), .O(IA[7])); + BUF X1I210 (.I(I[4]), .O(IA[4])); + BUF X1I211 (.I(I[5]), .O(IA[5])); + BUF X1I212 (.I(I[1]), .O(IA[1])); + BUF X1I213 (.I(I[0]), .O(IA[0])); + BUF X1I214 (.I(I[3]), .O(IA[3])); + BUF X1I215 (.I(I[2]), .O(IA[2])); + INV X1I402 (.I(OA[31]), .O(O[31])); + BUF X1I407 (.I(OA[30]), .O(O[30])); + INV X1I408 (.I(OA[28]), .O(O[28])); + INV X1I409 (.I(OA[29]), .O(O[29])); + INV X1I410 (.I(OA[26]), .O(O[26])); + INV X1I411 (.I(OA[27]), .O(O[27])); + INV X1I412 (.I(OA[24]), .O(O[24])); + INV X1I413 (.I(OA[25]), .O(O[25])); + INV X1I414 (.I(OA[22]), .O(O[22])); + INV X1I415 (.I(OA[23]), .O(O[23])); + BUF X1I416 (.I(OA[20]), .O(O[20])); + BUF X1I417 (.I(OA[21]), .O(O[21])); + BUF X1I418 (.I(OA[18]), .O(O[18])); + BUF X1I419 (.I(OA[19]), .O(O[19])); + BUF X1I437 (.I(OA[5]), .O(O[5])); + BUF X1I438 (.I(OA[4]), .O(O[4])); + BUF X1I439 (.I(OA[7]), .O(O[7])); + BUF X1I440 (.I(OA[6]), .O(O[6])); + BUF X1I441 (.I(OA[9]), .O(O[9])); + BUF X1I442 (.I(OA[8]), .O(O[8])); + BUF X1I443 (.I(OA[11]), .O(O[11])); + BUF X1I444 (.I(OA[10]), .O(O[10])); + BUF X1I445 (.I(OA[13]), .O(O[13])); + BUF X1I446 (.I(OA[12]), .O(O[12])); + BUF X1I447 (.I(OA[15]), .O(O[15])); + BUF X1I448 (.I(OA[14]), .O(O[14])); + BUF X1I449 (.I(OA[16]), .O(O[16])); + BUF X1I450 (.I(OA[17]), .O(O[17])); + BUF X1I451 (.I(OA[2]), .O(O[2])); + BUF X1I452 (.I(OA[3]), .O(O[3])); + BUF X1I453 (.I(OA[0]), .O(O[0])); + BUF X1I454 (.I(OA[1]), .O(O[1])); + FD16CE X1I55 (.C(CLK), .CE(EN), .CLR(X1N57), .D({IA[15], IA[14], IA[13], + IA[12], IA[11], IA[10], IA[9], IA[8], IA[7], IA[6], IA[5], IA[4], IA[3] + , IA[2], IA[1], IA[0]}), .Q({OA[15], OA[14], OA[13], OA[12], OA[11], + OA[10], OA[9], OA[8], OA[7], OA[6], OA[5], OA[4], OA[3], OA[2], OA[1], + OA[0]})); + FD16CE X1I56 (.C(CLK), .CE(EN), .CLR(X1N57), .D({IA[31], IA[30], IA[29], + IA[28], IA[27], IA[26], IA[25], IA[24], IA[23], IA[22], IA[21], IA[20], + IA[19], IA[18], IA[17], IA[16]}), .Q({OA[31], OA[30], OA[29], OA[28], + OA[27], OA[26], OA[25], OA[24], OA[23], OA[22], OA[21], OA[20], OA[19], + OA[18], OA[17], OA[16]})); + GND X1I59 (.G(X1N57)); + +endmodule // PC32 + +module REG6 (CLK, EN, I, O, RES); + FDRE X1I1 (.C(CLK), .CE(EN), .D(I[4]), .Q(O[4]), .R(RES)); + FDRE X1I2 (.C(CLK), .CE(EN), .D(I[3]), .Q(O[3]), .R(RES)); + FDRE X1I3 (.C(CLK), .CE(EN), .D(I[2]), .Q(O[2]), .R(RES)); + FDRE X1I39 (.C(CLK), .CE(EN), .D(I[5]), .Q(O[5]), .R(RES)); + FDRE X1I4 (.C(CLK), .CE(EN), .D(I[1]), .Q(O[1]), .R(RES)); + FDRE X1I5 (.C(CLK), .CE(EN), .D(I[0]), .Q(O[0]), .R(RES)); + +endmodule // REG6 + +module CACHE (ADDRESS, CLK, DATAIN, DATA, HIT, PFNIN, WRITE); + wire [19:0] PFN; + wire [9:0] ADDRESS; + wire VCC; + VCC X1I437 (.P(VCC)); + GND X1I447 (.G(HIT)); + +endmodule // CACHE + +module SOP3 (I0, I1, I2, O); + output O; + input I2, I1, I0; + wire [15:0] Q, D; + wire I01; + AND2 X1I31 (.I0(I0), .I1(I1), .O(I01)); + OR2 X1I32 (.I0(I01), .I1(I2), .O(O)); + +endmodule // SOP3 + +module M2_1X20 (A, B, SB, S); + output [19:0] S; + input [19:0] B; + input [19:0] A; + M2_1 X1I100 (.D0(A[17]), .D1(B[17]), .O(S[17]), .S0(SB)); + M2_1 X1I105 (.D0(A[15]), .D1(B[15]), .O(S[15]), .S0(SB)); + M2_1 X1I106 (.D0(A[14]), .D1(B[14]), .O(S[14]), .S0(SB)); + M2_1 X1I107 (.D0(A[12]), .D1(B[12]), .O(S[12]), .S0(SB)); + M2_1 X1I108 (.D0(A[13]), .D1(B[13]), .O(S[13]), .S0(SB)); + M2_1 X1I109 (.D0(A[9]), .D1(B[9]), .O(S[9]), .S0(SB)); + M2_1 X1I110 (.D0(A[8]), .D1(B[8]), .O(S[8]), .S0(SB)); + M2_1 X1I111 (.D0(A[10]), .D1(B[10]), .O(S[10]), .S0(SB)); + M2_1 X1I112 (.D0(A[11]), .D1(B[11]), .O(S[11]), .S0(SB)); + M2_1 X1I117 (.D0(A[7]), .D1(B[7]), .O(S[7]), .S0(SB)); + M2_1 X1I118 (.D0(A[6]), .D1(B[6]), .O(S[6]), .S0(SB)); + M2_1 X1I119 (.D0(A[4]), .D1(B[4]), .O(S[4]), .S0(SB)); + M2_1 X1I120 (.D0(A[5]), .D1(B[5]), .O(S[5]), .S0(SB)); + M2_1 X1I121 (.D0(A[1]), .D1(B[1]), .O(S[1]), .S0(SB)); + M2_1 X1I122 (.D0(A[0]), .D1(B[0]), .O(S[0]), .S0(SB)); + M2_1 X1I123 (.D0(A[2]), .D1(B[2]), .O(S[2]), .S0(SB)); + M2_1 X1I124 (.D0(A[3]), .D1(B[3]), .O(S[3]), .S0(SB)); + M2_1 X1I97 (.D0(A[19]), .D1(B[19]), .O(S[19]), .S0(SB)); + M2_1 X1I98 (.D0(A[18]), .D1(B[18]), .O(S[18]), .S0(SB)); + M2_1 X1I99 (.D0(A[16]), .D1(B[16]), .O(S[16]), .S0(SB)); + +endmodule // M2_1X20 + +module AND6 (I0, I1, I2, I3, I4, I5, O); + output O; + input I5, I4, I3, I2, I1, I0; + wire I35; + AND3 X1I69 (.I0(I3), .I1(I4), .I2(I5), .O(I35)); + AND4 X1I85 (.I0(I0), .I1(I1), .I2(I2), .I3(I35), .O(O)); + +endmodule // AND6 + +module FD4CE (C, CE, CLR, .D0(D[0]), .D1(D[1]), .D2(D[2]), .D3(D[3]), .Q0 + (Q[0]), .Q1(Q[1]), .Q2(Q[2]), .Q3(Q[3])); + output [3:0] Q; + input CLR, CE, C; + input [3:0] D; + wire [15:0] O, I, Q, D, IO; + wire [7:0] DPO, SPO; + FDCE Q2 (.C(C), .CE(CE), .CLR(CLR), .D(D[2]), .Q(Q[2])); + FDCE Q0 (.C(C), .CE(CE), .CLR(CLR), .D(D[0]), .Q(Q[0])); + FDCE Q1 (.C(C), .CE(CE), .CLR(CLR), .D(D[1]), .Q(Q[1])); + FDCE Q3 (.C(C), .CE(CE), .CLR(CLR), .D(D[3]), .Q(Q[3])); + +endmodule // FD4CE + +module MMUSEG (CLK, HIT_X, HIT_Y, \VPN[19:0],ASID[5:0],GLOB , + .VPN,ASID[5:0],GLOB[19:0](\VPN,ASID[5:0],GLOB[19:0] ), WRITE_X, WRITE_Y) + ; + wire [19:0] VPN; + wire [5:0] ASID; + wire X1N110, X1N101, X1N111, X1N112, X1N103, X1N114, X1N431, X1N360, + X1N108, X1N370, X1N280, X1N118, X1N109, X1N371, X1N290, X1N281, X1N272, + X1N263, X1N119, X1N372, X1N282, X1N273, X1N481, X1N373, X1N364, X1N283, + X1N274, X1N374, X1N365, X1N284, X1N275, X1N483, X1N375, X1N366, X1N285, + X1N276, X1N466, X1N367, X1N286, X1N277, X1N485, X1N368, X1N287, X1N278, + X1N477, X1N369, X1N288, X1N279, X1N487, X1N289, X1N479, X1N489, HIT, + ASID_MATCH, X1N24, X1N19, X1N74, X1N75, X1N96, X1N99, GLOB, WRITE; + XNOR2 X1I100 (.I0(ASID[3]), .I1(X1N101), .O(X1N109)); + XNOR2 X1I102 (.I0(ASID[2]), .I1(X1N103), .O(X1N108)); + XNOR2 X1I104 (.I0(ASID[1]), .I1(X1N74), .O(X1N112)); + XNOR2 X1I105 (.I0(ASID[0]), .I1(X1N75), .O(X1N114)); + AND6 X1I107 (.I0(X1N114), .I1(X1N112), .I2(X1N108), .I3(X1N109), .I4 + (X1N110), .I5(X1N111), .O(X1N118)); + FD4CE X1I11 (.C(CLK), .CE(WRITE), .CLR(X1N19), .D0(VPN[11]), .D1(VPN[10]) + , .D2(VPN[9]), .D3(VPN[8]), .Q0(X1N279), .Q1(X1N280), .Q2(X1N281), .Q3 + (X1N282)); + OR2 X1I117 (.I0(X1N119), .I1(X1N118), .O(ASID_MATCH)); + FD4CE X1I12 (.C(CLK), .CE(WRITE), .CLR(X1N19), .D0(VPN[7]), .D1(VPN[6]), + .D2(VPN[5]), .D3(VPN[4]), .Q0(X1N283), .Q1(X1N284), .Q2(X1N285), .Q3 + (X1N286)); + FD4CE X1I13 (.C(CLK), .CE(WRITE), .CLR(X1N24), .D0(ASID[5]), .D1(ASID[4]) + , .D2(ASID[3]), .D3(ASID[2]), .Q0(X1N96), .Q1(X1N99), .Q2(X1N101), .Q3 + (X1N103)); + FD4CE X1I14 (.C(CLK), .CE(WRITE), .CLR(X1N19), .D0(VPN[3]), .D1(VPN[2]), + .D2(VPN[1]), .D3(VPN[0]), .Q0(X1N287), .Q1(X1N288), .Q2(X1N289), .Q3 + (X1N290)); + FDCE X1I16 (.C(CLK), .CE(WRITE), .CLR(X1N24), .D(ASID[1]), .Q(X1N74)); + FDCE X1I17 (.C(CLK), .CE(WRITE), .CLR(X1N24), .D(ASID[0]), .Q(X1N75)); + FDCE X1I18 (.C(CLK), .CE(WRITE), .CLR(X1N24), .D(GLOB), .Q(X1N119)); + XNOR2 X1I255 (.I0(VPN[4]), .I1(X1N286), .O(X1N371)); + XNOR2 X1I256 (.I0(VPN[5]), .I1(X1N285), .O(X1N370)); + XNOR2 X1I257 (.I0(VPN[6]), .I1(X1N284), .O(X1N369)); + XNOR2 X1I258 (.I0(VPN[7]), .I1(X1N283), .O(X1N368)); + XNOR2 X1I259 (.I0(VPN[8]), .I1(X1N282), .O(X1N367)); + XNOR2 X1I260 (.I0(VPN[9]), .I1(X1N281), .O(X1N375)); + XNOR2 X1I261 (.I0(VPN[10]), .I1(X1N280), .O(X1N366)); + XNOR2 X1I262 (.I0(VPN[11]), .I1(X1N279), .O(X1N365)); + XNOR2 X1I264 (.I0(VPN[15]), .I1(X1N275), .O(X1N479)); + XNOR2 X1I265 (.I0(VPN[14]), .I1(X1N276), .O(X1N477)); + XNOR2 X1I266 (.I0(VPN[12]), .I1(X1N278), .O(X1N364)); + XNOR2 X1I267 (.I0(VPN[13]), .I1(X1N277), .O(X1N466)); + XNOR2 X1I268 (.I0(VPN[17]), .I1(X1N273), .O(X1N483)); + XNOR2 X1I269 (.I0(VPN[16]), .I1(X1N274), .O(X1N481)); + XNOR2 X1I270 (.I0(VPN[18]), .I1(X1N272), .O(X1N485)); + XNOR2 X1I271 (.I0(VPN[19]), .I1(X1N263), .O(X1N487)); + GND X1I28 (.G(X1N24)); + XNOR2 X1I291 (.I0(VPN[3]), .I1(X1N287), .O(X1N372)); + XNOR2 X1I292 (.I0(VPN[2]), .I1(X1N288), .O(X1N373)); + XNOR2 X1I293 (.I0(VPN[1]), .I1(X1N289), .O(X1N374)); + XNOR2 X1I294 (.I0(VPN[0]), .I1(X1N290), .O(X1N360)); + GND X1I31 (.G(X1N19)); + FD4CE X1I4 (.C(CLK), .CE(WRITE), .CLR(X1N19), .D0(VPN[15]), .D1(VPN[14]), + .D2(VPN[13]), .D3(VPN[12]), .Q0(X1N275), .Q1(X1N276), .Q2(X1N277), .Q3 + (X1N278)); + AND16 X1I400 (.I0(X1N360), .I1(X1N374), .I10(X1N366), .I11(X1N365), .I12 + (X1N364), .I13(X1N466), .I14(X1N489), .I15(ASID_MATCH), .I2(X1N373), .I3 + (X1N372), .I4(X1N371), .I5(X1N370), .I6(X1N369), .I7(X1N368), .I8 + (X1N367), .I9(X1N375), .O(HIT)); + AND2 X1I411 (.I0(WRITE_Y), .I1(WRITE_X), .O(WRITE)); + BUFE X1I417 (.E(HIT), .I(X1N431), .O(HIT_X)); + BUFE X1I427 (.E(HIT), .I(X1N431), .O(HIT_Y)); + GND X1I430 (.G(X1N431)); + AND6 X1I476 (.I0(X1N477), .I1(X1N479), .I2(X1N481), .I3(X1N483), .I4 + (X1N485), .I5(X1N487), .O(X1N489)); + XNOR2 X1I76 (.I0(ASID[5]), .I1(X1N96), .O(X1N111)); + FD4CE X1I8 (.C(CLK), .CE(WRITE), .CLR(X1N19), .D0(VPN[19]), .D1(VPN[18]), + .D2(VPN[17]), .D3(VPN[16]), .Q0(X1N263), .Q1(X1N272), .Q2(X1N273), .Q3 + (X1N274)); + XNOR2 X1I98 (.I0(ASID[4]), .I1(X1N99), .O(X1N110)); + +ERROR - Ports should not have increments other than 1 +endmodule // MMUSEG + +module D3_8E (.A0(A[0]), .A1(A[1]), .A2(A[2]), .D0(D[0]), .D1(D[1]), .D2 + (D[2]), .D3(D[3]), .D4(D[4]), .D5(D[5]), .D6(D[6]), .D7(D[7]), E); + output [7:0] D; + input E; + input [2:0] A; + wire [63:0] A; + wire [15:0] Q, D, O, I, IO; + wire [7:0] DPO, SPO; + AND4 X1I30 (.I0(A[2]), .I1(A[1]), .I2(A[0]), .I3(E), .O(D[7])); + AND4B1 X1I31 (.I0(A[0]), .I1(A[2]), .I2(A[1]), .I3(E), .O(D[6])); + AND4B1 X1I32 (.I0(A[1]), .I1(A[2]), .I2(A[0]), .I3(E), .O(D[5])); + AND4B2 X1I33 (.I0(A[1]), .I1(A[0]), .I2(A[2]), .I3(E), .O(D[4])); + AND4B1 X1I34 (.I0(A[2]), .I1(A[0]), .I2(A[1]), .I3(E), .O(D[3])); + AND4B2 X1I35 (.I0(A[2]), .I1(A[0]), .I2(A[1]), .I3(E), .O(D[2])); + AND4B2 X1I36 (.I0(A[2]), .I1(A[1]), .I2(A[0]), .I3(E), .O(D[1])); + AND4B3 X1I37 (.I0(A[2]), .I1(A[1]), .I2(A[0]), .I3(E), .O(D[0])); + +endmodule // D3_8E + +module MMU (CLK, DIRTY, ENTRY_HI, ENTRY_HI_OUT, ENTRY_LO, ENTRY_LO_OUT, HIT + , HIT_BUT_NOT_VALID, INDEX_IN, INDEX_OUT, LOOK_UP, NO_CACHE, PFN, READ, + VPN_INTO, WRITE_IN); + wire [31:0] ENTRY_HI_A, ENTRY_LO_B, ENTRY_LO_A, ENTRY_HI_B, ENTRY_LO_OUT; + wire [19:0] LOOKUP_VPN, PFN; + wire [5:0] INDEX_IN; + wire X1N610, X1N611, X1N612, X1N613, X1N614, X1N560, X1N660, X1N615, + X1N616, X1N932, X1N347, X1N960, X1N870, X1N726, X1N627, X1N609, X1N871, + X1N953, X1N872, X1N782, X1N584, X1N981, X1N873, X1N693, X1N946, X1N874, + X1N974, X1N875, X1N939, X1N759, X1N967, X1N868, X1N869, X1N1240, X1N1080 + , X1N1315, X1N1226, X1N1082, X1N1283, X1N1185, X1N1249, X1N1096, X1N1087 + , X1N1078, X1N1088, X1N1089, WRITE; + supply0 GND; + PULLUP X1I1000 (.O(X1N872)); + PULLUP X1I1002 (.O(X1N869)); + PULLUP X1I1004 (.O(X1N870)); + PULLUP X1I1005 (.O(X1N932)); + PULLUP X1I1009 (.O(X1N939)); + PULLUP X1I1011 (.O(X1N946)); + PULLUP X1I1013 (.O(X1N953)); + PULLUP X1I1015 (.O(X1N960)); + PULLUP X1I1017 (.O(X1N967)); + PULLUP X1I1019 (.O(X1N974)); + PULLUP X1I1021 (.O(X1N981)); + NAND4 X1I1023 (.I0(X1N875), .I1(X1N871), .I2(X1N872), .I3(X1N870), .O + (X1N1078)); + NAND4 X1I1032 (.I0(X1N874), .I1(X1N871), .I2(X1N869), .I3(X1N870), .O + (X1N1080)); + NAND4 X1I1040 (.I0(X1N873), .I1(X1N872), .I2(X1N869), .I3(X1N870), .O + (X1N1082)); + NAND4 X1I1046 (.I0(X1N981), .I1(X1N974), .I2(X1N953), .I3(X1N946), .O + (X1N1088)); + NAND4 X1I1047 (.I0(X1N981), .I1(X1N967), .I2(X1N953), .I3(X1N939), .O + (X1N1089)); + NAND4 X1I1048 (.I0(X1N981), .I1(X1N974), .I2(X1N967), .I3(X1N960), .O + (X1N1087)); + AND2 X1I1189 (.I0(INDEX_OUT[5]), .I1(WRITE), .O(X1N347)); + RAM32X32S X1I119 (.A0(INDEX_OUT[0]), .A1(INDEX_OUT[1]), .A2(INDEX_OUT[2]) + , .A3(INDEX_OUT[3]), .A4(INDEX_OUT[4]), .D({ENTRY_HI[31], ENTRY_HI[30], + ENTRY_HI[29], ENTRY_HI[28], ENTRY_HI[27], ENTRY_HI[26], ENTRY_HI[25], + ENTRY_HI[24], ENTRY_HI[23], ENTRY_HI[22], ENTRY_HI[21], ENTRY_HI[20], + ENTRY_HI[19], ENTRY_HI[18], ENTRY_HI[17], ENTRY_HI[16], ENTRY_HI[15], + ENTRY_HI[14], ENTRY_HI[13], ENTRY_HI[12], ENTRY_HI[11], ENTRY_HI[10], + ENTRY_HI[9], ENTRY_HI[8], ENTRY_HI[7], ENTRY_HI[6], ENTRY_HI[5], + ENTRY_HI[4], ENTRY_HI[3], ENTRY_HI[2], ENTRY_HI[1], ENTRY_HI[0]}), .O({ + ENTRY_HI_B[31], ENTRY_HI_B[30], ENTRY_HI_B[29], ENTRY_HI_B[28], + ENTRY_HI_B[27], ENTRY_HI_B[26], ENTRY_HI_B[25], ENTRY_HI_B[24], + ENTRY_HI_B[23], ENTRY_HI_B[22], ENTRY_HI_B[21], ENTRY_HI_B[20], + ENTRY_HI_B[19], ENTRY_HI_B[18], ENTRY_HI_B[17], ENTRY_HI_B[16], + ENTRY_HI_B[15], ENTRY_HI_B[14], ENTRY_HI_B[13], ENTRY_HI_B[12], + ENTRY_HI_B[11], ENTRY_HI_B[10], ENTRY_HI_B[9], ENTRY_HI_B[8], + ENTRY_HI_B[7], ENTRY_HI_B[6], ENTRY_HI_B[5], ENTRY_HI_B[4], + ENTRY_HI_B[3], ENTRY_HI_B[2], ENTRY_HI_B[1], ENTRY_HI_B[0]}), .WCLK(CLK) + , .WE(X1N347)); + AND2B1 X1I1190 (.I0(INDEX_OUT[5]), .I1(WRITE), .O(X1N1185)); + RAM32X32S X1I120 (.A0(INDEX_OUT[0]), .A1(INDEX_OUT[1]), .A2(INDEX_OUT[2]) + , .A3(INDEX_OUT[3]), .A4(INDEX_OUT[4]), .D({ENTRY_HI[31], ENTRY_HI[30], + ENTRY_HI[29], ENTRY_HI[28], ENTRY_HI[27], ENTRY_HI[26], ENTRY_HI[25], + ENTRY_HI[24], ENTRY_HI[23], ENTRY_HI[22], ENTRY_HI[21], ENTRY_HI[20], + ENTRY_HI[19], ENTRY_HI[18], ENTRY_HI[17], ENTRY_HI[16], ENTRY_HI[15], + ENTRY_HI[14], ENTRY_HI[13], ENTRY_HI[12], ENTRY_HI[11], ENTRY_HI[10], + ENTRY_HI[9], ENTRY_HI[8], ENTRY_HI[7], ENTRY_HI[6], ENTRY_HI[5], + ENTRY_HI[4], ENTRY_HI[3], ENTRY_HI[2], ENTRY_HI[1], ENTRY_HI[0]}), .O({ + ENTRY_HI_A[31], ENTRY_HI_A[30], ENTRY_HI_A[29], ENTRY_HI_A[28], + ENTRY_HI_A[27], ENTRY_HI_A[26], ENTRY_HI_A[25], ENTRY_HI_A[24], + ENTRY_HI_A[23], ENTRY_HI_A[22], ENTRY_HI_A[21], ENTRY_HI_A[20], + ENTRY_HI_A[19], ENTRY_HI_A[18], ENTRY_HI_A[17], ENTRY_HI_A[16], + ENTRY_HI_A[15], ENTRY_HI_A[14], ENTRY_HI_A[13], ENTRY_HI_A[12], + ENTRY_HI_A[11], ENTRY_HI_A[10], ENTRY_HI_A[9], ENTRY_HI_A[8], + ENTRY_HI_A[7], ENTRY_HI_A[6], ENTRY_HI_A[5], ENTRY_HI_A[4], + ENTRY_HI_A[3], ENTRY_HI_A[2], ENTRY_HI_A[1], ENTRY_HI_A[0]}), .WCLK(CLK) + , .WE(X1N1185)); + M2_1 X1I1205 (.D0(X1N1089), .D1(INDEX_IN[3]), .O(INDEX_OUT[3]), .S0 + (X1N1249)); + M2_1 X1I1206 (.D0(X1N1088), .D1(INDEX_IN[4]), .O(INDEX_OUT[4]), .S0 + (X1N1249)); + M2_1 X1I1207 (.D0(X1N1087), .D1(INDEX_IN[5]), .O(INDEX_OUT[5]), .S0 + (X1N1249)); + M2_1 X1I1208 (.D0(X1N1078), .D1(INDEX_IN[0]), .O(INDEX_OUT[0]), .S0 + (X1N1249)); + M2_1 X1I1209 (.D0(X1N1080), .D1(INDEX_IN[1]), .O(INDEX_OUT[1]), .S0 + (X1N1249)); + M2_1 X1I1210 (.D0(X1N1082), .D1(INDEX_IN[2]), .O(INDEX_OUT[2]), .S0 + (X1N1249)); + M2_1X20 X1I1222 (.A({ENTRY_LO_OUT[31], ENTRY_LO_OUT[30], ENTRY_LO_OUT[29] + , ENTRY_LO_OUT[28], ENTRY_LO_OUT[27], ENTRY_LO_OUT[26], ENTRY_LO_OUT[25] + , ENTRY_LO_OUT[24], ENTRY_LO_OUT[23], ENTRY_LO_OUT[22], ENTRY_LO_OUT[21] + , ENTRY_LO_OUT[20], ENTRY_LO_OUT[19], ENTRY_LO_OUT[18], ENTRY_LO_OUT[17] + , ENTRY_LO_OUT[16], ENTRY_LO_OUT[15], ENTRY_LO_OUT[14], ENTRY_LO_OUT[13] + , ENTRY_LO_OUT[12]}), .B({GND, GND, GND, VPN_INTO[16], VPN_INTO[15], + VPN_INTO[14], VPN_INTO[13], VPN_INTO[12], VPN_INTO[11], VPN_INTO[10], + VPN_INTO[9], VPN_INTO[8], VPN_INTO[7], VPN_INTO[6], VPN_INTO[5], + VPN_INTO[4], VPN_INTO[3], VPN_INTO[2], VPN_INTO[1], VPN_INTO[0]}), .SB + (X1N1226), .S({PFN[19], PFN[18], PFN[17], PFN[16], PFN[15], PFN[14], + PFN[13], PFN[12], PFN[11], PFN[10], PFN[9], PFN[8], PFN[7], PFN[6], + PFN[5], PFN[4], PFN[3], PFN[2], PFN[1], PFN[0]})); + AND2B1 X1I1227 (.I0(LOOKUP_VPN[18]), .I1(LOOKUP_VPN[19]), .O(X1N1226)); + AND2B1 X1I1234 (.I0(LOOKUP_VPN[18]), .I1(LOOKUP_VPN[19]), .O(X1N1240)); + OR2 X1I1255 (.I0(READ), .I1(WRITE), .O(X1N1249)); + M2_1 X1I1261 (.D0(ENTRY_LO_OUT[11]), .D1(LOOKUP_VPN[17]), .O(NO_CACHE), + .S0(X1N1226)); + OR2 X1I1278 (.I0(X1N1226), .I1(ENTRY_LO_OUT[10]), .O(DIRTY)); + MUX2_1X32 X1I128 (.A({ENTRY_HI_A[31], ENTRY_HI_A[30], ENTRY_HI_A[29], + ENTRY_HI_A[28], ENTRY_HI_A[27], ENTRY_HI_A[26], ENTRY_HI_A[25], + ENTRY_HI_A[24], ENTRY_HI_A[23], ENTRY_HI_A[22], ENTRY_HI_A[21], + ENTRY_HI_A[20], ENTRY_HI_A[19], ENTRY_HI_A[18], ENTRY_HI_A[17], + ENTRY_HI_A[16], ENTRY_HI_A[15], ENTRY_HI_A[14], ENTRY_HI_A[13], + ENTRY_HI_A[12], ENTRY_HI_A[11], ENTRY_HI_A[10], ENTRY_HI_A[9], + ENTRY_HI_A[8], ENTRY_HI_A[7], ENTRY_HI_A[6], ENTRY_HI_A[5], + ENTRY_HI_A[4], ENTRY_HI_A[3], ENTRY_HI_A[2], ENTRY_HI_A[1], + ENTRY_HI_A[0]}), .B({ENTRY_HI_B[31], ENTRY_HI_B[30], ENTRY_HI_B[29], + ENTRY_HI_B[28], ENTRY_HI_B[27], ENTRY_HI_B[26], ENTRY_HI_B[25], + ENTRY_HI_B[24], ENTRY_HI_B[23], ENTRY_HI_B[22], ENTRY_HI_B[21], + ENTRY_HI_B[20], ENTRY_HI_B[19], ENTRY_HI_B[18], ENTRY_HI_B[17], + ENTRY_HI_B[16], ENTRY_HI_B[15], ENTRY_HI_B[14], ENTRY_HI_B[13], + ENTRY_HI_B[12], ENTRY_HI_B[11], ENTRY_HI_B[10], ENTRY_HI_B[9], + ENTRY_HI_B[8], ENTRY_HI_B[7], ENTRY_HI_B[6], ENTRY_HI_B[5], + ENTRY_HI_B[4], ENTRY_HI_B[3], ENTRY_HI_B[2], ENTRY_HI_B[1], + ENTRY_HI_B[0]}), .SB(INDEX_OUT[5]), .S({ENTRY_HI_OUT[31], + ENTRY_HI_OUT[30], ENTRY_HI_OUT[29], ENTRY_HI_OUT[28], ENTRY_HI_OUT[27], + ENTRY_HI_OUT[26], ENTRY_HI_OUT[25], ENTRY_HI_OUT[24], ENTRY_HI_OUT[23], + ENTRY_HI_OUT[22], ENTRY_HI_OUT[21], ENTRY_HI_OUT[20], ENTRY_HI_OUT[19], + ENTRY_HI_OUT[18], ENTRY_HI_OUT[17], ENTRY_HI_OUT[16], ENTRY_HI_OUT[15], + ENTRY_HI_OUT[14], ENTRY_HI_OUT[13], ENTRY_HI_OUT[12], ENTRY_HI_OUT[11], + ENTRY_HI_OUT[10], ENTRY_HI_OUT[9], ENTRY_HI_OUT[8], ENTRY_HI_OUT[7], + ENTRY_HI_OUT[6], ENTRY_HI_OUT[5], ENTRY_HI_OUT[4], ENTRY_HI_OUT[3], + ENTRY_HI_OUT[2], ENTRY_HI_OUT[1], ENTRY_HI_OUT[0]})); + M2_1X20 X1I1280 (.A({VPN_INTO[19], VPN_INTO[18], VPN_INTO[17], + VPN_INTO[16], VPN_INTO[15], VPN_INTO[14], VPN_INTO[13], VPN_INTO[12], + VPN_INTO[11], VPN_INTO[10], VPN_INTO[9], VPN_INTO[8], VPN_INTO[7], + VPN_INTO[6], VPN_INTO[5], VPN_INTO[4], VPN_INTO[3], VPN_INTO[2], + VPN_INTO[1], VPN_INTO[0]}), .B({ENTRY_HI[31], ENTRY_HI[30], ENTRY_HI[29] + , ENTRY_HI[28], ENTRY_HI[27], ENTRY_HI[26], ENTRY_HI[25], ENTRY_HI[24], + ENTRY_HI[23], ENTRY_HI[22], ENTRY_HI[21], ENTRY_HI[20], ENTRY_HI[19], + ENTRY_HI[18], ENTRY_HI[17], ENTRY_HI[16], ENTRY_HI[15], ENTRY_HI[14], + ENTRY_HI[13], ENTRY_HI[12]}), .SB(X1N1283), .S({LOOKUP_VPN[19], + LOOKUP_VPN[18], LOOKUP_VPN[17], LOOKUP_VPN[16], LOOKUP_VPN[15], + LOOKUP_VPN[14], LOOKUP_VPN[13], LOOKUP_VPN[12], LOOKUP_VPN[11], + LOOKUP_VPN[10], LOOKUP_VPN[9], LOOKUP_VPN[8], LOOKUP_VPN[7], + LOOKUP_VPN[6], LOOKUP_VPN[5], LOOKUP_VPN[4], LOOKUP_VPN[3], + LOOKUP_VPN[2], LOOKUP_VPN[1], LOOKUP_VPN[0]})); + OR2 X1I1285 (.I0(LOOK_UP), .I1(WRITE), .O(X1N1283)); + MUX2_1X32 X1I129 (.A({ENTRY_LO_A[31], ENTRY_LO_A[30], ENTRY_LO_A[29], + ENTRY_LO_A[28], ENTRY_LO_A[27], ENTRY_LO_A[26], ENTRY_LO_A[25], + ENTRY_LO_A[24], ENTRY_LO_A[23], ENTRY_LO_A[22], ENTRY_LO_A[21], + ENTRY_LO_A[20], ENTRY_LO_A[19], ENTRY_LO_A[18], ENTRY_LO_A[17], + ENTRY_LO_A[16], ENTRY_LO_A[15], ENTRY_LO_A[14], ENTRY_LO_A[13], + ENTRY_LO_A[12], ENTRY_LO_A[11], ENTRY_LO_A[10], ENTRY_LO_A[9], + ENTRY_LO_A[8], ENTRY_LO_A[7], ENTRY_LO_A[6], ENTRY_LO_A[5], + ENTRY_LO_A[4], ENTRY_LO_A[3], ENTRY_LO_A[2], ENTRY_LO_A[1], + ENTRY_LO_A[0]}), .B({ENTRY_LO_B[31], ENTRY_LO_B[30], ENTRY_LO_B[29], + ENTRY_LO_B[28], ENTRY_LO_B[27], ENTRY_LO_B[26], ENTRY_LO_B[25], + ENTRY_LO_B[24], ENTRY_LO_B[23], ENTRY_LO_B[22], ENTRY_LO_B[21], + ENTRY_LO_B[20], ENTRY_LO_B[19], ENTRY_LO_B[18], ENTRY_LO_B[17], + ENTRY_LO_B[16], ENTRY_LO_B[15], ENTRY_LO_B[14], ENTRY_LO_B[13], + ENTRY_LO_B[12], ENTRY_LO_B[11], ENTRY_LO_B[10], ENTRY_LO_B[9], + ENTRY_LO_B[8], ENTRY_LO_B[7], ENTRY_LO_B[6], ENTRY_LO_B[5], + ENTRY_LO_B[4], ENTRY_LO_B[3], ENTRY_LO_B[2], ENTRY_LO_B[1], + ENTRY_LO_B[0]}), .SB(INDEX_OUT[5]), .S({ENTRY_LO_OUT[31], + ENTRY_LO_OUT[30], ENTRY_LO_OUT[29], ENTRY_LO_OUT[28], ENTRY_LO_OUT[27], + ENTRY_LO_OUT[26], ENTRY_LO_OUT[25], ENTRY_LO_OUT[24], ENTRY_LO_OUT[23], + ENTRY_LO_OUT[22], ENTRY_LO_OUT[21], ENTRY_LO_OUT[20], ENTRY_LO_OUT[19], + ENTRY_LO_OUT[18], ENTRY_LO_OUT[17], ENTRY_LO_OUT[16], ENTRY_LO_OUT[15], + ENTRY_LO_OUT[14], ENTRY_LO_OUT[13], ENTRY_LO_OUT[12], ENTRY_LO_OUT[11], + ENTRY_LO_OUT[10], ENTRY_LO_OUT[9], ENTRY_LO_OUT[8], ENTRY_LO_OUT[7], + ENTRY_LO_OUT[6], ENTRY_LO_OUT[5], ENTRY_LO_OUT[4], ENTRY_LO_OUT[3], + ENTRY_LO_OUT[2], ENTRY_LO_OUT[1], ENTRY_LO_OUT[0]})); + AND2B1 X1I1292 (.I0(HIT), .I1(WRITE_IN), .O(WRITE)); + RAM32X32S X1I130 (.A0(INDEX_OUT[0]), .A1(INDEX_OUT[1]), .A2(INDEX_OUT[2]) + , .A3(INDEX_OUT[3]), .A4(INDEX_OUT[4]), .D({ENTRY_LO[31], ENTRY_LO[30], + ENTRY_LO[29], ENTRY_LO[28], ENTRY_LO[27], ENTRY_LO[26], ENTRY_LO[25], + ENTRY_LO[24], ENTRY_LO[23], ENTRY_LO[22], ENTRY_LO[21], ENTRY_LO[20], + ENTRY_LO[19], ENTRY_LO[18], ENTRY_LO[17], ENTRY_LO[16], ENTRY_LO[15], + ENTRY_LO[14], ENTRY_LO[13], ENTRY_LO[12], ENTRY_LO[11], ENTRY_LO[10], + ENTRY_LO[9], ENTRY_LO[8], ENTRY_LO[7], ENTRY_LO[6], ENTRY_LO[5], + ENTRY_LO[4], ENTRY_LO[3], ENTRY_LO[2], ENTRY_LO[1], ENTRY_LO[0]}), .O({ + ENTRY_LO_B[31], ENTRY_LO_B[30], ENTRY_LO_B[29], ENTRY_LO_B[28], + ENTRY_LO_B[27], ENTRY_LO_B[26], ENTRY_LO_B[25], ENTRY_LO_B[24], + ENTRY_LO_B[23], ENTRY_LO_B[22], ENTRY_LO_B[21], ENTRY_LO_B[20], + ENTRY_LO_B[19], ENTRY_LO_B[18], ENTRY_LO_B[17], ENTRY_LO_B[16], + ENTRY_LO_B[15], ENTRY_LO_B[14], ENTRY_LO_B[13], ENTRY_LO_B[12], + ENTRY_LO_B[11], ENTRY_LO_B[10], ENTRY_LO_B[9], ENTRY_LO_B[8], + ENTRY_LO_B[7], ENTRY_LO_B[6], ENTRY_LO_B[5], ENTRY_LO_B[4], + ENTRY_LO_B[3], ENTRY_LO_B[2], ENTRY_LO_B[1], ENTRY_LO_B[0]}), .WCLK(CLK) + , .WE(X1N347)); + OR4B1 X1I1304 (.I0(X1N932), .I1(X1N1087), .I2(X1N1088), .I3(X1N1089), .O + (X1N1096)); + OR2 X1I1309 (.I0(X1N1240), .I1(X1N1315), .O(HIT)); + RAM32X32S X1I131 (.A0(INDEX_OUT[0]), .A1(INDEX_OUT[1]), .A2(INDEX_OUT[2]) + , .A3(INDEX_OUT[3]), .A4(INDEX_OUT[4]), .D({ENTRY_LO[31], ENTRY_LO[30], + ENTRY_LO[29], ENTRY_LO[28], ENTRY_LO[27], ENTRY_LO[26], ENTRY_LO[25], + ENTRY_LO[24], ENTRY_LO[23], ENTRY_LO[22], ENTRY_LO[21], ENTRY_LO[20], + ENTRY_LO[19], ENTRY_LO[18], ENTRY_LO[17], ENTRY_LO[16], ENTRY_LO[15], + ENTRY_LO[14], ENTRY_LO[13], ENTRY_LO[12], ENTRY_LO[11], ENTRY_LO[10], + ENTRY_LO[9], ENTRY_LO[8], ENTRY_LO[7], ENTRY_LO[6], ENTRY_LO[5], + ENTRY_LO[4], ENTRY_LO[3], ENTRY_LO[2], ENTRY_LO[1], ENTRY_LO[0]}), .O({ + ENTRY_LO_A[31], ENTRY_LO_A[30], ENTRY_LO_A[29], ENTRY_LO_A[28], + ENTRY_LO_A[27], ENTRY_LO_A[26], ENTRY_LO_A[25], ENTRY_LO_A[24], + ENTRY_LO_A[23], ENTRY_LO_A[22], ENTRY_LO_A[21], ENTRY_LO_A[20], + ENTRY_LO_A[19], ENTRY_LO_A[18], ENTRY_LO_A[17], ENTRY_LO_A[16], + ENTRY_LO_A[15], ENTRY_LO_A[14], ENTRY_LO_A[13], ENTRY_LO_A[12], + ENTRY_LO_A[11], ENTRY_LO_A[10], ENTRY_LO_A[9], ENTRY_LO_A[8], + ENTRY_LO_A[7], ENTRY_LO_A[6], ENTRY_LO_A[5], ENTRY_LO_A[4], + ENTRY_LO_A[3], ENTRY_LO_A[2], ENTRY_LO_A[1], ENTRY_LO_A[0]}), .WCLK(CLK) + , .WE(X1N1185)); + AND2 X1I1313 (.I0(X1N1096), .I1(ENTRY_LO_OUT[9]), .O(X1N1315)); + AND3B2 X1I1316 (.I0(X1N1240), .I1(ENTRY_LO_OUT[9]), .I2(X1N1096), .O + (HIT_BUT_NOT_VALID)); + MMUSEG X1I553 (.CLK(CLK), .HIT_X(X1N932), .HIT_Y(X1N870), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N560), .WRITE_Y + (X1N611)); + MMUSEG X1I559 (.CLK(CLK), .HIT_X(X1N932), .HIT_Y(X1N869), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N560), .WRITE_Y + (X1N610)); + MMUSEG X1I563 (.CLK(CLK), .HIT_X(X1N932), .HIT_Y(X1N873), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N560), .WRITE_Y + (X1N614)); + MMUSEG X1I567 (.CLK(CLK), .HIT_X(X1N932), .HIT_Y(X1N872), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N560), .WRITE_Y + (X1N613)); + MMUSEG X1I569 (.CLK(CLK), .HIT_X(X1N932), .HIT_Y(X1N871), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N560), .WRITE_Y + (X1N612)); + MMUSEG X1I573 (.CLK(CLK), .HIT_X(X1N932), .HIT_Y(X1N875), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N560), .WRITE_Y + (X1N616)); + MMUSEG X1I577 (.CLK(CLK), .HIT_X(X1N932), .HIT_Y(X1N874), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N560), .WRITE_Y + (X1N615)); + MMUSEG X1I579 (.CLK(CLK), .HIT_X(X1N932), .HIT_Y(X1N868), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N560), .WRITE_Y + (X1N609)); + MMUSEG X1I586 (.CLK(CLK), .HIT_X(X1N939), .HIT_Y(X1N868), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N584), .WRITE_Y + (X1N609)); + MMUSEG X1I589 (.CLK(CLK), .HIT_X(X1N939), .HIT_Y(X1N869), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N584), .WRITE_Y + (X1N610)); + MMUSEG X1I591 (.CLK(CLK), .HIT_X(X1N939), .HIT_Y(X1N870), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N584), .WRITE_Y + (X1N611)); + MMUSEG X1I594 (.CLK(CLK), .HIT_X(X1N939), .HIT_Y(X1N871), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N584), .WRITE_Y + (X1N612)); + MMUSEG X1I596 (.CLK(CLK), .HIT_X(X1N939), .HIT_Y(X1N872), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N584), .WRITE_Y + (X1N613)); + MMUSEG X1I600 (.CLK(CLK), .HIT_X(X1N939), .HIT_Y(X1N873), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N584), .WRITE_Y + (X1N614)); + MMUSEG X1I604 (.CLK(CLK), .HIT_X(X1N939), .HIT_Y(X1N874), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N584), .WRITE_Y + (X1N615)); + MMUSEG X1I608 (.CLK(CLK), .HIT_X(X1N939), .HIT_Y(X1N875), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N584), .WRITE_Y + (X1N616)); + MMUSEG X1I625 (.CLK(CLK), .HIT_X(X1N946), .HIT_Y(X1N875), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N627), .WRITE_Y + (X1N616)); + MMUSEG X1I629 (.CLK(CLK), .HIT_X(X1N946), .HIT_Y(X1N874), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N627), .WRITE_Y + (X1N615)); + MMUSEG X1I633 (.CLK(CLK), .HIT_X(X1N946), .HIT_Y(X1N873), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N627), .WRITE_Y + (X1N614)); + MMUSEG X1I637 (.CLK(CLK), .HIT_X(X1N946), .HIT_Y(X1N872), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N627), .WRITE_Y + (X1N613)); + MMUSEG X1I639 (.CLK(CLK), .HIT_X(X1N946), .HIT_Y(X1N871), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N627), .WRITE_Y + (X1N612)); + MMUSEG X1I642 (.CLK(CLK), .HIT_X(X1N946), .HIT_Y(X1N870), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N627), .WRITE_Y + (X1N611)); + MMUSEG X1I644 (.CLK(CLK), .HIT_X(X1N946), .HIT_Y(X1N869), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N627), .WRITE_Y + (X1N610)); + MMUSEG X1I647 (.CLK(CLK), .HIT_X(X1N946), .HIT_Y(X1N868), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N627), .WRITE_Y + (X1N609)); + MMUSEG X1I658 (.CLK(CLK), .HIT_X(X1N953), .HIT_Y(X1N875), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N660), .WRITE_Y + (X1N616)); + MMUSEG X1I662 (.CLK(CLK), .HIT_X(X1N953), .HIT_Y(X1N874), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N660), .WRITE_Y + (X1N615)); + MMUSEG X1I666 (.CLK(CLK), .HIT_X(X1N953), .HIT_Y(X1N873), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N660), .WRITE_Y + (X1N614)); + MMUSEG X1I670 (.CLK(CLK), .HIT_X(X1N953), .HIT_Y(X1N872), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N660), .WRITE_Y + (X1N613)); + MMUSEG X1I672 (.CLK(CLK), .HIT_X(X1N953), .HIT_Y(X1N871), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N660), .WRITE_Y + (X1N612)); + MMUSEG X1I675 (.CLK(CLK), .HIT_X(X1N953), .HIT_Y(X1N870), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N660), .WRITE_Y + (X1N611)); + MMUSEG X1I677 (.CLK(CLK), .HIT_X(X1N953), .HIT_Y(X1N869), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N660), .WRITE_Y + (X1N610)); + MMUSEG X1I680 (.CLK(CLK), .HIT_X(X1N953), .HIT_Y(X1N868), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N660), .WRITE_Y + (X1N609)); + MMUSEG X1I691 (.CLK(CLK), .HIT_X(X1N960), .HIT_Y(X1N875), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N693), .WRITE_Y + (X1N616)); + MMUSEG X1I695 (.CLK(CLK), .HIT_X(X1N960), .HIT_Y(X1N874), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N693), .WRITE_Y + (X1N615)); + MMUSEG X1I699 (.CLK(CLK), .HIT_X(X1N960), .HIT_Y(X1N873), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N693), .WRITE_Y + (X1N614)); + MMUSEG X1I703 (.CLK(CLK), .HIT_X(X1N960), .HIT_Y(X1N872), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N693), .WRITE_Y + (X1N613)); + MMUSEG X1I705 (.CLK(CLK), .HIT_X(X1N960), .HIT_Y(X1N871), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N693), .WRITE_Y + (X1N612)); + MMUSEG X1I708 (.CLK(CLK), .HIT_X(X1N960), .HIT_Y(X1N870), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N693), .WRITE_Y + (X1N611)); + MMUSEG X1I710 (.CLK(CLK), .HIT_X(X1N960), .HIT_Y(X1N869), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N693), .WRITE_Y + (X1N610)); + MMUSEG X1I713 (.CLK(CLK), .HIT_X(X1N960), .HIT_Y(X1N868), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N693), .WRITE_Y + (X1N609)); + MMUSEG X1I724 (.CLK(CLK), .HIT_X(X1N967), .HIT_Y(X1N875), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N726), .WRITE_Y + (X1N616)); + MMUSEG X1I728 (.CLK(CLK), .HIT_X(X1N967), .HIT_Y(X1N874), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N726), .WRITE_Y + (X1N615)); + MMUSEG X1I732 (.CLK(CLK), .HIT_X(X1N967), .HIT_Y(X1N873), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N726), .WRITE_Y + (X1N614)); + MMUSEG X1I736 (.CLK(CLK), .HIT_X(X1N967), .HIT_Y(X1N872), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N726), .WRITE_Y + (X1N613)); + MMUSEG X1I738 (.CLK(CLK), .HIT_X(X1N967), .HIT_Y(X1N871), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N726), .WRITE_Y + (X1N612)); + MMUSEG X1I741 (.CLK(CLK), .HIT_X(X1N967), .HIT_Y(X1N870), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N726), .WRITE_Y + (X1N611)); + MMUSEG X1I743 (.CLK(CLK), .HIT_X(X1N967), .HIT_Y(X1N869), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N726), .WRITE_Y + (X1N610)); + MMUSEG X1I746 (.CLK(CLK), .HIT_X(X1N967), .HIT_Y(X1N868), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N726), .WRITE_Y + (X1N609)); + MMUSEG X1I757 (.CLK(CLK), .HIT_X(X1N974), .HIT_Y(X1N875), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N759), .WRITE_Y + (X1N616)); + MMUSEG X1I761 (.CLK(CLK), .HIT_X(X1N974), .HIT_Y(X1N874), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N759), .WRITE_Y + (X1N615)); + MMUSEG X1I765 (.CLK(CLK), .HIT_X(X1N974), .HIT_Y(X1N873), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N759), .WRITE_Y + (X1N614)); + MMUSEG X1I769 (.CLK(CLK), .HIT_X(X1N974), .HIT_Y(X1N872), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N759), .WRITE_Y + (X1N613)); + MMUSEG X1I771 (.CLK(CLK), .HIT_X(X1N974), .HIT_Y(X1N871), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N759), .WRITE_Y + (X1N612)); + MMUSEG X1I774 (.CLK(CLK), .HIT_X(X1N974), .HIT_Y(X1N870), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N759), .WRITE_Y + (X1N611)); + MMUSEG X1I776 (.CLK(CLK), .HIT_X(X1N974), .HIT_Y(X1N869), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N759), .WRITE_Y + (X1N610)); + MMUSEG X1I779 (.CLK(CLK), .HIT_X(X1N974), .HIT_Y(X1N868), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N759), .WRITE_Y + (X1N609)); + MMUSEG X1I784 (.CLK(CLK), .HIT_X(X1N981), .HIT_Y(X1N868), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N782), .WRITE_Y + (X1N609)); + MMUSEG X1I787 (.CLK(CLK), .HIT_X(X1N981), .HIT_Y(X1N869), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N782), .WRITE_Y + (X1N610)); + MMUSEG X1I789 (.CLK(CLK), .HIT_X(X1N981), .HIT_Y(X1N870), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N782), .WRITE_Y + (X1N611)); + MMUSEG X1I792 (.CLK(CLK), .HIT_X(X1N981), .HIT_Y(X1N871), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N782), .WRITE_Y + (X1N612)); + MMUSEG X1I794 (.CLK(CLK), .HIT_X(X1N981), .HIT_Y(X1N872), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N782), .WRITE_Y + (X1N613)); + MMUSEG X1I798 (.CLK(CLK), .HIT_X(X1N981), .HIT_Y(X1N873), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N782), .WRITE_Y + (X1N614)); + MMUSEG X1I802 (.CLK(CLK), .HIT_X(X1N981), .HIT_Y(X1N874), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N782), .WRITE_Y + (X1N615)); + MMUSEG X1I806 (.CLK(CLK), .HIT_X(X1N981), .HIT_Y(X1N875), + .VPN,ASID[5:0],GLOB({LOOKUP_VPN[19], LOOKUP_VPN[18], LOOKUP_VPN[17], + LOOKUP_VPN[16], LOOKUP_VPN[15], LOOKUP_VPN[14], LOOKUP_VPN[13], + LOOKUP_VPN[12], LOOKUP_VPN[11], LOOKUP_VPN[10], LOOKUP_VPN[9], + LOOKUP_VPN[8], LOOKUP_VPN[7], LOOKUP_VPN[6], LOOKUP_VPN[5], + LOOKUP_VPN[4], LOOKUP_VPN[3], LOOKUP_VPN[2], LOOKUP_VPN[1], + LOOKUP_VPN[0], ENTRY_HI[11], ENTRY_HI[10], ENTRY_HI[9], ENTRY_HI[8], + ENTRY_HI[7], ENTRY_HI[6], ENTRY_LO[8]}), .WRITE_X(X1N782), .WRITE_Y + (X1N616)); + D3_8E X1I824 (.A0(INDEX_IN[3]), .A1(INDEX_IN[4]), .A2(INDEX_IN[5]), .D0 + (X1N560), .D1(X1N584), .D2(X1N627), .D3(X1N660), .D4(X1N693), .D5 + (X1N726), .D6(X1N759), .D7(X1N782), .E(WRITE)); + D3_8E X1I848 (.A0(INDEX_IN[0]), .A1(INDEX_IN[1]), .A2(INDEX_IN[2]), .D0 + (X1N609), .D1(X1N616), .D2(X1N615), .D3(X1N612), .D4(X1N614), .D5 + (X1N613), .D6(X1N610), .D7(X1N611), .E(WRITE)); + PULLUP X1I988 (.O(X1N868)); + PULLUP X1I992 (.O(X1N875)); + PULLUP X1I994 (.O(X1N874)); + PULLUP X1I996 (.O(X1N871)); + PULLUP X1I998 (.O(X1N873)); + +endmodule // MMU + +module BUFT16 (I, O, T); + output [15:0] O; + input T; + input [15:0] I; + wire [63:0] A; + wire [15:0] Q, D, B, IO; + wire [7:0] DPO, SPO; + BUFT X1I30 (.I(I[8]), .O(O[8]), .T(T)); + BUFT X1I31 (.I(I[9]), .O(O[9]), .T(T)); + BUFT X1I32 (.I(I[10]), .O(O[10]), .T(T)); + BUFT X1I33 (.I(I[11]), .O(O[11]), .T(T)); + BUFT X1I34 (.I(I[15]), .O(O[15]), .T(T)); + BUFT X1I35 (.I(I[14]), .O(O[14]), .T(T)); + BUFT X1I36 (.I(I[13]), .O(O[13]), .T(T)); + BUFT X1I37 (.I(I[12]), .O(O[12]), .T(T)); + BUFT X1I38 (.I(I[6]), .O(O[6]), .T(T)); + BUFT X1I39 (.I(I[7]), .O(O[7]), .T(T)); + BUFT X1I40 (.I(I[0]), .O(O[0]), .T(T)); + BUFT X1I41 (.I(I[1]), .O(O[1]), .T(T)); + BUFT X1I42 (.I(I[2]), .O(O[2]), .T(T)); + BUFT X1I43 (.I(I[3]), .O(O[3]), .T(T)); + BUFT X1I44 (.I(I[4]), .O(O[4]), .T(T)); + BUFT X1I45 (.I(I[5]), .O(O[5]), .T(T)); + +endmodule // BUFT16 + +module BUFT32 (I, O, T); + output [31:0] O; + input T; + input [31:0] I; + BUFT16 X1I2 (.I({I[15], I[14], I[13], I[12], I[11], I[10], I[9], I[8], + I[7], I[6], I[5], I[4], I[3], I[2], I[1], I[0]}), .O({O[15], O[14], + O[13], O[12], O[11], O[10], O[9], O[8], O[7], O[6], O[5], O[4], O[3], + O[2], O[1], O[0]}), .T(T)); + BUFT16 X1I3 (.I({I[31], I[30], I[29], I[28], I[27], I[26], I[25], I[24], + I[23], I[22], I[21], I[20], I[19], I[18], I[17], I[16]}), .O({O[31], + O[30], O[29], O[28], O[27], O[26], O[25], O[24], O[23], O[22], O[21], + O[20], O[19], O[18], O[17], O[16]}), .T(T)); + +endmodule // BUFT32 + +module M2_1X6 (A, B, O, SB); + M2_1 X1I60 (.D0(A[4]), .D1(B[4]), .O(O[4]), .S0(SB)); + M2_1 X1I61 (.D0(A[3]), .D1(B[3]), .O(O[3]), .S0(SB)); + M2_1 X1I62 (.D0(A[2]), .D1(B[2]), .O(O[2]), .S0(SB)); + M2_1 X1I63 (.D0(A[1]), .D1(B[1]), .O(O[1]), .S0(SB)); + M2_1 X1I64 (.D0(A[0]), .D1(B[0]), .O(O[0]), .S0(SB)); + M2_1 X1I82 (.D0(A[5]), .D1(B[5]), .O(O[5]), .S0(SB)); + +endmodule // M2_1X6 + +module REG20 (CLK, EN, I, O); + wire X1N57; + FD16CE X1I55 (.C(CLK), .CE(EN), .CLR(X1N57), .D({I[15], I[14], I[13], + I[12], I[11], I[10], I[9], I[8], I[7], I[6], I[5], I[4], I[3], I[2], + I[1], I[0]}), .Q({O[15], O[14], O[13], O[12], O[11], O[10], O[9], O[8], + O[7], O[6], O[5], O[4], O[3], O[2], O[1], O[0]})); + FD4CE X1I56 (.C(CLK), .CE(EN), .CLR(X1N57), .D0(I[16]), .D1(I[17]), .D2 + (I[18]), .D3(I[19]), .Q0(O[16]), .Q1(O[17]), .Q2(O[18]), .Q3(O[19])); + GND X1I59 (.G(X1N57)); + +endmodule // REG20 + +module ADD16 (A, B, CI, CO, OFL, S); + output OFL, CO; + output [15:0] S; + input CI; + input [15:0] B; + input [15:0] A; + wire C0, C1, C2, C3, C4, C5, I0, C6, I1, C7, I2, C8, I3, C9, I4, I5, I6, + I7, I8, I9, C14O, C10, C11, C12, C13, C14, I10, I11, I12, I13, I14, I15; + MUXCY_D X1I107 (.CI(C13), .DI(A[14]), .LO(C14), .O(C14O), .S(I14)); + MUXCY_L X1I110 (.CI(C12), .DI(A[13]), .LO(C13), .S(I13)); + MUXCY_L X1I111 (.CI(C7), .DI(A[8]), .LO(C8), .S(I8)); + FMAP X1I16 (.I1(A[8]), .I2(B[8]), .O(I8)); + FMAP X1I17 (.I1(A[9]), .I2(B[9]), .O(I9)); + FMAP X1I18 (.I1(A[10]), .I2(B[10]), .O(I10)); + FMAP X1I19 (.I1(A[11]), .I2(B[11]), .O(I11)); + FMAP X1I20 (.I1(A[12]), .I2(B[12]), .O(I12)); + FMAP X1I21 (.I1(A[13]), .I2(B[13]), .O(I13)); + FMAP X1I22 (.I1(A[14]), .I2(B[14]), .O(I14)); + XORCY X1I226 (.CI(CI), .LI(I0), .O(S[0])); + XORCY X1I227 (.CI(C0), .LI(I1), .O(S[1])); + XORCY X1I228 (.CI(C2), .LI(I3), .O(S[3])); + XORCY X1I229 (.CI(C1), .LI(I2), .O(S[2])); + FMAP X1I23 (.I1(A[15]), .I2(B[15]), .O(I15)); + XORCY X1I230 (.CI(C4), .LI(I5), .O(S[5])); + XORCY X1I231 (.CI(C3), .LI(I4), .O(S[4])); + XORCY X1I233 (.CI(C6), .LI(I7), .O(S[7])); + XORCY X1I234 (.CI(C5), .LI(I6), .O(S[6])); + MUXCY_L X1I248 (.CI(C6), .DI(A[7]), .LO(C7), .S(I7)); + MUXCY_L X1I249 (.CI(C5), .DI(A[6]), .LO(C6), .S(I6)); + MUXCY_L X1I250 (.CI(C4), .DI(A[5]), .LO(C5), .S(I5)); + MUXCY_L X1I251 (.CI(C3), .DI(A[4]), .LO(C4), .S(I4)); + MUXCY_L X1I252 (.CI(C2), .DI(A[3]), .LO(C3), .S(I3)); + MUXCY_L X1I253 (.CI(C1), .DI(A[2]), .LO(C2), .S(I2)); + MUXCY_L X1I254 (.CI(C0), .DI(A[1]), .LO(C1), .S(I1)); + MUXCY_L X1I255 (.CI(CI), .DI(A[0]), .LO(C0), .S(I0)); + FMAP X1I272 (.I1(A[1]), .I2(B[1]), .O(I1)); + FMAP X1I275 (.I1(A[0]), .I2(B[0]), .O(I0)); + FMAP X1I279 (.I1(A[2]), .I2(B[2]), .O(I2)); + FMAP X1I283 (.I1(A[3]), .I2(B[3]), .O(I3)); + FMAP X1I287 (.I1(A[4]), .I2(B[4]), .O(I4)); + FMAP X1I291 (.I1(A[5]), .I2(B[5]), .O(I5)); + FMAP X1I295 (.I1(A[6]), .I2(B[6]), .O(I6)); + FMAP X1I299 (.I1(A[7]), .I2(B[7]), .O(I7)); + XOR2 X1I354 (.I0(A[0]), .I1(B[0]), .O(I0)); + XOR2 X1I355 (.I0(A[1]), .I1(B[1]), .O(I1)); + XOR2 X1I356 (.I0(A[2]), .I1(B[2]), .O(I2)); + XOR2 X1I357 (.I0(A[3]), .I1(B[3]), .O(I3)); + XOR2 X1I358 (.I0(A[4]), .I1(B[4]), .O(I4)); + XOR2 X1I359 (.I0(A[5]), .I1(B[5]), .O(I5)); + XOR2 X1I360 (.I0(A[6]), .I1(B[6]), .O(I6)); + XOR2 X1I361 (.I0(A[7]), .I1(B[7]), .O(I7)); + XOR2 X1I362 (.I0(A[8]), .I1(B[8]), .O(I8)); + XOR2 X1I363 (.I0(A[9]), .I1(B[9]), .O(I9)); + XOR2 X1I364 (.I0(A[10]), .I1(B[10]), .O(I10)); + XOR2 X1I365 (.I0(A[11]), .I1(B[11]), .O(I11)); + XOR2 X1I366 (.I0(A[12]), .I1(B[12]), .O(I12)); + XOR2 X1I367 (.I0(A[13]), .I1(B[13]), .O(I13)); + XOR2 X1I368 (.I0(A[14]), .I1(B[14]), .O(I14)); + XOR2 X1I369 (.I0(A[15]), .I1(B[15]), .O(I15)); + XOR2 X1I375 (.I0(C14O), .I1(CO), .O(OFL)); + MUXCY_L X1I55 (.CI(C8), .DI(A[9]), .LO(C9), .S(I9)); + MUXCY_L X1I58 (.CI(C10), .DI(A[11]), .LO(C11), .S(I11)); + MUXCY_L X1I62 (.CI(C9), .DI(A[10]), .LO(C10), .S(I10)); + MUXCY_L X1I63 (.CI(C11), .DI(A[12]), .LO(C12), .S(I12)); + MUXCY X1I64 (.CI(C14), .DI(A[15]), .O(CO), .S(I15)); + XORCY X1I73 (.CI(C7), .LI(I8), .O(S[8])); + XORCY X1I74 (.CI(C8), .LI(I9), .O(S[9])); + XORCY X1I75 (.CI(C10), .LI(I11), .O(S[11])); + XORCY X1I76 (.CI(C9), .LI(I10), .O(S[10])); + XORCY X1I77 (.CI(C12), .LI(I13), .O(S[13])); + XORCY X1I78 (.CI(C11), .LI(I12), .O(S[12])); + XORCY X1I80 (.CI(C14), .LI(I15), .O(S[15])); + XORCY X1I81 (.CI(C13), .LI(I14), .O(S[14])); + +// WARNING - Component X1I299 has unconnected pins: 2 input, 0 output, 0 inout. +// WARNING - Component X1I295 has unconnected pins: 2 input, 0 output, 0 inout. +// WARNING - Component X1I291 has unconnected pins: 2 input, 0 output, 0 inout. +// WARNING - Component X1I287 has unconnected pins: 2 input, 0 output, 0 inout. +// WARNING - Component X1I283 has unconnected pins: 2 input, 0 output, 0 inout. +// WARNING - Component X1I279 has unconnected pins: 2 input, 0 output, 0 inout. +// WARNING - Component X1I275 has unconnected pins: 2 input, 0 output, 0 inout. +// WARNING - Component X1I272 has unconnected pins: 2 input, 0 output, 0 inout. +// WARNING - Component X1I23 has unconnected pins: 2 input, 0 output, 0 inout. +// WARNING - Component X1I22 has unconnected pins: 2 input, 0 output, 0 inout. +// WARNING - Component X1I21 has unconnected pins: 2 input, 0 output, 0 inout. +// WARNING - Component X1I20 has unconnected pins: 2 input, 0 output, 0 inout. +// WARNING - Component X1I19 has unconnected pins: 2 input, 0 output, 0 inout. +// WARNING - Component X1I18 has unconnected pins: 2 input, 0 output, 0 inout. +// WARNING - Component X1I17 has unconnected pins: 2 input, 0 output, 0 inout. +// WARNING - Component X1I16 has unconnected pins: 2 input, 0 output, 0 inout. +endmodule // ADD16 + +module INC32 (A, S); + output [31:0] S; + input [31:0] A; + wire [15:0] GB, G; + wire X1N44, X1N81, X1N29, X1N85; + ADD16 IGNORE_NO_LOAD4 (.A({A[15], A[14], A[13], A[12], A[11], A[10], A[9] + , A[8], A[7], A[6], A[5], A[4], A[3], A[2], A[1], A[0]}), .B({G[15], + G[14], G[13], G[12], G[11], G[10], G[9], G[8], G[7], G[6], G[5], G[4], + G[3], G[2], G[1], G[0]}), .CI(X1N85), .CO(X1N29), .S({S[15], S[14], + S[13], S[12], S[11], S[10], S[9], S[8], S[7], S[6], S[5], S[4], S[3], + S[2], S[1], S[0]})); + ADD16 IGNORE_NO_LOAD5 (.A({A[31], A[30], A[29], A[28], A[27], A[26], A[25] + , A[24], A[23], A[22], A[21], A[20], A[19], A[18], A[17], A[16]}), .B({ + GB[15], GB[14], GB[13], GB[12], GB[11], GB[10], GB[9], GB[8], GB[7], + GB[6], GB[5], GB[4], GB[3], GB[2], GB[1], GB[0]}), .CI(X1N29), .S({S[31] + , S[30], S[29], S[28], S[27], S[26], S[25], S[24], S[23], S[22], S[21], + S[20], S[19], S[18], S[17], S[16]})); + GND X1I45 (.G(X1N44)); + BUF X1I46 (.I(X1N44), .O(G[12])); + BUF X1I47 (.I(X1N44), .O(G[13])); + BUF X1I48 (.I(X1N44), .O(G[15])); + BUF X1I49 (.I(X1N44), .O(G[14])); + BUF X1I50 (.I(X1N44), .O(G[8])); + BUF X1I51 (.I(X1N44), .O(G[9])); + BUF X1I52 (.I(X1N44), .O(G[11])); + BUF X1I53 (.I(X1N44), .O(G[10])); + BUF X1I54 (.I(X1N44), .O(G[4])); + BUF X1I55 (.I(X1N44), .O(G[5])); + BUF X1I56 (.I(X1N44), .O(G[7])); + BUF X1I57 (.I(X1N44), .O(G[6])); + BUF X1I59 (.I(X1N44), .O(G[3])); + BUF X1I60 (.I(X1N44), .O(G[1])); + BUF X1I62 (.I(X1N44), .O(G[0])); + BUF X1I64 (.I(X1N81), .O(GB[0])); + BUF X1I65 (.I(X1N81), .O(GB[1])); + BUF X1I66 (.I(X1N81), .O(GB[3])); + BUF X1I67 (.I(X1N81), .O(GB[2])); + BUF X1I68 (.I(X1N81), .O(GB[6])); + BUF X1I69 (.I(X1N81), .O(GB[7])); + BUF X1I70 (.I(X1N81), .O(GB[5])); + BUF X1I71 (.I(X1N81), .O(GB[4])); + BUF X1I72 (.I(X1N81), .O(GB[10])); + BUF X1I73 (.I(X1N81), .O(GB[11])); + BUF X1I74 (.I(X1N81), .O(GB[9])); + BUF X1I75 (.I(X1N81), .O(GB[8])); + BUF X1I76 (.I(X1N81), .O(GB[14])); + BUF X1I77 (.I(X1N81), .O(GB[15])); + BUF X1I78 (.I(X1N81), .O(GB[13])); + BUF X1I79 (.I(X1N81), .O(GB[12])); + GND X1I80 (.G(X1N81)); + BUF X1I83 (.I(X1N44), .O(X1N85)); + VCC X1I91 (.P(G[2])); + +// WARNING - Component IGNORE_NO_LOAD4 has unconnected pins: 0 input, 1 output, 0 inout. +// WARNING - Component IGNORE_NO_LOAD5 has unconnected pins: 0 input, 2 output, 0 inout. +endmodule // INC32 + +module MEM_DELAY (C, D, Q, R); + wire X1N3, X1N16, X1N19; + FDR X1I1 (.C(C), .D(D), .Q(X1N3), .R(R)); + FDR X1I15 (.C(C), .D(X1N16), .Q(X1N19), .R(R)); + FDR X1I2 (.C(C), .D(X1N3), .Q(X1N16), .R(R)); + FDR X1I20 (.C(C), .D(X1N19), .Q(Q), .R(R)); + +endmodule // MEM_DELAY + +module BUTTONS (CLK, SW1, SW2, SW3); + wire X1N9, X1N11, X1N30, X1N31, X1N13, X1N17, X1N27, X1N18, X1N19; + IPAD X1I1 (.IPAD(X1N9)); + IPAD X1I2 (.IPAD(X1N11)); + INV X1I25 (.I(X1N17), .O(X1N27)); + FD X1I26 (.C(CLK), .D(X1N27), .Q(SW1)); + FD X1I28 (.C(CLK), .D(X1N30), .Q(SW2)); + INV X1I29 (.I(X1N18), .O(X1N30)); + IPAD X1I3 (.IPAD(X1N13)); + INV X1I32 (.I(X1N19), .O(X1N31)); + FD X1I33 (.C(CLK), .D(X1N31), .Q(SW3)); + IBUF X1I5 (.I(X1N9), .O(X1N17)); + IBUF X1I6 (.I(X1N11), .O(X1N18)); + IBUF X1I7 (.I(X1N13), .O(X1N19)); + +endmodule // BUTTONS + +module GND16 (G); + wire X1N65; + BUF X1I41 (.I(X1N65), .O(G[15])); + BUF X1I42 (.I(X1N65), .O(G[14])); + BUF X1I43 (.I(X1N65), .O(G[13])); + BUF X1I44 (.I(X1N65), .O(G[12])); + BUF X1I45 (.I(X1N65), .O(G[11])); + BUF X1I46 (.I(X1N65), .O(G[10])); + BUF X1I47 (.I(X1N65), .O(G[8])); + BUF X1I48 (.I(X1N65), .O(G[9])); + BUF X1I49 (.I(X1N65), .O(G[7])); + BUF X1I50 (.I(X1N65), .O(G[6])); + BUF X1I51 (.I(X1N65), .O(G[4])); + BUF X1I52 (.I(X1N65), .O(G[5])); + BUF X1I53 (.I(X1N65), .O(G[3])); + BUF X1I54 (.I(X1N65), .O(G[2])); + BUF X1I55 (.I(X1N65), .O(G[0])); + BUF X1I56 (.I(X1N65), .O(G[1])); + GND X1I66 (.G(X1N65)); + +endmodule // GND16 + +module INT_VAL (D0, D1, D2, D3, D4, I0, I1, I2, I3, I4, INT, Q0, Q1, Q2, Q3 + , Q4, VALID_IN, VALID_OUT); + wire X1N13; + AND2B1 X1I1 (.I0(VALID_IN), .I1(INT), .O(X1N13)); + M2_1 X1I10 (.D0(D1), .D1(I1), .O(Q1), .S0(X1N13)); + M2_1 X1I11 (.D0(D2), .D1(I2), .O(Q2), .S0(X1N13)); + M2_1 X1I12 (.D0(D0), .D1(I0), .O(Q0), .S0(X1N13)); + OR2 X1I2 (.I0(INT), .I1(VALID_IN), .O(VALID_OUT)); + M2_1 X1I8 (.D0(D3), .D1(I3), .O(Q3), .S0(X1N13)); + M2_1 X1I9 (.D0(D4), .D1(I4), .O(Q4), .S0(X1N13)); + +endmodule // INT_VAL + +module REG16 (CLK, EN, I, O); + wire X1N57; + FD16CE X1I55 (.C(CLK), .CE(EN), .CLR(X1N57), .D({I[15], I[14], I[13], + I[12], I[11], I[10], I[9], I[8], I[7], I[6], I[5], I[4], I[3], I[2], + I[1], I[0]}), .Q({O[15], O[14], O[13], O[12], O[11], O[10], O[9], O[8], + O[7], O[6], O[5], O[4], O[3], O[2], O[1], O[0]})); + GND X1I59 (.G(X1N57)); + +endmodule // REG16 + +module BUF16 (I, O); + output [15:0] O; + input [15:0] I; + BUF X1I10 (.I(I[8]), .O(O[8])); + BUF X1I11 (.I(I[9]), .O(O[9])); + BUF X1I12 (.I(I[7]), .O(O[7])); + BUF X1I13 (.I(I[6]), .O(O[6])); + BUF X1I14 (.I(I[4]), .O(O[4])); + BUF X1I15 (.I(I[5]), .O(O[5])); + BUF X1I16 (.I(I[1]), .O(O[1])); + BUF X1I17 (.I(I[0]), .O(O[0])); + BUF X1I18 (.I(I[2]), .O(O[2])); + BUF X1I19 (.I(I[3]), .O(O[3])); + BUF X1I4 (.I(I[15]), .O(O[15])); + BUF X1I5 (.I(I[14]), .O(O[14])); + BUF X1I6 (.I(I[13]), .O(O[13])); + BUF X1I7 (.I(I[12]), .O(O[12])); + BUF X1I8 (.I(I[11]), .O(O[11])); + BUF X1I9 (.I(I[10]), .O(O[10])); + +endmodule // BUF16 + +module SIGN_EX (D, EX_ZERO, O); + wire X1N1; + BUF16 X1I18 (.I({D[15], D[14], D[13], D[12], D[11], D[10], D[9], D[8], + D[7], D[6], D[5], D[4], D[3], D[2], D[1], D[0]}), .O({O[15], O[14], + O[13], O[12], O[11], O[10], O[9], O[8], O[7], O[6], O[5], O[4], O[3], + O[2], O[1], O[0]})); + BUF X1I21 (.I(X1N1), .O(O[16])); + BUF X1I22 (.I(X1N1), .O(O[17])); + BUF X1I23 (.I(X1N1), .O(O[18])); + BUF X1I24 (.I(X1N1), .O(O[19])); + BUF X1I25 (.I(X1N1), .O(O[20])); + BUF X1I26 (.I(X1N1), .O(O[21])); + BUF X1I27 (.I(X1N1), .O(O[23])); + BUF X1I28 (.I(X1N1), .O(O[22])); + BUF X1I29 (.I(X1N1), .O(O[24])); + BUF X1I30 (.I(X1N1), .O(O[25])); + BUF X1I31 (.I(X1N1), .O(O[27])); + BUF X1I32 (.I(X1N1), .O(O[26])); + BUF X1I33 (.I(X1N1), .O(O[30])); + BUF X1I34 (.I(X1N1), .O(O[31])); + BUF X1I35 (.I(X1N1), .O(O[29])); + BUF X1I36 (.I(X1N1), .O(O[28])); + AND2B1 X1I71 (.I0(EX_ZERO), .I1(D[15]), .O(X1N1)); + +endmodule // SIGN_EX + +module SIGN_EX_SHIFT2 (D, JL, O); + wire X1N141, X1N74; + M2_1 X1I103 (.D0(D[15]), .D1(D[20]), .O(O[22]), .S0(JL)); + M2_1 X1I107 (.D0(D[15]), .D1(D[21]), .O(O[23]), .S0(JL)); + M2_1 X1I111 (.D0(D[15]), .D1(D[22]), .O(O[24]), .S0(JL)); + M2_1 X1I121 (.D0(D[15]), .D1(D[23]), .O(O[25]), .S0(JL)); + M2_1 X1I124 (.D0(D[15]), .D1(D[24]), .O(O[26]), .S0(JL)); + M2_1 X1I127 (.D0(D[15]), .D1(D[25]), .O(O[27]), .S0(JL)); + M2_1 X1I130 (.D0(D[15]), .D1(X1N141), .O(O[28]), .S0(JL)); + M2_1 X1I133 (.D0(D[15]), .D1(X1N141), .O(O[29]), .S0(JL)); + M2_1 X1I136 (.D0(D[15]), .D1(X1N141), .O(O[30]), .S0(JL)); + M2_1 X1I148 (.D0(D[15]), .D1(X1N141), .O(O[31]), .S0(JL)); + GND X1I149 (.G(X1N141)); + BUF16 X1I18 (.I({D[15], D[14], D[13], D[12], D[11], D[10], D[9], D[8], + D[7], D[6], D[5], D[4], D[3], D[2], D[1], D[0]}), .O({O[17], O[16], + O[15], O[14], O[13], O[12], O[11], O[10], O[9], O[8], O[7], O[6], O[5], + O[4], O[3], O[2]})); + BUF X1I75 (.I(X1N74), .O(O[1])); + BUF X1I76 (.I(X1N74), .O(O[0])); + GND X1I80 (.G(X1N74)); + M2_1 X1I83 (.D0(D[15]), .D1(D[16]), .O(O[18]), .S0(JL)); + M2_1 X1I87 (.D0(D[15]), .D1(D[17]), .O(O[19]), .S0(JL)); + M2_1 X1I95 (.D0(D[15]), .D1(D[18]), .O(O[20]), .S0(JL)); + M2_1 X1I99 (.D0(D[15]), .D1(D[19]), .O(O[21]), .S0(JL)); + +endmodule // SIGN_EX_SHIFT2 + +module FD4RE (C, CE, .D0(D[0]), .D1(D[1]), .D2(D[2]), .D3(D[3]), .Q0(Q[0]), + .Q1(Q[1]), .Q2(Q[2]), .Q3(Q[3]), R); + output [3:0] Q; + input R, CE, C; + input [3:0] D; + wire [15:0] O, I, Q, D, IO; + wire [7:0] DPO, SPO; + FDRE Q0 (.C(C), .CE(CE), .D(D[0]), .Q(Q[0]), .R(R)); + FDRE Q1 (.C(C), .CE(CE), .D(D[1]), .Q(Q[1]), .R(R)); + FDRE Q2 (.C(C), .CE(CE), .D(D[2]), .Q(Q[2]), .R(R)); + FDRE Q3 (.C(C), .CE(CE), .D(D[3]), .Q(Q[3]), .R(R)); + +endmodule // FD4RE + +module M4_1E (.D0(D[0]), .D1(D[1]), .D2(D[2]), .D3(D[3]), E, O, S0, S1); + output O; + input S1, S0, E; + input [3:0] D; + wire [15:0] O, I, Q, D; + wire [7:0] DPO, SPO; + wire M01, M23; + M2_1E M01 (.D0(D[0]), .D1(D[1]), .E(E), .O(M01), .S0(S0)); + M2_1E M23 (.D0(D[2]), .D1(D[3]), .E(E), .O(M23), .S0(S0)); + MUXF5 O (.I0(M01), .I1(M23), .O(O), .S(S1)); + +endmodule // M4_1E + +module LD16 (D, G, Q); + output [15:0] Q; + input G; + input [15:0] D; + wire [15:0] O, I; + wire [7:0] DPO, SPO; + LD Q5 (.D(D[5]), .G(G), .Q(Q[5])); + LD Q1 (.D(D[1]), .G(G), .Q(Q[1])); + LD Q0 (.D(D[0]), .G(G), .Q(Q[0])); + LD Q2 (.D(D[2]), .G(G), .Q(Q[2])); + LD Q3 (.D(D[3]), .G(G), .Q(Q[3])); + LD Q4 (.D(D[4]), .G(G), .Q(Q[4])); + LD Q6 (.D(D[6]), .G(G), .Q(Q[6])); + LD Q7 (.D(D[7]), .G(G), .Q(Q[7])); + LD Q8 (.D(D[8]), .G(G), .Q(Q[8])); + LD Q9 (.D(D[9]), .G(G), .Q(Q[9])); + LD Q10 (.D(D[10]), .G(G), .Q(Q[10])); + LD Q11 (.D(D[11]), .G(G), .Q(Q[11])); + LD Q12 (.D(D[12]), .G(G), .Q(Q[12])); + LD Q13 (.D(D[13]), .G(G), .Q(Q[13])); + LD Q14 (.D(D[14]), .G(G), .Q(Q[14])); + LD Q15 (.D(D[15]), .G(G), .Q(Q[15])); + +endmodule // LD16 + +module NOR6 (I0, I1, I2, I3, I4, I5, O); + output O; + input I5, I4, I3, I2, I1, I0; + wire I35; + NOR4 X1I100 (.I0(I0), .I1(I1), .I2(I2), .I3(I35), .O(O)); + OR3 X1I93 (.I0(I3), .I1(I4), .I2(I5), .O(I35)); + +endmodule // NOR6 + +module ROTEIGHT2 (I, O, S0, S1); + wire [31:0] T, I, O; + MUX2_1X32 X1I1 (.A({T[31], T[30], T[29], T[28], T[27], T[26], T[25], T[24] + , T[23], T[22], T[21], T[20], T[19], T[18], T[17], T[16], T[15], T[14], + T[13], T[12], T[11], T[10], T[9], T[8], T[7], T[6], T[5], T[4], T[3], + T[2], T[1], T[0]}), .B({T[7], T[6], T[5], T[4], T[3], T[2], T[1], T[0], + T[31], T[30], T[29], T[28], T[27], T[26], T[25], T[24], T[23], T[22], + T[21], T[20], T[19], T[18], T[17], T[16], T[15], T[14], T[13], T[12], + T[11], T[10], T[9], T[8]}), .SB(S0), .S({O[31], O[30], O[29], O[28], + O[27], O[26], O[25], O[24], O[23], O[22], O[21], O[20], O[19], O[18], + O[17], O[16], O[15], O[14], O[13], O[12], O[11], O[10], O[9], O[8], O[7] + , O[6], O[5], O[4], O[3], O[2], O[1], O[0]})); + MUX2_1X32 X1I2 (.A({I[31], I[30], I[29], I[28], I[27], I[26], I[25], I[24] + , I[23], I[22], I[21], I[20], I[19], I[18], I[17], I[16], I[15], I[14], + I[13], I[12], I[11], I[10], I[9], I[8], I[7], I[6], I[5], I[4], I[3], + I[2], I[1], I[0]}), .B({I[15], I[14], I[13], I[12], I[11], I[10], I[9], + I[8], I[7], I[6], I[5], I[4], I[3], I[2], I[1], I[0], I[31], I[30], + I[29], I[28], I[27], I[26], I[25], I[24], I[23], I[22], I[21], I[20], + I[19], I[18], I[17], I[16]}), .SB(S1), .S({T[31], T[30], T[29], T[28], + T[27], T[26], T[25], T[24], T[23], T[22], T[21], T[20], T[19], T[18], + T[17], T[16], T[15], T[14], T[13], T[12], T[11], T[10], T[9], T[8], T[7] + , T[6], T[5], T[4], T[3], T[2], T[1], T[0]})); + +endmodule // ROTEIGHT2 + +module M2_1X8_SR (A, B, NUL, O, SB, SET); + wire X1N4, X1N6, X1N31, X1N32, X1N51, X1N42, X1N52, X1N43, X1N25, X1N26, + X1N46, X1N37, X1N92, X1N56, X1N47, X1N38, X1N57, X1N85; + AND2B1 X1I112 (.I0(NUL), .I1(SB), .O(X1N92)); + AND2B2 X1I113 (.I0(NUL), .I1(SB), .O(X1N85)); + AND2 X1I13 (.I0(X1N85), .I1(A[7]), .O(X1N4)); + AND2 X1I14 (.I0(X1N92), .I1(B[7]), .O(X1N6)); + AND2 X1I23 (.I0(X1N92), .I1(B[6]), .O(X1N26)); + OR3 X1I24 (.I0(SET), .I1(X1N26), .I2(X1N25), .O(O[6])); + AND2 X1I27 (.I0(X1N85), .I1(A[6]), .O(X1N25)); + AND2 X1I29 (.I0(X1N92), .I1(B[5]), .O(X1N32)); + OR3 X1I3 (.I0(SET), .I1(X1N6), .I2(X1N4), .O(O[7])); + OR3 X1I30 (.I0(SET), .I1(X1N32), .I2(X1N31), .O(O[5])); + AND2 X1I33 (.I0(X1N85), .I1(A[5]), .O(X1N31)); + AND2 X1I35 (.I0(X1N92), .I1(B[4]), .O(X1N38)); + OR3 X1I36 (.I0(SET), .I1(X1N38), .I2(X1N37), .O(O[4])); + AND2 X1I39 (.I0(X1N85), .I1(A[4]), .O(X1N37)); + AND2 X1I40 (.I0(X1N92), .I1(B[3]), .O(X1N43)); + OR3 X1I41 (.I0(SET), .I1(X1N43), .I2(X1N42), .O(O[3])); + AND2 X1I44 (.I0(X1N85), .I1(A[3]), .O(X1N42)); + AND2 X1I45 (.I0(X1N85), .I1(A[2]), .O(X1N47)); + OR3 X1I48 (.I0(SET), .I1(X1N46), .I2(X1N47), .O(O[2])); + AND2 X1I49 (.I0(X1N92), .I1(B[2]), .O(X1N46)); + AND2 X1I50 (.I0(X1N85), .I1(A[1]), .O(X1N52)); + OR3 X1I53 (.I0(SET), .I1(X1N51), .I2(X1N52), .O(O[1])); + AND2 X1I54 (.I0(X1N92), .I1(B[1]), .O(X1N51)); + AND2 X1I55 (.I0(X1N85), .I1(A[0]), .O(X1N57)); + OR3 X1I58 (.I0(SET), .I1(X1N56), .I2(X1N57), .O(O[0])); + AND2 X1I59 (.I0(X1N92), .I1(B[0]), .O(X1N56)); + +endmodule // M2_1X8_SR + +module BYTEMASK (A, B, MASK, NULL0, NULL1, NULL2, NULL3, O, SB0, SB1, SB2, + SB3); + wire X1N40, X1N50, X1N51, X1N42, X1N44, X1N35, X1N45, X1N28; + M2_1X8_SR X1I1 (.A({A[31], A[30], A[29], A[28], A[27], A[26], A[25], A[24] + }), .B({B[31], B[30], B[29], B[28], B[27], B[26], B[25], B[24]}), .NUL + (X1N28), .O({O[31], O[30], O[29], O[28], O[27], O[26], O[25], O[24]}), + .SB(SB3), .SET(X1N35)); + M2_1X8_SR X1I12 (.A({A[15], A[14], A[13], A[12], A[11], A[10], A[9], A[8]} + ), .B({B[15], B[14], B[13], B[12], B[11], B[10], B[9], B[8]}), .NUL + (X1N45), .O({O[15], O[14], O[13], O[12], O[11], O[10], O[9], O[8]}), .SB + (SB1), .SET(X1N44)); + M2_1X8_SR X1I15 (.A({A[7], A[6], A[5], A[4], A[3], A[2], A[1], A[0]}), .B( + {B[7], B[6], B[5], B[4], B[3], B[2], B[1], B[0]}), .NUL(X1N51), .O({O[7] + , O[6], O[5], O[4], O[3], O[2], O[1], O[0]}), .SB(SB0), .SET(X1N50)); + M2_1X8_SR X1I2 (.A({A[23], A[22], A[21], A[20], A[19], A[18], A[17], A[16] + }), .B({B[23], B[22], B[21], B[20], B[19], B[18], B[17], B[16]}), .NUL + (X1N40), .O({O[23], O[22], O[21], O[20], O[19], O[18], O[17], O[16]}), + .SB(SB2), .SET(X1N42)); + AND2B1 X1I29 (.I0(MASK), .I1(NULL3), .O(X1N28)); + AND2 X1I30 (.I0(MASK), .I1(NULL3), .O(X1N35)); + AND2 X1I38 (.I0(MASK), .I1(NULL2), .O(X1N42)); + AND2B1 X1I39 (.I0(MASK), .I1(NULL2), .O(X1N40)); + AND2B1 X1I46 (.I0(MASK), .I1(NULL1), .O(X1N45)); + AND2 X1I47 (.I0(MASK), .I1(NULL1), .O(X1N44)); + AND2B1 X1I52 (.I0(MASK), .I1(NULL0), .O(X1N51)); + AND2 X1I53 (.I0(MASK), .I1(NULL0), .O(X1N50)); + +endmodule // BYTEMASK + +module ROTEIGHT (I, O, S0, S1); + wire [31:0] T, I, O; + MUX2_1X32 X1I1 (.A({T[31], T[30], T[29], T[28], T[27], T[26], T[25], T[24] + , T[23], T[22], T[21], T[20], T[19], T[18], T[17], T[16], T[15], T[14], + T[13], T[12], T[11], T[10], T[9], T[8], T[7], T[6], T[5], T[4], T[3], + T[2], T[1], T[0]}), .B({T[23], T[22], T[21], T[20], T[19], T[18], T[17] + , T[16], T[15], T[14], T[13], T[12], T[11], T[10], T[9], T[8], T[7], + T[6], T[5], T[4], T[3], T[2], T[1], T[0], T[31], T[30], T[29], T[28], + T[27], T[26], T[25], T[24]}), .SB(S0), .S({O[31], O[30], O[29], O[28], + O[27], O[26], O[25], O[24], O[23], O[22], O[21], O[20], O[19], O[18], + O[17], O[16], O[15], O[14], O[13], O[12], O[11], O[10], O[9], O[8], O[7] + , O[6], O[5], O[4], O[3], O[2], O[1], O[0]})); + MUX2_1X32 X1I2 (.A({I[31], I[30], I[29], I[28], I[27], I[26], I[25], I[24] + , I[23], I[22], I[21], I[20], I[19], I[18], I[17], I[16], I[15], I[14], + I[13], I[12], I[11], I[10], I[9], I[8], I[7], I[6], I[5], I[4], I[3], + I[2], I[1], I[0]}), .B({I[15], I[14], I[13], I[12], I[11], I[10], I[9], + I[8], I[7], I[6], I[5], I[4], I[3], I[2], I[1], I[0], I[31], I[30], + I[29], I[28], I[27], I[26], I[25], I[24], I[23], I[22], I[21], I[20], + I[19], I[18], I[17], I[16]}), .SB(S1), .S({T[31], T[30], T[29], T[28], + T[27], T[26], T[25], T[24], T[23], T[22], T[21], T[20], T[19], T[18], + T[17], T[16], T[15], T[14], T[13], T[12], T[11], T[10], T[9], T[8], T[7] + , T[6], T[5], T[4], T[3], T[2], T[1], T[0]})); + +endmodule // ROTEIGHT + +module M2_1X8 (A, B, O, SB); + wire X1N4, X1N6, X1N31, X1N32, X1N51, X1N42, X1N52, X1N43, X1N25, X1N26, + X1N46, X1N37, X1N56, X1N47, X1N38, X1N57, X1N85; + OR2 X1I115 (.I0(X1N56), .I1(X1N57), .O(O[0])); + OR2 X1I116 (.I0(X1N6), .I1(X1N4), .O(O[7])); + OR2 X1I117 (.I0(X1N26), .I1(X1N25), .O(O[6])); + OR2 X1I118 (.I0(X1N32), .I1(X1N31), .O(O[5])); + OR2 X1I119 (.I0(X1N38), .I1(X1N37), .O(O[4])); + OR2 X1I120 (.I0(X1N51), .I1(X1N52), .O(O[1])); + OR2 X1I121 (.I0(X1N46), .I1(X1N47), .O(O[2])); + OR2 X1I122 (.I0(X1N43), .I1(X1N42), .O(O[3])); + INV X1I126 (.I(SB), .O(X1N85)); + AND2 X1I13 (.I0(X1N85), .I1(A[7]), .O(X1N4)); + AND2 X1I14 (.I0(SB), .I1(B[7]), .O(X1N6)); + AND2 X1I23 (.I0(SB), .I1(B[6]), .O(X1N26)); + AND2 X1I27 (.I0(X1N85), .I1(A[6]), .O(X1N25)); + AND2 X1I29 (.I0(SB), .I1(B[5]), .O(X1N32)); + AND2 X1I33 (.I0(X1N85), .I1(A[5]), .O(X1N31)); + AND2 X1I35 (.I0(SB), .I1(B[4]), .O(X1N38)); + AND2 X1I39 (.I0(X1N85), .I1(A[4]), .O(X1N37)); + AND2 X1I40 (.I0(SB), .I1(B[3]), .O(X1N43)); + AND2 X1I44 (.I0(X1N85), .I1(A[3]), .O(X1N42)); + AND2 X1I45 (.I0(X1N85), .I1(A[2]), .O(X1N47)); + AND2 X1I49 (.I0(SB), .I1(B[2]), .O(X1N46)); + AND2 X1I50 (.I0(X1N85), .I1(A[1]), .O(X1N52)); + AND2 X1I54 (.I0(SB), .I1(B[1]), .O(X1N51)); + AND2 X1I55 (.I0(X1N85), .I1(A[0]), .O(X1N57)); + AND2 X1I59 (.I0(SB), .I1(B[0]), .O(X1N56)); + +endmodule // M2_1X8 + +module BYTE_MUX (A, B, O, SB0, SB1, SB2, SB3); + M2_1X8 X1I1 (.A({A[31], A[30], A[29], A[28], A[27], A[26], A[25], A[24]}) + , .B({B[31], B[30], B[29], B[28], B[27], B[26], B[25], B[24]}), .O({ + O[31], O[30], O[29], O[28], O[27], O[26], O[25], O[24]}), .SB(SB3)); + M2_1X8 X1I12 (.A({A[15], A[14], A[13], A[12], A[11], A[10], A[9], A[8]}), + .B({B[15], B[14], B[13], B[12], B[11], B[10], B[9], B[8]}), .O({O[15], + O[14], O[13], O[12], O[11], O[10], O[9], O[8]}), .SB(SB1)); + M2_1X8 X1I15 (.A({A[7], A[6], A[5], A[4], A[3], A[2], A[1], A[0]}), .B({ + B[7], B[6], B[5], B[4], B[3], B[2], B[1], B[0]}), .O({O[7], O[6], O[5], + O[4], O[3], O[2], O[1], O[0]}), .SB(SB0)); + M2_1X8 X1I2 (.A({A[23], A[22], A[21], A[20], A[19], A[18], A[17], A[16]}) + , .B({B[23], B[22], B[21], B[20], B[19], B[18], B[17], B[16]}), .O({ + O[23], O[22], O[21], O[20], O[19], O[18], O[17], O[16]}), .SB(SB2)); + +endmodule // BYTE_MUX + +module SOP4 (I0, I1, I2, I3, O); + output O; + input I3, I2, I1, I0; + wire [15:0] Q, D; + wire I01, I23; + AND2 X1I7 (.I0(I2), .I1(I3), .O(I23)); + OR2 X1I8 (.I0(I01), .I1(I23), .O(O)); + AND2 X1I9 (.I0(I0), .I1(I1), .O(I01)); + +endmodule // SOP4 + +module SOP4B1 (I0, I1, I2, I3, O); + output O; + input I3, I2, I1, I0; + wire [15:0] Q, D; + wire I0B1, I23; + AND2 X1I7 (.I0(I2), .I1(I3), .O(I23)); + OR2 X1I8 (.I0(I0B1), .I1(I23), .O(O)); + AND2B1 X1I9 (.I0(I0), .I1(I1), .O(I0B1)); + +endmodule // SOP4B1 + +module D2_4E (.A0(A[0]), .A1(A[1]), .D0(D[0]), .D1(D[1]), .D2(D[2]), .D3 + (D[3]), E); + output [3:0] D; + input E; + input [1:0] A; + wire [63:0] A; + wire [15:0] Q, D, O, I, IO; + wire [7:0] DPO, SPO; + AND3 X1I30 (.I0(A[1]), .I1(A[0]), .I2(E), .O(D[3])); + AND3B1 X1I31 (.I0(A[0]), .I1(A[1]), .I2(E), .O(D[2])); + AND3B1 X1I32 (.I0(A[1]), .I1(A[0]), .I2(E), .O(D[1])); + AND3B2 X1I33 (.I0(A[0]), .I1(A[1]), .I2(E), .O(D[0])); + +endmodule // D2_4E + +module LSRAMANDCON (LA, LCE, LD, LOE, LRD, LWD, LWE); + wire X1N4, X1N154, X1N9, X1N267, X1N258, X1N249, X1N21, X1N13, X1N41, + X1N33, X1N61, X1N25, X1N53, X1N17, X1N45, X1N73, X1N37, X1N65, X1N29, + X1N57, X1N49, X1N77, X1N69; + MU_TITLE X1I1 (); + OBUF X1I11 (.I(LA[2]), .O(X1N13)); + IOBUF X1I112 (.I(LWD[8]), .IO(LD[8]), .O(LRD[8]), .T(X1N154)); + IOPAD X1I113 (.IOPAD(LD[8])); + IOBUF X1I119 (.I(LWD[9]), .IO(LD[9]), .O(LRD[9]), .T(X1N154)); + OPAD X1I12 (.OPAD(X1N13)); + IOPAD X1I120 (.IOPAD(LD[9])); + IOBUF X1I124 (.I(LWD[10]), .IO(LD[10]), .O(LRD[10]), .T(X1N154)); + IOPAD X1I125 (.IOPAD(LD[10])); + IOBUF X1I129 (.I(LWD[11]), .IO(LD[11]), .O(LRD[11]), .T(X1N154)); + IOPAD X1I130 (.IOPAD(LD[11])); + IOPAD X1I135 (.IOPAD(LD[12])); + IOBUF X1I136 (.I(LWD[12]), .IO(LD[12]), .O(LRD[12]), .T(X1N154)); + IOPAD X1I140 (.IOPAD(LD[13])); + IOBUF X1I141 (.I(LWD[13]), .IO(LD[13]), .O(LRD[13]), .T(X1N154)); + IOBUF X1I144 (.I(LWD[14]), .IO(LD[14]), .O(LRD[14]), .T(X1N154)); + IOPAD X1I145 (.IOPAD(LD[14])); + IOBUF X1I149 (.I(LWD[15]), .IO(LD[15]), .O(LRD[15]), .T(X1N154)); + OBUF X1I15 (.I(LA[3]), .O(X1N17)); + IOPAD X1I150 (.IOPAD(LD[15])); + OPAD X1I16 (.OPAD(X1N17)); + OBUF X1I19 (.I(LA[4]), .O(X1N21)); + OBUF X1I2 (.I(LA[0]), .O(X1N4)); + OPAD X1I20 (.OPAD(X1N21)); + IOBUF X1I206 (.I(LWD[7]), .IO(LD[7]), .O(LRD[7]), .T(X1N154)); + IOBUF X1I207 (.I(LWD[6]), .IO(LD[6]), .O(LRD[6]), .T(X1N154)); + IOBUF X1I208 (.I(LWD[5]), .IO(LD[5]), .O(LRD[5]), .T(X1N154)); + IOBUF X1I209 (.I(LWD[4]), .IO(LD[4]), .O(LRD[4]), .T(X1N154)); + IOBUF X1I210 (.I(LWD[3]), .IO(LD[3]), .O(LRD[3]), .T(X1N154)); + IOBUF X1I211 (.I(LWD[2]), .IO(LD[2]), .O(LRD[2]), .T(X1N154)); + IOBUF X1I212 (.I(LWD[1]), .IO(LD[1]), .O(LRD[1]), .T(X1N154)); + IOBUF X1I213 (.I(LWD[0]), .IO(LD[0]), .O(LRD[0]), .T(X1N154)); + IOPAD X1I214 (.IOPAD(LD[7])); + IOPAD X1I215 (.IOPAD(LD[6])); + IOPAD X1I216 (.IOPAD(LD[5])); + IOPAD X1I217 (.IOPAD(LD[4])); + IOPAD X1I218 (.IOPAD(LD[3])); + IOPAD X1I219 (.IOPAD(LD[2])); + IOPAD X1I220 (.IOPAD(LD[1])); + IOPAD X1I221 (.IOPAD(LD[0])); + OBUF X1I23 (.I(LA[5]), .O(X1N25)); + OPAD X1I24 (.OPAD(X1N25)); + OPAD X1I248 (.OPAD(X1N249)); + OBUF X1I250 (.I(LOE), .O(X1N249)); + IBUF X1I253 (.I(X1N258), .O(X1N154)); + OBUF X1I257 (.I(LWE), .O(X1N258)); + OPAD X1I259 (.OPAD(X1N258)); + OBUF X1I266 (.I(LCE), .O(X1N267)); + OPAD X1I268 (.OPAD(X1N267)); + OBUF X1I27 (.I(LA[6]), .O(X1N29)); + OPAD X1I28 (.OPAD(X1N29)); + OPAD X1I3 (.OPAD(X1N4)); + OBUF X1I31 (.I(LA[7]), .O(X1N33)); + OPAD X1I32 (.OPAD(X1N33)); + OBUF X1I35 (.I(LA[8]), .O(X1N37)); + OPAD X1I36 (.OPAD(X1N37)); + OBUF X1I39 (.I(LA[9]), .O(X1N41)); + OPAD X1I40 (.OPAD(X1N41)); + OBUF X1I43 (.I(LA[10]), .O(X1N45)); + OPAD X1I44 (.OPAD(X1N45)); + OBUF X1I47 (.I(LA[11]), .O(X1N49)); + OPAD X1I48 (.OPAD(X1N49)); + OBUF X1I51 (.I(LA[12]), .O(X1N53)); + OPAD X1I52 (.OPAD(X1N53)); + OBUF X1I55 (.I(LA[13]), .O(X1N57)); + OPAD X1I56 (.OPAD(X1N57)); + OBUF X1I59 (.I(LA[14]), .O(X1N61)); + OPAD X1I60 (.OPAD(X1N61)); + OBUF X1I63 (.I(LA[15]), .O(X1N65)); + OPAD X1I64 (.OPAD(X1N65)); + OBUF X1I67 (.I(LA[16]), .O(X1N69)); + OPAD X1I68 (.OPAD(X1N69)); + OBUF X1I7 (.I(LA[1]), .O(X1N9)); + OBUF X1I71 (.I(LA[17]), .O(X1N73)); + OPAD X1I72 (.OPAD(X1N73)); + OBUF X1I75 (.I(LA[18]), .O(X1N77)); + OPAD X1I76 (.OPAD(X1N77)); + OPAD X1I8 (.OPAD(X1N9)); + +endmodule // LSRAMANDCON + +module RSRAMANDCON (RA, RCE, RD, ROE, RRD, RWD, RWE); + wire X1N4, X1N270, X1N154, X1N9, X1N267, X1N249, X1N21, X1N13, X1N41, + X1N33, X1N61, X1N25, X1N53, X1N17, X1N45, X1N73, X1N37, X1N65, X1N29, + X1N57, X1N49, X1N77, X1N69; + MU_TITLE X1I1 (); + OBUF X1I11 (.I(RA[2]), .O(X1N13)); + IOBUF X1I112 (.I(RWD[8]), .IO(RD[8]), .O(RRD[8]), .T(X1N270)); + IOPAD X1I113 (.IOPAD(RD[8])); + IOBUF X1I119 (.I(RWD[9]), .IO(RD[9]), .O(RRD[9]), .T(X1N270)); + OPAD X1I12 (.OPAD(X1N13)); + IOPAD X1I120 (.IOPAD(RD[9])); + IOBUF X1I124 (.I(RWD[10]), .IO(RD[10]), .O(RRD[10]), .T(X1N270)); + IOPAD X1I125 (.IOPAD(RD[10])); + IOBUF X1I129 (.I(RWD[11]), .IO(RD[11]), .O(RRD[11]), .T(X1N270)); + IOPAD X1I130 (.IOPAD(RD[11])); + IOPAD X1I135 (.IOPAD(RD[12])); + IOBUF X1I136 (.I(RWD[12]), .IO(RD[12]), .O(RRD[12]), .T(X1N270)); + IOPAD X1I140 (.IOPAD(RD[13])); + IOBUF X1I141 (.I(RWD[13]), .IO(RD[13]), .O(RRD[13]), .T(X1N270)); + IOBUF X1I144 (.I(RWD[14]), .IO(RD[14]), .O(RRD[14]), .T(X1N270)); + IOPAD X1I145 (.IOPAD(RD[14])); + IOBUF X1I149 (.I(RWD[15]), .IO(RD[15]), .O(RRD[15]), .T(X1N270)); + OBUF X1I15 (.I(RA[3]), .O(X1N17)); + IOPAD X1I150 (.IOPAD(RD[15])); + OPAD X1I16 (.OPAD(X1N17)); + OBUF X1I19 (.I(RA[4]), .O(X1N21)); + OBUF X1I2 (.I(RA[0]), .O(X1N4)); + OPAD X1I20 (.OPAD(X1N21)); + IOBUF X1I206 (.I(RWD[7]), .IO(RD[7]), .O(RRD[7]), .T(X1N270)); + IOBUF X1I207 (.I(RWD[6]), .IO(RD[6]), .O(RRD[6]), .T(X1N270)); + IOBUF X1I208 (.I(RWD[5]), .IO(RD[5]), .O(RRD[5]), .T(X1N270)); + IOBUF X1I209 (.I(RWD[4]), .IO(RD[4]), .O(RRD[4]), .T(X1N270)); + IOBUF X1I210 (.I(RWD[3]), .IO(RD[3]), .O(RRD[3]), .T(X1N270)); + IOBUF X1I211 (.I(RWD[2]), .IO(RD[2]), .O(RRD[2]), .T(X1N270)); + IOBUF X1I212 (.I(RWD[1]), .IO(RD[1]), .O(RRD[1]), .T(X1N270)); + IOBUF X1I213 (.I(RWD[0]), .IO(RD[0]), .O(RRD[0]), .T(X1N270)); + IOPAD X1I214 (.IOPAD(RD[7])); + IOPAD X1I215 (.IOPAD(RD[6])); + IOPAD X1I216 (.IOPAD(RD[5])); + IOPAD X1I217 (.IOPAD(RD[4])); + IOPAD X1I218 (.IOPAD(RD[3])); + IOPAD X1I219 (.IOPAD(RD[2])); + IOPAD X1I220 (.IOPAD(RD[1])); + IOPAD X1I221 (.IOPAD(RD[0])); + OBUF X1I23 (.I(RA[5]), .O(X1N25)); + OPAD X1I24 (.OPAD(X1N25)); + OPAD X1I248 (.OPAD(X1N249)); + OBUF X1I250 (.I(ROE), .O(X1N249)); + OBUF X1I257 (.I(RWE), .O(X1N154)); + OPAD X1I259 (.OPAD(X1N154)); + OBUF X1I266 (.I(RCE), .O(X1N267)); + OPAD X1I268 (.OPAD(X1N267)); + OBUF X1I27 (.I(RA[6]), .O(X1N29)); + IBUF X1I271 (.I(X1N154), .O(X1N270)); + OPAD X1I28 (.OPAD(X1N29)); + OPAD X1I3 (.OPAD(X1N4)); + OBUF X1I31 (.I(RA[7]), .O(X1N33)); + OPAD X1I32 (.OPAD(X1N33)); + OBUF X1I35 (.I(RA[8]), .O(X1N37)); + OPAD X1I36 (.OPAD(X1N37)); + OBUF X1I39 (.I(RA[9]), .O(X1N41)); + OPAD X1I40 (.OPAD(X1N41)); + OBUF X1I43 (.I(RA[10]), .O(X1N45)); + OPAD X1I44 (.OPAD(X1N45)); + OBUF X1I47 (.I(RA[11]), .O(X1N49)); + OPAD X1I48 (.OPAD(X1N49)); + OBUF X1I51 (.I(RA[12]), .O(X1N53)); + OPAD X1I52 (.OPAD(X1N53)); + OBUF X1I55 (.I(RA[13]), .O(X1N57)); + OPAD X1I56 (.OPAD(X1N57)); + OBUF X1I59 (.I(RA[14]), .O(X1N61)); + OPAD X1I60 (.OPAD(X1N61)); + OBUF X1I63 (.I(RA[15]), .O(X1N65)); + OPAD X1I64 (.OPAD(X1N65)); + OBUF X1I67 (.I(RA[16]), .O(X1N69)); + OPAD X1I68 (.OPAD(X1N69)); + OBUF X1I7 (.I(RA[1]), .O(X1N9)); + OBUF X1I71 (.I(RA[17]), .O(X1N73)); + OPAD X1I72 (.OPAD(X1N73)); + OBUF X1I75 (.I(RA[18]), .O(X1N77)); + OPAD X1I76 (.OPAD(X1N77)); + OPAD X1I8 (.OPAD(X1N9)); + +endmodule // RSRAMANDCON + +module MEM (ADDRESS, CE, MEM_READ_DATA, OE, WR, WRITE_DATA); + wire NCE, X1N13, X1N19; + LSRAMANDCON X1I1 (.LA({ADDRESS[20], ADDRESS[19], ADDRESS[18], ADDRESS[17] + , ADDRESS[16], ADDRESS[15], ADDRESS[14], ADDRESS[13], ADDRESS[12], + ADDRESS[11], ADDRESS[10], ADDRESS[9], ADDRESS[8], ADDRESS[7], ADDRESS[6] + , ADDRESS[5], ADDRESS[4], ADDRESS[3], ADDRESS[2]}), .LCE(NCE), .LOE + (X1N13), .LRD({MEM_READ_DATA[15], MEM_READ_DATA[14], MEM_READ_DATA[13], + MEM_READ_DATA[12], MEM_READ_DATA[11], MEM_READ_DATA[10], + MEM_READ_DATA[9], MEM_READ_DATA[8], MEM_READ_DATA[7], MEM_READ_DATA[6], + MEM_READ_DATA[5], MEM_READ_DATA[4], MEM_READ_DATA[3], MEM_READ_DATA[2], + MEM_READ_DATA[1], MEM_READ_DATA[0]}), .LWD({WRITE_DATA[15], + WRITE_DATA[14], WRITE_DATA[13], WRITE_DATA[12], WRITE_DATA[11], + WRITE_DATA[10], WRITE_DATA[9], WRITE_DATA[8], WRITE_DATA[7], + WRITE_DATA[6], WRITE_DATA[5], WRITE_DATA[4], WRITE_DATA[3], + WRITE_DATA[2], WRITE_DATA[1], WRITE_DATA[0]}), .LWE(X1N19)); + INV X1I17 (.I(WR), .O(X1N19)); + RSRAMANDCON X1I2 (.RA({ADDRESS[20], ADDRESS[19], ADDRESS[18], ADDRESS[17] + , ADDRESS[16], ADDRESS[15], ADDRESS[14], ADDRESS[13], ADDRESS[12], + ADDRESS[11], ADDRESS[10], ADDRESS[9], ADDRESS[8], ADDRESS[7], ADDRESS[6] + , ADDRESS[5], ADDRESS[4], ADDRESS[3], ADDRESS[2]}), .RCE(NCE), .ROE + (X1N13), .RRD({MEM_READ_DATA[31], MEM_READ_DATA[30], MEM_READ_DATA[29], + MEM_READ_DATA[28], MEM_READ_DATA[27], MEM_READ_DATA[26], + MEM_READ_DATA[25], MEM_READ_DATA[24], MEM_READ_DATA[23], + MEM_READ_DATA[22], MEM_READ_DATA[21], MEM_READ_DATA[20], + MEM_READ_DATA[19], MEM_READ_DATA[18], MEM_READ_DATA[17], + MEM_READ_DATA[16]}), .RWD({WRITE_DATA[31], WRITE_DATA[30], + WRITE_DATA[29], WRITE_DATA[28], WRITE_DATA[27], WRITE_DATA[26], + WRITE_DATA[25], WRITE_DATA[24], WRITE_DATA[23], WRITE_DATA[22], + WRITE_DATA[21], WRITE_DATA[20], WRITE_DATA[19], WRITE_DATA[18], + WRITE_DATA[17], WRITE_DATA[16]}), .RWE(X1N19)); + INV X1I257 (.I(CE), .O(NCE)); + INV X1I263 (.I(OE), .O(X1N13)); + +endmodule // MEM + +module DCOUNT (CLK, EN, IN, LOAD, O, ZERO); + wire [31:0] S, O, I, A, IN; + wire [15:0] GB, G; + wire X1N131, X1N115, X1N107, X1N71, X1N62, X1N57, X1N88; + BUF X1I100 (.I(X1N115), .O(G[7])); + BUF X1I101 (.I(X1N115), .O(G[6])); + BUF X1I102 (.I(X1N115), .O(G[3])); + BUF X1I103 (.I(X1N115), .O(G[1])); + BUF X1I104 (.I(X1N115), .O(G[0])); + INV X1I114 (.I(X1N115), .O(X1N71)); + ADD16 IGNORE_NO_LOAD8 (.A({O[15], O[14], O[13], O[12], O[11], O[10], O[9] + , O[8], O[7], O[6], O[5], O[4], O[3], O[2], O[1], O[0]}), .B({G[15], + G[14], G[13], G[12], G[11], G[10], G[9], G[8], G[7], G[6], G[5], G[4], + G[3], G[2], G[1], G[0]}), .CI(X1N71), .CO(X1N107), .S({S[15], S[14], + S[13], S[12], S[11], S[10], S[9], S[8], S[7], S[6], S[5], S[4], S[3], + S[2], S[1], S[0]})); + ADD16 IGNORE_NO_LOAD9 (.A({O[31], O[30], O[29], O[28], O[27], O[26], O[25] + , O[24], O[23], O[22], O[21], O[20], O[19], O[18], O[17], O[16]}), .B({ + GB[15], GB[14], GB[13], GB[12], GB[11], GB[10], GB[9], GB[8], GB[7], + GB[6], GB[5], GB[4], GB[3], GB[2], GB[1], GB[0]}), .CI(X1N107), .CO + (X1N131), .S({S[31], S[30], S[29], S[28], S[27], S[26], S[25], S[24], + S[23], S[22], S[21], S[20], S[19], S[18], S[17], S[16]})); + BUF X1I120 (.I(X1N115), .O(G[2])); + VCC X1I122 (.P(X1N88)); + VCC X1I125 (.P(X1N115)); + MUX2_1X32 X1I126 (.A({S[31], S[30], S[29], S[28], S[27], S[26], S[25], + S[24], S[23], S[22], S[21], S[20], S[19], S[18], S[17], S[16], S[15], + S[14], S[13], S[12], S[11], S[10], S[9], S[8], S[7], S[6], S[5], S[4], + S[3], S[2], S[1], S[0]}), .B({IN[31], IN[30], IN[29], IN[28], IN[27], + IN[26], IN[25], IN[24], IN[23], IN[22], IN[21], IN[20], IN[19], IN[18], + IN[17], IN[16], IN[15], IN[14], IN[13], IN[12], IN[11], IN[10], IN[9], + IN[8], IN[7], IN[6], IN[5], IN[4], IN[3], IN[2], IN[1], IN[0]}), .SB + (LOAD), .S({I[31], I[30], I[29], I[28], I[27], I[26], I[25], I[24], + I[23], I[22], I[21], I[20], I[19], I[18], I[17], I[16], I[15], I[14], + I[13], I[12], I[11], I[10], I[9], I[8], I[7], I[6], I[5], I[4], I[3], + I[2], I[1], I[0]})); + OR2 X1I135 (.I0(LOAD), .I1(EN), .O(X1N62)); + INV X1I138 (.I(X1N131), .O(ZERO)); + FD16CE X1I55 (.C(CLK), .CE(X1N62), .CLR(X1N57), .D({I[15], I[14], I[13], + I[12], I[11], I[10], I[9], I[8], I[7], I[6], I[5], I[4], I[3], I[2], + I[1], I[0]}), .Q({O[15], O[14], O[13], O[12], O[11], O[10], O[9], O[8], + O[7], O[6], O[5], O[4], O[3], O[2], O[1], O[0]})); + FD16CE X1I56 (.C(CLK), .CE(X1N62), .CLR(X1N57), .D({I[31], I[30], I[29], + I[28], I[27], I[26], I[25], I[24], I[23], I[22], I[21], I[20], I[19], + I[18], I[17], I[16]}), .Q({O[31], O[30], O[29], O[28], O[27], O[26], + O[25], O[24], O[23], O[22], O[21], O[20], O[19], O[18], O[17], O[16]})); + GND X1I59 (.G(X1N57)); + BUF X1I72 (.I(X1N88), .O(GB[0])); + BUF X1I73 (.I(X1N88), .O(GB[1])); + BUF X1I74 (.I(X1N88), .O(GB[3])); + BUF X1I75 (.I(X1N88), .O(GB[2])); + BUF X1I76 (.I(X1N88), .O(GB[6])); + BUF X1I77 (.I(X1N88), .O(GB[7])); + BUF X1I78 (.I(X1N88), .O(GB[5])); + BUF X1I79 (.I(X1N88), .O(GB[4])); + BUF X1I80 (.I(X1N88), .O(GB[10])); + BUF X1I81 (.I(X1N88), .O(GB[11])); + BUF X1I82 (.I(X1N88), .O(GB[9])); + BUF X1I83 (.I(X1N88), .O(GB[8])); + BUF X1I84 (.I(X1N88), .O(GB[14])); + BUF X1I85 (.I(X1N88), .O(GB[15])); + BUF X1I86 (.I(X1N88), .O(GB[13])); + BUF X1I87 (.I(X1N88), .O(GB[12])); + BUF X1I90 (.I(X1N115), .O(G[12])); + BUF X1I91 (.I(X1N115), .O(G[13])); + BUF X1I92 (.I(X1N115), .O(G[15])); + BUF X1I93 (.I(X1N115), .O(G[14])); + BUF X1I94 (.I(X1N115), .O(G[8])); + BUF X1I95 (.I(X1N115), .O(G[9])); + BUF X1I96 (.I(X1N115), .O(G[11])); + BUF X1I97 (.I(X1N115), .O(G[10])); + BUF X1I98 (.I(X1N115), .O(G[4])); + BUF X1I99 (.I(X1N115), .O(G[5])); + +// WARNING - Component IGNORE_NO_LOAD9 has unconnected pins: 0 input, 1 output, 0 inout. +// WARNING - Component IGNORE_NO_LOAD8 has unconnected pins: 0 input, 1 output, 0 inout. +endmodule // DCOUNT + +module CMP_EQ_5 (A, B, O); + wire X1N51, X1N53, X1N73, X1N55, X1N57, X1N49, X1N68; + XOR2 X1I33 (.I0(A[4]), .I1(B[4]), .O(X1N49)); + XOR2 X1I34 (.I0(A[3]), .I1(B[3]), .O(X1N51)); + XOR2 X1I35 (.I0(A[2]), .I1(B[2]), .O(X1N53)); + XOR2 X1I36 (.I0(A[1]), .I1(B[1]), .O(X1N55)); + XOR2 X1I37 (.I0(A[0]), .I1(B[0]), .O(X1N57)); + NOR5 X1I60 (.I0(B[0]), .I1(B[1]), .I2(B[2]), .I3(B[3]), .I4(B[4]), .O + (X1N68)); + OR4 X1I71 (.I0(X1N57), .I1(X1N55), .I2(X1N53), .I3(X1N51), .O(X1N73)); + NOR3 X1I72 (.I0(X1N73), .I1(X1N49), .I2(X1N68), .O(O)); + +endmodule // CMP_EQ_5 + +module ADD32 (A, B, S); + output [31:0] S; + input [31:0] B; + input [31:0] A; + wire X1N34, X1N28, X1N38; + ADD16 IGNORE_NO_LOAD8 (.A({A[31], A[30], A[29], A[28], A[27], A[26], A[25] + , A[24], A[23], A[22], A[21], A[20], A[19], A[18], A[17], A[16]}), .B({ + B[31], B[30], B[29], B[28], B[27], B[26], B[25], B[24], B[23], B[22], + B[21], B[20], B[19], B[18], B[17], B[16]}), .CI(X1N28), .S({S[31], S[30] + , S[29], S[28], S[27], S[26], S[25], S[24], S[23], S[22], S[21], S[20], + S[19], S[18], S[17], S[16]})); + ADD16 IGNORE_NO_LOAD7 (.A({A[15], A[14], A[13], A[12], A[11], A[10], A[9] + , A[8], A[7], A[6], A[5], A[4], A[3], A[2], A[1], A[0]}), .B({B[15], + B[14], B[13], B[12], B[11], B[10], B[9], B[8], B[7], B[6], B[5], B[4], + B[3], B[2], B[1], B[0]}), .CI(X1N38), .CO(X1N34), .S({S[15], S[14], + S[13], S[12], S[11], S[10], S[9], S[8], S[7], S[6], S[5], S[4], S[3], + S[2], S[1], S[0]})); + BUF X1I33 (.I(X1N34), .O(X1N28)); + GND X1I42 (.G(X1N38)); + +// WARNING - Component IGNORE_NO_LOAD7 has unconnected pins: 0 input, 1 output, 0 inout. +// WARNING - Component IGNORE_NO_LOAD8 has unconnected pins: 0 input, 2 output, 0 inout. +endmodule // ADD32 + +module NULL25TO0 (I, NULL, O); + AND2B1 X1I13 (.I0(NULL), .I1(I[17]), .O(O[17])); + BUF X1I134 (.I(I[29]), .O(O[29])); + BUF X1I135 (.I(I[28]), .O(O[28])); + AND2B1 X1I14 (.I0(NULL), .I1(I[18]), .O(O[18])); + AND2B1 X1I141 (.I0(NULL), .I1(I[26]), .O(O[26])); + AND2B1 X1I145 (.I0(NULL), .I1(I[27]), .O(O[27])); + AND2B1 X1I17 (.I0(NULL), .I1(I[19]), .O(O[19])); + AND2B1 X1I18 (.I0(NULL), .I1(I[21]), .O(O[21])); + AND2B1 X1I22 (.I0(NULL), .I1(I[20]), .O(O[20])); + AND2B1 X1I23 (.I0(NULL), .I1(I[22]), .O(O[22])); + AND2B1 X1I26 (.I0(NULL), .I1(I[23]), .O(O[23])); + AND2B1 X1I27 (.I0(NULL), .I1(I[25]), .O(O[25])); + AND2B1 X1I3 (.I0(NULL), .I1(I[14]), .O(O[14])); + AND2B1 X1I31 (.I0(NULL), .I1(I[24]), .O(O[24])); + AND2B1 X1I46 (.I0(NULL), .I1(I[11]), .O(O[11])); + AND2B1 X1I48 (.I0(NULL), .I1(I[12]), .O(O[12])); + AND2B1 X1I50 (.I0(NULL), .I1(I[13]), .O(O[13])); + AND2B1 X1I6 (.I0(NULL), .I1(I[15]), .O(O[15])); + AND2B1 X1I63 (.I0(NULL), .I1(I[5]), .O(O[5])); + AND2B1 X1I64 (.I0(NULL), .I1(I[6]), .O(O[6])); + AND2B1 X1I65 (.I0(NULL), .I1(I[8]), .O(O[8])); + AND2B1 X1I66 (.I0(NULL), .I1(I[7]), .O(O[7])); + AND2B1 X1I67 (.I0(NULL), .I1(I[9]), .O(O[9])); + AND2B1 X1I77 (.I0(NULL), .I1(I[4]), .O(O[4])); + AND2B1 X1I78 (.I0(NULL), .I1(I[3]), .O(O[3])); + AND2B1 X1I79 (.I0(NULL), .I1(I[2]), .O(O[2])); + AND2B1 X1I81 (.I0(NULL), .I1(I[10]), .O(O[10])); + AND2B1 X1I83 (.I0(NULL), .I1(I[1]), .O(O[1])); + AND2B1 X1I87 (.I0(NULL), .I1(I[0]), .O(O[0])); + AND2B1 X1I9 (.I0(NULL), .I1(I[16]), .O(O[16])); + BUF X1I90 (.I(I[31]), .O(O[31])); + BUF X1I91 (.I(I[30]), .O(O[30])); + +endmodule // NULL25TO0 + +module SHIFTER (ARITH, I, O, RIGHT, SHIFT); + wire [31:0] A, B, C, D, E, O, G, MASK, MASKXNORRIGHT; + wire [4:0] SHIFT; + wire X1N100, X1N130, X1N122, X1N113, X1N132, X1N114, X1N160, X1N151, + X1N115, X1N106, X1N134, X1N4, X1N153, X1N163, X1N154, X1N145, X1N127, + X1N118, X1N146, X1N137, X1N903, X1N147, X1N922, X1N148, X1N932, X1N923, + X1N167, X1N654, X1N907, X1N926, X1N927, X1N964, X1N919, X1N965, X1N966, + X1N894, X1N949, X1N70, X1N91, X1N73, X1N93, X1N76, X1N86, X1N68, X1N97, + X1N88, X1N89; + MUX2_1X32 X1I1 (.A({I[31], I[30], I[29], I[28], I[27], I[26], I[25], I[24] + , I[23], I[22], I[21], I[20], I[19], I[18], I[17], I[16], I[15], I[14], + I[13], I[12], I[11], I[10], I[9], I[8], I[7], I[6], I[5], I[4], I[3], + I[2], I[1], I[0]}), .B({I[30], I[29], I[28], I[27], I[26], I[25], I[24] + , I[23], I[22], I[21], I[20], I[19], I[18], I[17], I[16], I[15], I[14], + I[13], I[12], I[11], I[10], I[9], I[8], I[7], I[6], I[5], I[4], I[3], + I[2], I[1], I[0], I[31]}), .SB(X1N949), .S({A[31], A[30], A[29], A[28], + A[27], A[26], A[25], A[24], A[23], A[22], A[21], A[20], A[19], A[18], + A[17], A[16], A[15], A[14], A[13], A[12], A[11], A[10], A[9], A[8], A[7] + , A[6], A[5], A[4], A[3], A[2], A[1], A[0]})); + OR2 X1I104 (.I0(X1N106), .I1(MASK[10]), .O(MASK[11])); + OR2 X1I109 (.I0(X1N113), .I1(MASK[11]), .O(MASK[12])); + MUX2_1X32 X1I11 (.A({B[31], B[30], B[29], B[28], B[27], B[26], B[25], + B[24], B[23], B[22], B[21], B[20], B[19], B[18], B[17], B[16], B[15], + B[14], B[13], B[12], B[11], B[10], B[9], B[8], B[7], B[6], B[5], B[4], + B[3], B[2], B[1], B[0]}), .B({B[27], B[26], B[25], B[24], B[23], B[22], + B[21], B[20], B[19], B[18], B[17], B[16], B[15], B[14], B[13], B[12], + B[11], B[10], B[9], B[8], B[7], B[6], B[5], B[4], B[3], B[2], B[1], B[0] + , B[31], B[30], B[29], B[28]}), .SB(X1N965), .S({C[31], C[30], C[29], + C[28], C[27], C[26], C[25], C[24], C[23], C[22], C[21], C[20], C[19], + C[18], C[17], C[16], C[15], C[14], C[13], C[12], C[11], C[10], C[9], + C[8], C[7], C[6], C[5], C[4], C[3], C[2], C[1], C[0]})); + OR2 X1I111 (.I0(X1N115), .I1(MASK[12]), .O(MASK[13])); + OR2 X1I116 (.I0(X1N114), .I1(MASK[13]), .O(MASK[14])); + OR2 X1I117 (.I0(X1N118), .I1(MASK[14]), .O(MASK[15])); + OR2 X1I120 (.I0(X1N146), .I1(MASK[22]), .O(MASK[23])); + OR2 X1I121 (.I0(X1N154), .I1(MASK[29]), .O(MASK[30])); + OR2 X1I123 (.I0(X1N153), .I1(MASK[28]), .O(MASK[29])); + OR2 X1I124 (.I0(X1N130), .I1(MASK[19]), .O(MASK[20])); + OR2 X1I125 (.I0(X1N148), .I1(MASK[24]), .O(MASK[25])); + OR2 X1I126 (.I0(X1N127), .I1(MASK[23]), .O(MASK[24])); + OR2 X1I128 (.I0(X1N134), .I1(MASK[17]), .O(MASK[18])); + OR2 X1I131 (.I0(X1N132), .I1(MASK[16]), .O(MASK[17])); + OR2 X1I136 (.I0(X1N137), .I1(MASK[18]), .O(MASK[19])); + OR2 X1I141 (.I0(X1N145), .I1(MASK[20]), .O(MASK[21])); + OR2 X1I143 (.I0(X1N147), .I1(MASK[21]), .O(MASK[22])); + OR2 X1I150 (.I0(X1N151), .I1(MASK[25]), .O(MASK[26])); + OR2 X1I157 (.I0(X1N122), .I1(MASK[27]), .O(MASK[28])); + MUX2_1X32 X1I16 (.A({C[31], C[30], C[29], C[28], C[27], C[26], C[25], + C[24], C[23], C[22], C[21], C[20], C[19], C[18], C[17], C[16], C[15], + C[14], C[13], C[12], C[11], C[10], C[9], C[8], C[7], C[6], C[5], C[4], + C[3], C[2], C[1], C[0]}), .B({C[23], C[22], C[21], C[20], C[19], C[18], + C[17], C[16], C[15], C[14], C[13], C[12], C[11], C[10], C[9], C[8], C[7] + , C[6], C[5], C[4], C[3], C[2], C[1], C[0], C[31], C[30], C[29], C[28], + C[27], C[26], C[25], C[24]}), .SB(X1N966), .S({D[31], D[30], D[29], + D[28], D[27], D[26], D[25], D[24], D[23], D[22], D[21], D[20], D[19], + D[18], D[17], D[16], D[15], D[14], D[13], D[12], D[11], D[10], D[9], + D[8], D[7], D[6], D[5], D[4], D[3], D[2], D[1], D[0]})); + OR2 X1I161 (.I0(X1N160), .I1(MASK[26]), .O(MASK[27])); + OR2 X1I162 (.I0(X1N163), .I1(MASK[30]), .O(MASK[31])); + OR2 X1I165 (.I0(X1N167), .I1(MASK[15]), .O(MASK[16])); + MUX2_1X32 X1I19 (.A({D[31], D[30], D[29], D[28], D[27], D[26], D[25], + D[24], D[23], D[22], D[21], D[20], D[19], D[18], D[17], D[16], D[15], + D[14], D[13], D[12], D[11], D[10], D[9], D[8], D[7], D[6], D[5], D[4], + D[3], D[2], D[1], D[0]}), .B({D[15], D[14], D[13], D[12], D[11], D[10], + D[9], D[8], D[7], D[6], D[5], D[4], D[3], D[2], D[1], D[0], D[31], D[30] + , D[29], D[28], D[27], D[26], D[25], D[24], D[23], D[22], D[21], D[20], + D[19], D[18], D[17], D[16]}), .SB(X1N4), .S({E[31], E[30], E[29], E[28] + , E[27], E[26], E[25], E[24], E[23], E[22], E[21], E[20], E[19], E[18], + E[17], E[16], E[15], E[14], E[13], E[12], E[11], E[10], E[9], E[8], E[7] + , E[6], E[5], E[4], E[3], E[2], E[1], E[0]})); + BUF X1I206 (.I(RIGHT), .O(G[12])); + BUF X1I207 (.I(RIGHT), .O(G[13])); + BUF X1I208 (.I(RIGHT), .O(G[15])); + BUF X1I209 (.I(RIGHT), .O(G[14])); + BUF X1I210 (.I(RIGHT), .O(G[8])); + BUF X1I211 (.I(RIGHT), .O(G[9])); + BUF X1I212 (.I(RIGHT), .O(G[11])); + BUF X1I213 (.I(RIGHT), .O(G[10])); + BUF X1I214 (.I(RIGHT), .O(G[4])); + BUF X1I215 (.I(RIGHT), .O(G[5])); + BUF X1I216 (.I(RIGHT), .O(G[7])); + BUF X1I217 (.I(RIGHT), .O(G[6])); + BUF X1I218 (.I(RIGHT), .O(G[2])); + BUF X1I219 (.I(RIGHT), .O(G[3])); + BUF X1I220 (.I(RIGHT), .O(G[1])); + BUF X1I221 (.I(RIGHT), .O(G[0])); + XOR32_32_32 X1I223 (.A({MASK[31], MASK[30], MASK[29], MASK[28], MASK[27], + MASK[26], MASK[25], MASK[24], MASK[23], MASK[22], MASK[21], MASK[20], + MASK[19], MASK[18], MASK[17], MASK[16], MASK[15], MASK[14], MASK[13], + MASK[12], MASK[11], MASK[10], MASK[9], MASK[8], MASK[7], MASK[6], + MASK[5], MASK[4], MASK[3], MASK[2], MASK[1], MASK[0]}), .B({G[31], G[30] + , G[29], G[28], G[27], G[26], G[25], G[24], G[23], G[22], G[21], G[20], + G[19], G[18], G[17], G[16], G[15], G[14], G[13], G[12], G[11], G[10], + G[9], G[8], G[7], G[6], G[5], G[4], G[3], G[2], G[1], G[0]}), .O({ + MASKXNORRIGHT[31], MASKXNORRIGHT[30], MASKXNORRIGHT[29], + MASKXNORRIGHT[28], MASKXNORRIGHT[27], MASKXNORRIGHT[26], + MASKXNORRIGHT[25], MASKXNORRIGHT[24], MASKXNORRIGHT[23], + MASKXNORRIGHT[22], MASKXNORRIGHT[21], MASKXNORRIGHT[20], + MASKXNORRIGHT[19], MASKXNORRIGHT[18], MASKXNORRIGHT[17], + MASKXNORRIGHT[16], MASKXNORRIGHT[15], MASKXNORRIGHT[14], + MASKXNORRIGHT[13], MASKXNORRIGHT[12], MASKXNORRIGHT[11], + MASKXNORRIGHT[10], MASKXNORRIGHT[9], MASKXNORRIGHT[8], MASKXNORRIGHT[7] + , MASKXNORRIGHT[6], MASKXNORRIGHT[5], MASKXNORRIGHT[4], MASKXNORRIGHT[3] + , MASKXNORRIGHT[2], MASKXNORRIGHT[1], MASKXNORRIGHT[0]})); + BUF X1I226 (.I(RIGHT), .O(G[31])); + BUF X1I227 (.I(RIGHT), .O(G[16])); + BUF X1I228 (.I(RIGHT), .O(G[18])); + BUF X1I229 (.I(RIGHT), .O(G[17])); + BUF X1I230 (.I(RIGHT), .O(G[21])); + BUF X1I231 (.I(RIGHT), .O(G[22])); + BUF X1I232 (.I(RIGHT), .O(G[20])); + BUF X1I233 (.I(RIGHT), .O(G[19])); + BUF X1I234 (.I(RIGHT), .O(G[25])); + BUF X1I235 (.I(RIGHT), .O(G[26])); + BUF X1I236 (.I(RIGHT), .O(G[24])); + BUF X1I237 (.I(RIGHT), .O(G[23])); + BUF X1I238 (.I(RIGHT), .O(G[29])); + BUF X1I239 (.I(RIGHT), .O(G[30])); + BUF X1I240 (.I(RIGHT), .O(G[28])); + BUF X1I241 (.I(RIGHT), .O(G[27])); + M2_1 X1I260 (.D0(E[16]), .D1(X1N654), .O(O[16]), .S0(MASKXNORRIGHT[16])); + M2_1 X1I261 (.D0(E[17]), .D1(X1N654), .O(O[17]), .S0(MASKXNORRIGHT[17])); + M2_1 X1I262 (.D0(E[18]), .D1(X1N654), .O(O[18]), .S0(MASKXNORRIGHT[18])); + M2_1 X1I263 (.D0(E[19]), .D1(X1N654), .O(O[19]), .S0(MASKXNORRIGHT[19])); + M2_1 X1I264 (.D0(E[20]), .D1(X1N654), .O(O[20]), .S0(MASKXNORRIGHT[20])); + M2_1 X1I265 (.D0(E[21]), .D1(X1N654), .O(O[21]), .S0(MASKXNORRIGHT[21])); + M2_1 X1I266 (.D0(E[23]), .D1(X1N654), .O(O[23]), .S0(MASKXNORRIGHT[23])); + M2_1 X1I267 (.D0(E[22]), .D1(X1N654), .O(O[22]), .S0(MASKXNORRIGHT[22])); + M2_1 X1I276 (.D0(E[24]), .D1(X1N654), .O(O[24]), .S0(MASKXNORRIGHT[24])); + M2_1 X1I277 (.D0(E[25]), .D1(X1N654), .O(O[25]), .S0(MASKXNORRIGHT[25])); + M2_1 X1I278 (.D0(E[27]), .D1(X1N654), .O(O[27]), .S0(MASKXNORRIGHT[27])); + M2_1 X1I279 (.D0(E[26]), .D1(X1N654), .O(O[26]), .S0(MASKXNORRIGHT[26])); + M2_1 X1I280 (.D0(E[30]), .D1(X1N654), .O(O[30]), .S0(MASKXNORRIGHT[30])); + M2_1 X1I281 (.D0(E[31]), .D1(X1N654), .O(O[31]), .S0(MASKXNORRIGHT[31])); + M2_1 X1I282 (.D0(E[29]), .D1(X1N654), .O(O[29]), .S0(MASKXNORRIGHT[29])); + M2_1 X1I283 (.D0(E[28]), .D1(X1N654), .O(O[28]), .S0(MASKXNORRIGHT[28])); + M2_1 X1I506 (.D0(E[3]), .D1(X1N654), .O(O[3]), .S0(MASKXNORRIGHT[3])); + M2_1 X1I507 (.D0(E[1]), .D1(X1N654), .O(O[1]), .S0(MASKXNORRIGHT[1])); + M2_1 X1I508 (.D0(E[0]), .D1(X1N654), .O(O[0]), .S0(MASKXNORRIGHT[0])); + M2_1 X1I509 (.D0(E[2]), .D1(X1N654), .O(O[2]), .S0(MASKXNORRIGHT[2])); + M2_1 X1I510 (.D0(E[6]), .D1(X1N654), .O(O[6]), .S0(MASKXNORRIGHT[6])); + M2_1 X1I511 (.D0(E[7]), .D1(X1N654), .O(O[7]), .S0(MASKXNORRIGHT[7])); + M2_1 X1I512 (.D0(E[5]), .D1(X1N654), .O(O[5]), .S0(MASKXNORRIGHT[5])); + M2_1 X1I513 (.D0(E[4]), .D1(X1N654), .O(O[4]), .S0(MASKXNORRIGHT[4])); + M2_1 X1I514 (.D0(E[12]), .D1(X1N654), .O(O[12]), .S0(MASKXNORRIGHT[15])); + M2_1 X1I515 (.D0(E[13]), .D1(X1N654), .O(O[13]), .S0(MASKXNORRIGHT[14])); + M2_1 X1I516 (.D0(E[15]), .D1(X1N654), .O(O[15]), .S0(MASKXNORRIGHT[12])); + M2_1 X1I517 (.D0(E[14]), .D1(X1N654), .O(O[14]), .S0(MASKXNORRIGHT[13])); + M2_1 X1I518 (.D0(E[10]), .D1(X1N654), .O(O[10]), .S0(MASKXNORRIGHT[10])); + M2_1 X1I519 (.D0(E[11]), .D1(X1N654), .O(O[11]), .S0(MASKXNORRIGHT[11])); + M2_1 X1I520 (.D0(E[9]), .D1(X1N654), .O(O[9]), .S0(MASKXNORRIGHT[9])); + M2_1 X1I521 (.D0(E[8]), .D1(X1N654), .O(O[8]), .S0(MASKXNORRIGHT[8])); + D4_16E X1I53 (.A0(X1N949), .A1(X1N964), .A2(X1N965), .A3(X1N966), .D0 + (MASK[0]), .D1(X1N70), .D10(X1N100), .D11(X1N106), .D12(X1N113), .D13 + (X1N115), .D14(X1N114), .D15(X1N118), .D2(X1N73), .D3(X1N76), .D4(X1N86) + , .D5(X1N88), .D6(X1N91), .D7(X1N89), .D8(X1N93), .D9(X1N97), .E(X1N68) + ); + D4_16E X1I60 (.A0(X1N949), .A1(X1N964), .A2(X1N965), .A3(X1N966), .D0 + (X1N167), .D1(X1N132), .D10(X1N151), .D11(X1N160), .D12(X1N122), .D13 + (X1N153), .D14(X1N154), .D15(X1N163), .D2(X1N134), .D3(X1N137), .D4 + (X1N130), .D5(X1N145), .D6(X1N147), .D7(X1N146), .D8(X1N127), .D9 + (X1N148), .E(X1N4)); + INV X1I67 (.I(X1N4), .O(X1N68)); + OR2 X1I69 (.I0(X1N70), .I1(MASK[0]), .O(MASK[1])); + OR2 X1I72 (.I0(X1N73), .I1(MASK[1]), .O(MASK[2])); + OR2 X1I75 (.I0(X1N76), .I1(MASK[2]), .O(MASK[3])); + OR2 X1I78 (.I0(X1N86), .I1(MASK[3]), .O(MASK[4])); + MUX2_1X32 X1I8 (.A({A[31], A[30], A[29], A[28], A[27], A[26], A[25], A[24] + , A[23], A[22], A[21], A[20], A[19], A[18], A[17], A[16], A[15], A[14], + A[13], A[12], A[11], A[10], A[9], A[8], A[7], A[6], A[5], A[4], A[3], + A[2], A[1], A[0]}), .B({A[29], A[28], A[27], A[26], A[25], A[24], A[23] + , A[22], A[21], A[20], A[19], A[18], A[17], A[16], A[15], A[14], A[13], + A[12], A[11], A[10], A[9], A[8], A[7], A[6], A[5], A[4], A[3], A[2], + A[1], A[0], A[31], A[30]}), .SB(X1N964), .S({B[31], B[30], B[29], B[28] + , B[27], B[26], B[25], B[24], B[23], B[22], B[21], B[20], B[19], B[18], + B[17], B[16], B[15], B[14], B[13], B[12], B[11], B[10], B[9], B[8], B[7] + , B[6], B[5], B[4], B[3], B[2], B[1], B[0]})); + OR2 X1I80 (.I0(X1N88), .I1(MASK[4]), .O(MASK[5])); + OR2 X1I82 (.I0(X1N91), .I1(MASK[5]), .O(MASK[6])); + AND2 X1I822 (.I0(ARITH), .I1(I[31]), .O(X1N654)); + OR2 X1I84 (.I0(X1N89), .I1(MASK[6]), .O(MASK[7])); + XOR2 X1I881 (.I0(RIGHT), .I1(SHIFT[0]), .O(X1N894)); + XOR2 X1I884 (.I0(RIGHT), .I1(SHIFT[1]), .O(X1N907)); + XOR2 X1I885 (.I0(RIGHT), .I1(SHIFT[3]), .O(X1N926)); + XOR2 X1I888 (.I0(RIGHT), .I1(SHIFT[2]), .O(X1N922)); + XOR2 X1I890 (.I0(RIGHT), .I1(SHIFT[4]), .O(X1N932)); + XOR2 X1I891 (.I0(RIGHT), .I1(X1N894), .O(X1N949)); + AND2 X1I897 (.I0(RIGHT), .I1(X1N894), .O(X1N903)); + XOR2 X1I901 (.I0(X1N903), .I1(X1N907), .O(X1N964)); + AND2 X1I905 (.I0(X1N903), .I1(X1N907), .O(X1N919)); + OR2 X1I92 (.I0(X1N93), .I1(MASK[7]), .O(MASK[8])); + XOR2 X1I920 (.I0(X1N919), .I1(X1N922), .O(X1N965)); + AND2 X1I921 (.I0(X1N919), .I1(X1N922), .O(X1N923)); + XOR2 X1I924 (.I0(X1N923), .I1(X1N926), .O(X1N966)); + AND2 X1I925 (.I0(X1N923), .I1(X1N926), .O(X1N927)); + XOR2 X1I928 (.I0(X1N927), .I1(X1N932), .O(X1N4)); + OR2 X1I96 (.I0(X1N97), .I1(MASK[8]), .O(MASK[9])); + OR2 X1I99 (.I0(X1N100), .I1(MASK[9]), .O(MASK[10])); + +endmodule // SHIFTER + +module X1; + parameter + viewdraw_design_name = "1", + verilnet_use_refdes = 0, + verilnet_use_escaped_ids = 0, + verilnet_retain_busses = 1, + verilnet_illegal_name_prefix = "x", + verilnet_case_flag_comp = -1, + verilnet_case_flag_pin = -1, + verilnet_case_flag_io_net = -1, + verilnet_case_flag_wire = -1, + verilnet_case_flag_param = -1, + verilnet_case_flag_symbol = -1, + verilnet_case_flag_module = -1, + verilnet_case_flag_any = 1, + verilnet_replace_string_0 = "~n", + verilnet_replace_string_1 = "$x"; + wire [31:0] GND, MEMORY_BEFRE_WRITE, RAM_READ, ADDRESS, MEM_PC, + MMU_ENTRY_LO, EPC, CP0_ENTRY_HI_NEXT, LAST_PC_NULLED, PC_BR_IMM, ROM_DAT + , CACHE_INST_DAT, MMU_ENTRY_HI, CP0_ENTRY_HI, CP0_ENTRY_LO_NEXT, + RESETVECTOR, CP0_ENTRY_LO, NOTSYSCALLPC, MEM_RES, REGA_XNOR_REGB, REG_PC + , IMM, ALU_PC, NEXT_STORED_PC, PC_PLUS_FOUR, SHIFT_RES, CP0_HI_REGS, + RAM2_READ, REG_A_EXE, EXE_RES, REG_A, REG_B_MEM, REG_B_MEM_SHIFTED, + REG_B_MEM_SHIFTED_MASKED, REG_B, B_EXE_INPUT, REG_B_EXE_FF, MEM_FF, + NEXT_PC, BRANCH, LOAD_ROTATED_MASKED, MEM_ACCESS_ADDRESS, + CACHE_INSTRUCTION_PRE_DAT, PC_TO_PIPELINE, REG_B_EXE, REG_A_EXE_FF, + CACHE_OUT, MEM_DAT, CACHE_DAT, EXE_FF, CPO_BADVADDR, COUNTER, PC, + LOAD_ROTATED, ALU_RES, INSTRUCTION; + wire [19:0] DATA_PFN, INST_PFN, MMU_PFN, MMU_VPN; + wire [15:0] EXE_IMM, DISPLAY; + wire [15:2] CPO_CAUSE; + wire [31:21] CPO_CONTEXT; + wire [15:8] STATUS ; + wire [7:0] SERIAL_DATA; + wire [6:0] DISP_RIGHT, DISP_LEFT; + wire [5:0] MMU_INDEX_OUT, CP0_INDEX_NEXT, MMU_INDEX ; + wire [13:8] RANDOM, INDEX ; + wire [5:0] INT_DEC, INT_EXE, INT_EXE_OUT, INT_MEM_IN; + wire [4:0] CP0_INSTRUCTION, CPO_ALU_DEST, CPO_REG_SELECT, CPO_REG_DEST, + FETCH_SHIFT, SHIFT, REG_DEST_RT_RD, SIXTEEN, CPO_INSTRUCTION_EX, + RANDON_STATS, EXC_CODE, REG_DEST_MEM, REG_DEST_EXE, REG_DEST_WB, + REG_DEST_FETCH, IMM_SHIFT; + wire [3:0] OP; + wire MMU_NOT_VALID_DATA, MEM_FULL_WRITE, SHIFT_SET, REGA_EQ_REGB, + MEM_WRITE, INT_UNALIGNED_ACCESS, CPO_READ_EPC, SPECIAL, SET_R31, + CPO_WRITE, INTERRUPT, CPO_OUTPUT, BR_GEZ_LTZ, X1N600, MMU_TLB_LOOK_UP, + JUMPLONG, SEL_PORT_A_MEM, X1N740, X1N623, TAKEBRANCH, SEL_PORT_B_MEM, + MEM_WRITE_SOON, CLK, SW1, X1N616, SEL_PORT_A_ALU, VCC, SW2, + DATA_MEM_ACCESS, SEL_PORT_B_ALU, X1N637, OVERFLOW, INT_DEC_TLBL, + MMU_DIRTY, X1N739, X1N595, INTERRUPT_MEM, SPECIAL_EXE, SET_R31_EXE, + INT_INST_ERROR, BRANCH, STATUS0, RESET_IN, STATUS1, LDST_SHIFT0, + CP0_READ_CAUSE, STATUS2, LDST_SHIFT1, CPO_READ_ENTRY_HI, STATUS3, + MMU_TLB_READ, LDST_SHIFT2, STATUS4, MMU_HIT_DATA, DISPLAY16, + DATA_CACHE_HIT, STATUS5, LUI, ENABLE_RAM, CP0_RETURN_FROM_EXCEPTION, + CPO_READ_CONTEXT, CACHE, CPO_READ_RANDOM, CP0_WRITE_CAUSE, + CPO_WRITE_ENTRY_HI, CPO_READ_ENTRY_LO, MMU_TLB_WRITE, + MMU_DONT_CACHE_DATA, MEM_BRANCH, HALT0, ENABLE_DISPLAY, CP0_READ_STATUS + , SERIAL_ACK, HALT1, EXT_INTERRUPT, HALT2, HALT3, CPO_WRITE_CONTEXT, + CLK_50MHZ, MMU_HIT_INSTR, ENABLE_ROM, CPO_WRITE_ENTRY_LO, COUNTER_ZERO, + GLB_EN, ENABLE_COUNTER, CP0_WRITE_STATUS, INST_MEM_ACCESS, + INT_FETCH_ADEL, CLK1_NBUF, CPO_READ_BADVADDR, CLK2_NBUF, X1N2020, + X1N1120, SET_R0, ENABLE_SERIAL, MMU_HIT, MEM_CP_NO0, EXTERNAL_INTERRUPT1 + , X1N1050, MEM_CP_NO1, CPO_WRITTE_INDEX, X1N6001, X1N4112, X1N6030, + X1N6012, X1N3213, X1N1224, X1N1044, SELECT_CPO, X1N5230, X1N5212, + X1N4042, X1N3160, X1N1306, X1N1117, X1N1054, X1N5600, X1N5303, X1N5060, + X1N4142, X1N3422, X1N3161, X1N3071, X1N2612, X1N1307, X1N1046, + INT_COPROCESSOR_UNUSABLE, X1N6510, X1N5520, X1N4431, X1N4422, X1N3126, + X1N3090, X1N2280, X1N1821, X1N1092, X1N1083, CLK1, X1N6421, X1N6160, + X1N6151, X1N6025, X1N5620, X1N5521, X1N4810, X1N4234, X1N4072, X1N3730, + X1N3028, X1N1309, X1N1066, CPO_READ_INDEX, CLK2, X1N6323, X1N6161, + X1N6062, X1N5423, X1N5414, X1N5234, X1N4910, X1N4820, X1N4811, X1N3641, + X1N3272, X1N3245, X1N3164, X1N2282, X1N1058, INST_CACHE_HIT, X1N6171, + X1N6144, X1N5811, X1N5550, X1N5460, X1N5253, X1N5235, X1N5208, X1N4830, + X1N4821, X1N4812, X1N3741, X1N3255, X1N3246, X1N3075, X1N3057, X1N2760, + X1N2274, X1N1068, X1N1059, MMU_DONT_CACHE, X1N6631, X1N6613, X1N6460, + X1N6433, X1N6127, X1N6037, X1N5524, X1N5425, X1N5407, X1N5254, X1N4903, + X1N4831, X1N4822, X1N4813, X1N3715, X1N1870, X1N1069, MEMORY, X1N6830, + X1N6461, X1N6434, X1N6218, X1N6173, X1N5732, X1N5723, X1N5651, X1N5624, + X1N5561, X1N5552, X1N5516, X1N5507, X1N5381, X1N5327, X1N5273, X1N5147, + X1N4832, X1N4148, X1N4067, X1N3266, X1N3194, X1N2276, X1N1187, X1N1079, + X1N6831, X1N6705, X1N6462, X1N6156, X1N5706, X1N5670, X1N5625, X1N5616, + X1N5418, X1N5382, X1N5229, X1N5076, X1N4833, X1N4770, X1N4176, X1N3834, + X1N3744, X1N3267, X1N1296, X1N1089, X1N6832, X1N6634, X1N6409, X1N6337, + X1N6175, X1N6067, X1N5932, X1N5734, X1N5671, X1N5383, X1N5248, X1N4771, + X1N4672, X1N4177, X1N3817, X1N3655, X1N3367, X1N3295, X1N1297, INDEX31, + X1N6833, X1N6590, X1N6527, X1N6365, X1N6158, X1N5951, X1N5726, X1N5618, + X1N5519, X1N5249, X1N4853, X1N4808, X1N4772, X1N3683, X1N3557, X1N6933, + X1N6663, X1N6609, X1N6519, X1N6456, X1N6177, X1N5754, X1N5736, X1N5628, + X1N5619, X1N5547, X1N5268, X1N4935, X1N4908, X1N4863, X1N4818, X1N4809, + X1N3837, X1N3738, X1N2874, X1N1596, INST_ADDR_ERROR, X1N6826, X1N6709, + X1N6457, X1N6439, X1N6169, X1N5764, X1N5746, X1N5728, X1N5287, X1N4990, + X1N4909, X1N4891, X1N4864, X1N4819, X1N3766, X1N3757, X1N6944, X1N6836, + X1N6656, X1N6395, X1N5684, X1N5666, X1N5567, X1N5459, X1N4865, X1N4856, + X1N4829, X1N3299, MMU_DONT_CACHE_INTR, X1N6846, X1N6837, X1N6396, + X1N5748, X1N5559, X1N4992, X1N4884, X1N4866, X1N4767, V_ADDRESS_ERROR, + X1N6667, X1N5947, X1N5686, X1N5668, X1N5596, X1N4867, X1N4777, X1N4768, + STATUS30, INSTRUCTION_LOADING_IN_MEM_STAGE, X1N6938, X1N6659, X1N5975, + X1N5696, X1N4895, X1N4868, X1N4769, X1N1799, STATUS31, STATUS22, + MMU_TLB_WRITE_RANDOM, INT_FETCH_TLBL, X1N5688, X1N5598, X1N4986, X1N3798 + , MOV_CP, X1N6697, X1N5788, X1N4988, FLUSH, CPO_CAUSE31, X1N6889, RESET + , TLB_REFIL, STATUS28, MEM_CP_ACCESS, END_READ_B4_WRITE, ENABLE_RAM2, + STATUS29, LOAD, I_TYPE, SERIAL_REQUEST, + ILL_DAMN_WELL_CONNECT_IT_TO_THE_CLOCK, END_READ, CPO_CAUSE28, + CPO_CAUSE29, OUTPUT, CPO_READ_PRID, MMU_DIRTY_DATA, JMP2REG, + INT_DEC_ADEL, END_WRITE, BR_INSTRUCTION; + supply0 GND; + FDE X1I1017 (.C(CLK1), .CE(GLB_EN), .D(X1N1054), .Q(OP[2])); + FDE X1I1018 (.C(CLK1), .CE(GLB_EN), .D(X1N1044), .Q(OP[3])); + FDE X1I1019 (.C(CLK1), .CE(GLB_EN), .D(X1N1066), .Q(OP[1])); + FDSE X1I1020 (.C(CLK1), .CE(GLB_EN), .D(X1N1079), .Q(OP[0]), .S(FLUSH)); + REG5 X1I1037 (.CLK(CLK1), .EN(GLB_EN), .I({FETCH_SHIFT[4], FETCH_SHIFT[3] + , FETCH_SHIFT[2], FETCH_SHIFT[1], FETCH_SHIFT[0]}), .O({IMM_SHIFT[4], + IMM_SHIFT[3], IMM_SHIFT[2], IMM_SHIFT[1], IMM_SHIFT[0]}), .RES(FLUSH)); + AND3B2 X1I1038 (.I0(INSTRUCTION[30]), .I1(INSTRUCTION[31]), .I2 + (INSTRUCTION[29]), .O(I_TYPE)); + OR2 X1I1043 (.I0(X1N1050), .I1(X1N1046), .O(X1N1044)); + AND2 X1I1045 (.I0(INSTRUCTION[3]), .I1(SPECIAL), .O(X1N1046)); + AND3B1 X1I1049 (.I0(INSTRUCTION[28]), .I1(INSTRUCTION[27]), .I2(I_TYPE), + .O(X1N1050)); + AND2 X1I1055 (.I0(INSTRUCTION[2]), .I1(SPECIAL), .O(X1N1058)); + OR2 X1I1057 (.I0(X1N1059), .I1(X1N1058), .O(X1N1054)); + AND2 X1I1063 (.I0(INSTRUCTION[28]), .I1(I_TYPE), .O(X1N1059)); + AND2 X1I1067 (.I0(INSTRUCTION[1]), .I1(SPECIAL), .O(X1N1069)); + OR2 X1I1070 (.I0(X1N1068), .I1(X1N1069), .O(X1N1066)); + OR3 X1I1078 (.I0(X1N1092), .I1(X1N1089), .I2(X1N1083), .O(X1N1079)); + AND2 X1I1080 (.I0(INSTRUCTION[0]), .I1(SPECIAL), .O(X1N1083)); + NOR2 X1I1091 (.I0(SPECIAL), .I1(I_TYPE), .O(X1N1092)); + FDE X1I1106 (.C(CLK1), .CE(GLB_EN), .D(X1N1117), .Q(SHIFT_SET)); + OR2 X1I1118 (.I0(LUI), .I1(X1N1120), .O(X1N1117)); + AND2B1 X1I1119 (.I0(INSTRUCTION[5]), .I1(SPECIAL), .O(X1N1120)); + AND4 X1I1124 (.I0(INSTRUCTION[26]), .I1(INSTRUCTION[27]), .I2 + (INSTRUCTION[28]), .I3(I_TYPE), .O(LUI)); + M2_1X5 X1I1131 (.A({INSTRUCTION[10], INSTRUCTION[9], INSTRUCTION[8], + INSTRUCTION[7], INSTRUCTION[6]}), .B({SIXTEEN[4], SIXTEEN[3], SIXTEEN[2] + , SIXTEEN[1], SIXTEEN[0]}), .O({FETCH_SHIFT[4], FETCH_SHIFT[3], + FETCH_SHIFT[2], FETCH_SHIFT[1], FETCH_SHIFT[0]}), .SB(LUI)); + GND X1I1148 (.G(SIXTEEN[3])); + GND X1I1150 (.G(SIXTEEN[2])); + GND X1I1152 (.G(SIXTEEN[1])); + GND X1I1154 (.G(SIXTEEN[0])); + VCC X1I1157 (.P(SIXTEEN[4])); + AND3B1 X1I1163 (.I0(LUI), .I1(INSTRUCTION[27]), .I2(I_TYPE), .O(X1N1068)); + AND3B1 X1I1164 (.I0(LUI), .I1(INSTRUCTION[26]), .I2(I_TYPE), .O(X1N1089)); + XOR32_32_32 X1I1168 (.A({REG_B_EXE_FF[31], REG_B_EXE_FF[30], + REG_B_EXE_FF[29], REG_B_EXE_FF[28], REG_B_EXE_FF[27], REG_B_EXE_FF[26], + REG_B_EXE_FF[25], REG_B_EXE_FF[24], REG_B_EXE_FF[23], REG_B_EXE_FF[22], + REG_B_EXE_FF[21], REG_B_EXE_FF[20], REG_B_EXE_FF[19], REG_B_EXE_FF[18], + REG_B_EXE_FF[17], REG_B_EXE_FF[16], REG_B_EXE_FF[15], REG_B_EXE_FF[14], + REG_B_EXE_FF[13], REG_B_EXE_FF[12], REG_B_EXE_FF[11], REG_B_EXE_FF[10], + REG_B_EXE_FF[9], REG_B_EXE_FF[8], REG_B_EXE_FF[7], REG_B_EXE_FF[6], + REG_B_EXE_FF[5], REG_B_EXE_FF[4], REG_B_EXE_FF[3], REG_B_EXE_FF[2], + REG_B_EXE_FF[1], REG_B_EXE_FF[0]}), .B({REG_A_EXE_FF[31], + REG_A_EXE_FF[30], REG_A_EXE_FF[29], REG_A_EXE_FF[28], REG_A_EXE_FF[27], + REG_A_EXE_FF[26], REG_A_EXE_FF[25], REG_A_EXE_FF[24], REG_A_EXE_FF[23], + REG_A_EXE_FF[22], REG_A_EXE_FF[21], REG_A_EXE_FF[20], REG_A_EXE_FF[19], + REG_A_EXE_FF[18], REG_A_EXE_FF[17], REG_A_EXE_FF[16], REG_A_EXE_FF[15], + REG_A_EXE_FF[14], REG_A_EXE_FF[13], REG_A_EXE_FF[12], REG_A_EXE_FF[11], + REG_A_EXE_FF[10], REG_A_EXE_FF[9], REG_A_EXE_FF[8], REG_A_EXE_FF[7], + REG_A_EXE_FF[6], REG_A_EXE_FF[5], REG_A_EXE_FF[4], REG_A_EXE_FF[3], + REG_A_EXE_FF[2], REG_A_EXE_FF[1], REG_A_EXE_FF[0]}), .O({ + REGA_XNOR_REGB[31], REGA_XNOR_REGB[30], REGA_XNOR_REGB[29], + REGA_XNOR_REGB[28], REGA_XNOR_REGB[27], REGA_XNOR_REGB[26], + REGA_XNOR_REGB[25], REGA_XNOR_REGB[24], REGA_XNOR_REGB[23], + REGA_XNOR_REGB[22], REGA_XNOR_REGB[21], REGA_XNOR_REGB[20], + REGA_XNOR_REGB[19], REGA_XNOR_REGB[18], REGA_XNOR_REGB[17], + REGA_XNOR_REGB[16], REGA_XNOR_REGB[15], REGA_XNOR_REGB[14], + REGA_XNOR_REGB[13], REGA_XNOR_REGB[12], REGA_XNOR_REGB[11], + REGA_XNOR_REGB[10], REGA_XNOR_REGB[9], REGA_XNOR_REGB[8], + REGA_XNOR_REGB[7], REGA_XNOR_REGB[6], REGA_XNOR_REGB[5], + REGA_XNOR_REGB[4], REGA_XNOR_REGB[3], REGA_XNOR_REGB[2], + REGA_XNOR_REGB[1], REGA_XNOR_REGB[0]})); + AND32 X1I1172 (.I({REGA_XNOR_REGB[31], REGA_XNOR_REGB[30], + REGA_XNOR_REGB[29], REGA_XNOR_REGB[28], REGA_XNOR_REGB[27], + REGA_XNOR_REGB[26], REGA_XNOR_REGB[25], REGA_XNOR_REGB[24], + REGA_XNOR_REGB[23], REGA_XNOR_REGB[22], REGA_XNOR_REGB[21], + REGA_XNOR_REGB[20], REGA_XNOR_REGB[19], REGA_XNOR_REGB[18], + REGA_XNOR_REGB[17], REGA_XNOR_REGB[16], REGA_XNOR_REGB[15], + REGA_XNOR_REGB[14], REGA_XNOR_REGB[13], REGA_XNOR_REGB[12], + REGA_XNOR_REGB[11], REGA_XNOR_REGB[10], REGA_XNOR_REGB[9], + REGA_XNOR_REGB[8], REGA_XNOR_REGB[7], REGA_XNOR_REGB[6], + REGA_XNOR_REGB[5], REGA_XNOR_REGB[4], REGA_XNOR_REGB[3], + REGA_XNOR_REGB[2], REGA_XNOR_REGB[1], REGA_XNOR_REGB[0]}), .O + (REGA_EQ_REGB)); + NOR4 X1I1177 (.I0(X1N3075), .I1(X1N3071), .I2(SPECIAL), .I3(I_TYPE), .O + (SET_R0)); + M2_1X5 X1I1188 (.A({IMM_SHIFT[4], IMM_SHIFT[3], IMM_SHIFT[2], IMM_SHIFT[1] + , IMM_SHIFT[0]}), .B({REG_A_EXE_FF[4], REG_A_EXE_FF[3], REG_A_EXE_FF[2] + , REG_A_EXE_FF[1], REG_A_EXE_FF[0]}), .O({SHIFT[4], SHIFT[3], SHIFT[2], + SHIFT[1], SHIFT[0]}), .SB(X1N1187)); + AND4B3 X1I1199 (.I0(INSTRUCTION[29]), .I1(INSTRUCTION[30]), .I2 + (INSTRUCTION[31]), .I3(X1N1224), .O(BR_INSTRUCTION)); + OR3 X1I1223 (.I0(INSTRUCTION[27]), .I1(INSTRUCTION[28]), .I2 + (INSTRUCTION[26]), .O(X1N1224)); + XOR2 X1I1260 (.I0(X1N1307), .I1(X1N1306), .O(X1N1309)); + AND2 X1I1269 (.I0(INSTRUCTION[28]), .I1(REGA_EQ_REGB), .O(X1N1296)); + AND2 X1I1270 (.I0(X1N6127), .I1(REG_A_EXE_FF[31]), .O(X1N1297)); + OR2 X1I1295 (.I0(X1N1297), .I1(X1N1296), .O(X1N1306)); + M2_1 X1I1299 (.D0(INSTRUCTION[26]), .D1(INSTRUCTION[16]), .O(X1N1307), .S0 + (BR_GEZ_LTZ)); + MUX2_1X32 X1I1314 (.A({EXE_FF[31], EXE_FF[30], EXE_FF[29], EXE_FF[28], + EXE_FF[27], EXE_FF[26], EXE_FF[25], EXE_FF[24], EXE_FF[23], EXE_FF[22], + EXE_FF[21], EXE_FF[20], EXE_FF[19], EXE_FF[18], EXE_FF[17], EXE_FF[16], + EXE_FF[15], EXE_FF[14], EXE_FF[13], EXE_FF[12], EXE_FF[11], EXE_FF[10], + EXE_FF[9], EXE_FF[8], EXE_FF[7], EXE_FF[6], EXE_FF[5], EXE_FF[4], + EXE_FF[3], EXE_FF[2], EXE_FF[1], EXE_FF[0]}), .B({ + LOAD_ROTATED_MASKED[31], LOAD_ROTATED_MASKED[30], + LOAD_ROTATED_MASKED[29], LOAD_ROTATED_MASKED[28], + LOAD_ROTATED_MASKED[27], LOAD_ROTATED_MASKED[26], + LOAD_ROTATED_MASKED[25], LOAD_ROTATED_MASKED[24], + LOAD_ROTATED_MASKED[23], LOAD_ROTATED_MASKED[22], + LOAD_ROTATED_MASKED[21], LOAD_ROTATED_MASKED[20], + LOAD_ROTATED_MASKED[19], LOAD_ROTATED_MASKED[18], + LOAD_ROTATED_MASKED[17], LOAD_ROTATED_MASKED[16], + LOAD_ROTATED_MASKED[15], LOAD_ROTATED_MASKED[14], + LOAD_ROTATED_MASKED[13], LOAD_ROTATED_MASKED[12], + LOAD_ROTATED_MASKED[11], LOAD_ROTATED_MASKED[10], LOAD_ROTATED_MASKED[9] + , LOAD_ROTATED_MASKED[8], LOAD_ROTATED_MASKED[7], LOAD_ROTATED_MASKED[6] + , LOAD_ROTATED_MASKED[5], LOAD_ROTATED_MASKED[4], LOAD_ROTATED_MASKED[3] + , LOAD_ROTATED_MASKED[2], LOAD_ROTATED_MASKED[1], LOAD_ROTATED_MASKED[0] + }), .SB(LOAD), .S({MEM_RES[31], MEM_RES[30], MEM_RES[29], MEM_RES[28], + MEM_RES[27], MEM_RES[26], MEM_RES[25], MEM_RES[24], MEM_RES[23], + MEM_RES[22], MEM_RES[21], MEM_RES[20], MEM_RES[19], MEM_RES[18], + MEM_RES[17], MEM_RES[16], MEM_RES[15], MEM_RES[14], MEM_RES[13], + MEM_RES[12], MEM_RES[11], MEM_RES[10], MEM_RES[9], MEM_RES[8], + MEM_RES[7], MEM_RES[6], MEM_RES[5], MEM_RES[4], MEM_RES[3], MEM_RES[2], + MEM_RES[1], MEM_RES[0]})); + CLOCK X1I1496 (.CLK1(X1N6462), .CLK_50MHZ(CLK_50MHZ)); + STARTUPRAM STARTUP_ROM (.A0(ADDRESS[2]), .A1(ADDRESS[3]), .A2(ADDRESS[4]) + , .A3(ADDRESS[5]), .A4(ADDRESS[6]), .D({MEM_DAT[31], MEM_DAT[30], + MEM_DAT[29], MEM_DAT[28], MEM_DAT[27], MEM_DAT[26], MEM_DAT[25], + MEM_DAT[24], MEM_DAT[23], MEM_DAT[22], MEM_DAT[21], MEM_DAT[20], + MEM_DAT[19], MEM_DAT[18], MEM_DAT[17], MEM_DAT[16], MEM_DAT[15], + MEM_DAT[14], MEM_DAT[13], MEM_DAT[12], MEM_DAT[11], MEM_DAT[10], + MEM_DAT[9], MEM_DAT[8], MEM_DAT[7], MEM_DAT[6], MEM_DAT[5], MEM_DAT[4], + MEM_DAT[3], MEM_DAT[2], MEM_DAT[1], MEM_DAT[0]}), .O({ROM_DAT[31], + ROM_DAT[30], ROM_DAT[29], ROM_DAT[28], ROM_DAT[27], ROM_DAT[26], + ROM_DAT[25], ROM_DAT[24], ROM_DAT[23], ROM_DAT[22], ROM_DAT[21], + ROM_DAT[20], ROM_DAT[19], ROM_DAT[18], ROM_DAT[17], ROM_DAT[16], + ROM_DAT[15], ROM_DAT[14], ROM_DAT[13], ROM_DAT[12], ROM_DAT[11], + ROM_DAT[10], ROM_DAT[9], ROM_DAT[8], ROM_DAT[7], ROM_DAT[6], ROM_DAT[5] + , ROM_DAT[4], ROM_DAT[3], ROM_DAT[2], ROM_DAT[1], ROM_DAT[0]}), .WCLK + (CLK1), .WE(X1N6933)); + FPGA_FLASHDISP I_O_BLOCK (.A({PC[20], PC[19], PC[18], PC[17], PC[16], + PC[15], PC[14], PC[13], PC[12], PC[11], PC[10], PC[9], PC[8], PC[7], + PC[6], PC[5], PC[4], PC[3], PC[2], PC[1], PC[0]}), .BAR0(PC[0]), .BAR1 + (PC[1]), .BAR2(PC[2]), .BAR3(PC[3]), .BAR4(PC[4]), .BAR5(PC[5]), .BAR6 + (PC[6]), .BAR7(PC[7]), .BAR8(PC[8]), .DISPNFLASH(X1N1596), .DOE(X1N1596) + , .LEDLA,LEDLB,LEDLC,LEDLD,LEDLE,LEDLF,LEDLG({DISP_LEFT[6], DISP_LEFT[5] + , DISP_LEFT[4], DISP_LEFT[3], DISP_LEFT[2], DISP_LEFT[1], DISP_LEFT[0]}) + , .LEDRA,LEDRB,LEDRC,LEDRD,LEDRE,LEDRF,LEDRG({DISP_RIGHT[6], + DISP_RIGHT[5], DISP_RIGHT[4], DISP_RIGHT[3], DISP_RIGHT[2], + DISP_RIGHT[1], DISP_RIGHT[0]}), .NFLASHCE(X1N1596), .NFLASHOE(X1N1596), + .NFLASHWE(X1N1596), .NFPGAOE(X1N2612)); + VCC X1I1595 (.P(X1N1596)); + REG32R X1I1721 (.CLK(CLK2), .EN(GLB_EN), .I({CACHE_INST_DAT[31], + CACHE_INST_DAT[30], CACHE_INST_DAT[29], CACHE_INST_DAT[28], + CACHE_INST_DAT[27], CACHE_INST_DAT[26], CACHE_INST_DAT[25], + CACHE_INST_DAT[24], CACHE_INST_DAT[23], CACHE_INST_DAT[22], + CACHE_INST_DAT[21], CACHE_INST_DAT[20], CACHE_INST_DAT[19], + CACHE_INST_DAT[18], CACHE_INST_DAT[17], CACHE_INST_DAT[16], + CACHE_INST_DAT[15], CACHE_INST_DAT[14], CACHE_INST_DAT[13], + CACHE_INST_DAT[12], CACHE_INST_DAT[11], CACHE_INST_DAT[10], + CACHE_INST_DAT[9], CACHE_INST_DAT[8], CACHE_INST_DAT[7], + CACHE_INST_DAT[6], CACHE_INST_DAT[5], CACHE_INST_DAT[4], + CACHE_INST_DAT[3], CACHE_INST_DAT[2], CACHE_INST_DAT[1], + CACHE_INST_DAT[0]}), .O({INSTRUCTION[31], INSTRUCTION[30], + INSTRUCTION[29], INSTRUCTION[28], INSTRUCTION[27], INSTRUCTION[26], + INSTRUCTION[25], INSTRUCTION[24], INSTRUCTION[23], INSTRUCTION[22], + INSTRUCTION[21], INSTRUCTION[20], INSTRUCTION[19], INSTRUCTION[18], + INSTRUCTION[17], INSTRUCTION[16], INSTRUCTION[15], INSTRUCTION[14], + INSTRUCTION[13], INSTRUCTION[12], INSTRUCTION[11], INSTRUCTION[10], + INSTRUCTION[9], INSTRUCTION[8], INSTRUCTION[7], INSTRUCTION[6], + INSTRUCTION[5], INSTRUCTION[4], INSTRUCTION[3], INSTRUCTION[2], + INSTRUCTION[1], INSTRUCTION[0]}), .RESET(FLUSH)); + BUFE32 X1I1784 (.E(X1N3367), .I({ROM_DAT[31], ROM_DAT[30], ROM_DAT[29], + ROM_DAT[28], ROM_DAT[27], ROM_DAT[26], ROM_DAT[25], ROM_DAT[24], + ROM_DAT[23], ROM_DAT[22], ROM_DAT[21], ROM_DAT[20], ROM_DAT[19], + ROM_DAT[18], ROM_DAT[17], ROM_DAT[16], ROM_DAT[15], ROM_DAT[14], + ROM_DAT[13], ROM_DAT[12], ROM_DAT[11], ROM_DAT[10], ROM_DAT[9], + ROM_DAT[8], ROM_DAT[7], ROM_DAT[6], ROM_DAT[5], ROM_DAT[4], ROM_DAT[3], + ROM_DAT[2], ROM_DAT[1], ROM_DAT[0]}), .O({MEM_DAT[31], MEM_DAT[30], + MEM_DAT[29], MEM_DAT[28], MEM_DAT[27], MEM_DAT[26], MEM_DAT[25], + MEM_DAT[24], MEM_DAT[23], MEM_DAT[22], MEM_DAT[21], MEM_DAT[20], + MEM_DAT[19], MEM_DAT[18], MEM_DAT[17], MEM_DAT[16], MEM_DAT[15], + MEM_DAT[14], MEM_DAT[13], MEM_DAT[12], MEM_DAT[11], MEM_DAT[10], + MEM_DAT[9], MEM_DAT[8], MEM_DAT[7], MEM_DAT[6], MEM_DAT[5], MEM_DAT[4], + MEM_DAT[3], MEM_DAT[2], MEM_DAT[1], MEM_DAT[0]})); + FDRE X1I1791 (.C(CLK1), .CE(GLB_EN), .D(X1N1799), .Q(X1N1821), .R(FLUSH)); + FDRE X1I1794 (.C(CLK1), .CE(GLB_EN), .D(X1N3126), .Q + (INSTRUCTION_LOADING_IN_MEM_STAGE), .R(FLUSH)); + AND2 X1I1798 (.I0(INSTRUCTION[31]), .I1(INSTRUCTION[29]), .O(X1N1799)); + FDRE X1I1814 (.C(CLK1), .CE(GLB_EN), .D(INSTRUCTION_LOADING_IN_MEM_STAGE) + , .Q(LOAD), .R(FLUSH)); + FDRE X1I1817 (.C(CLK1), .CE(GLB_EN), .D(X1N1821), .Q(MEM_WRITE_SOON), .R + (FLUSH)); + AND3 X1I1867 (.I0(ADDRESS[26]), .I1(ADDRESS[27]), .I2(ADDRESS[28]), .O + (X1N1870)); + D4_16E IGNORE_NO_LOAD1 (.A0(ADDRESS[8]), .A1(ADDRESS[9]), .A2(ADDRESS[10]) + , .A3(ADDRESS[11]), .D0(X1N6395), .D1(X1N6396), .D13(ENABLE_COUNTER), + .D14(ENABLE_SERIAL), .D15(ENABLE_DISPLAY), .E(X1N5951)); + INV X1I2015 (.I(CLK), .O(X1N2020)); + BUFG X1I2017 (.I(CLK), .O(CLK1)); + BUFG X1I2018 (.I(X1N2020), .O(CLK2)); + AND2 X1I2248 (.I0(BR_INSTRUCTION), .I1(X1N1309), .O(X1N6144)); + AND2 X1I2255 (.I0(OP[2]), .I1(SPECIAL_EXE), .O(X1N1187)); + BUF X1I2257 (.I(CLK1), .O(CLK1_NBUF)); + FD X1I2275 (.C(X1N2282), .D(X1N2276), .Q(CLK)); + INV X1I2277 (.I(CLK), .O(X1N2276)); + FD X1I2279 (.C(X1N6457), .D(X1N2280), .Q(X1N2282)); + INV X1I2281 (.I(X1N2282), .O(X1N2280)); + GND X1I2611 (.G(X1N2612)); + AND2 X1I2698 (.I0(END_WRITE), .I1(ENABLE_SERIAL), .O(X1N5932)); + BUFE8 X1I2710 (.E(X1N2760), .I({SERIAL_DATA[7], SERIAL_DATA[6], + SERIAL_DATA[5], SERIAL_DATA[4], SERIAL_DATA[3], SERIAL_DATA[2], + SERIAL_DATA[1], SERIAL_DATA[0]}), .O({MEM_DAT[7], MEM_DAT[6], MEM_DAT[5] + , MEM_DAT[4], MEM_DAT[3], MEM_DAT[2], MEM_DAT[1], MEM_DAT[0]})); + BUFE X1I2713 (.E(X1N2760), .I(SERIAL_REQUEST), .O(MEM_DAT[8])); + REGBANK1 REG_BANK (.D({MEM_FF[31], MEM_FF[30], MEM_FF[29], MEM_FF[28], + MEM_FF[27], MEM_FF[26], MEM_FF[25], MEM_FF[24], MEM_FF[23], MEM_FF[22], + MEM_FF[21], MEM_FF[20], MEM_FF[19], MEM_FF[18], MEM_FF[17], MEM_FF[16], + MEM_FF[15], MEM_FF[14], MEM_FF[13], MEM_FF[12], MEM_FF[11], MEM_FF[10], + MEM_FF[9], MEM_FF[8], MEM_FF[7], MEM_FF[6], MEM_FF[5], MEM_FF[4], + MEM_FF[3], MEM_FF[2], MEM_FF[1], MEM_FF[0]}), .OA({REG_A[31], REG_A[30] + , REG_A[29], REG_A[28], REG_A[27], REG_A[26], REG_A[25], REG_A[24], + REG_A[23], REG_A[22], REG_A[21], REG_A[20], REG_A[19], REG_A[18], + REG_A[17], REG_A[16], REG_A[15], REG_A[14], REG_A[13], REG_A[12], + REG_A[11], REG_A[10], REG_A[9], REG_A[8], REG_A[7], REG_A[6], REG_A[5], + REG_A[4], REG_A[3], REG_A[2], REG_A[1], REG_A[0]}), .OB({REG_B[31], + REG_B[30], REG_B[29], REG_B[28], REG_B[27], REG_B[26], REG_B[25], + REG_B[24], REG_B[23], REG_B[22], REG_B[21], REG_B[20], REG_B[19], + REG_B[18], REG_B[17], REG_B[16], REG_B[15], REG_B[14], REG_B[13], + REG_B[12], REG_B[11], REG_B[10], REG_B[9], REG_B[8], REG_B[7], REG_B[6] + , REG_B[5], REG_B[4], REG_B[3], REG_B[2], REG_B[1], REG_B[0]}), .RA({ + INSTRUCTION[25], INSTRUCTION[24], INSTRUCTION[23], INSTRUCTION[22], + INSTRUCTION[21]}), .RB({INSTRUCTION[20], INSTRUCTION[19], + INSTRUCTION[18], INSTRUCTION[17], INSTRUCTION[16]}), .WCLK(CLK2), .WE + (X1N3028), .WSEL(CLK2_NBUF), .W({REG_DEST_WB[4], REG_DEST_WB[3], + REG_DEST_WB[2], REG_DEST_WB[1], REG_DEST_WB[0]})); + SERIAL_FIFO X1I2754 (.ACK_IN(SERIAL_ACK), .ACK_OUT(X1N2874), .CLK(CLK1), + .CLK_50MHZ(CLK_50MHZ), .IN({MEM_DAT[7], MEM_DAT[6], MEM_DAT[5], + MEM_DAT[4], MEM_DAT[3], MEM_DAT[2], MEM_DAT[1], MEM_DAT[0]}), .OUTPUT({ + SERIAL_DATA[7], SERIAL_DATA[6], SERIAL_DATA[5], SERIAL_DATA[4], + SERIAL_DATA[3], SERIAL_DATA[2], SERIAL_DATA[1], SERIAL_DATA[0]}), + .REQ_IN(X1N5932), .REQ_OUT(SERIAL_REQUEST)); + BUF X1I2862 (.I(CLK2), .O(CLK2_NBUF)); + ALU2 X1I295 (.A({REG_A_EXE_FF[31], REG_A_EXE_FF[30], REG_A_EXE_FF[29], + REG_A_EXE_FF[28], REG_A_EXE_FF[27], REG_A_EXE_FF[26], REG_A_EXE_FF[25], + REG_A_EXE_FF[24], REG_A_EXE_FF[23], REG_A_EXE_FF[22], REG_A_EXE_FF[21], + REG_A_EXE_FF[20], REG_A_EXE_FF[19], REG_A_EXE_FF[18], REG_A_EXE_FF[17], + REG_A_EXE_FF[16], REG_A_EXE_FF[15], REG_A_EXE_FF[14], REG_A_EXE_FF[13], + REG_A_EXE_FF[12], REG_A_EXE_FF[11], REG_A_EXE_FF[10], REG_A_EXE_FF[9], + REG_A_EXE_FF[8], REG_A_EXE_FF[7], REG_A_EXE_FF[6], REG_A_EXE_FF[5], + REG_A_EXE_FF[4], REG_A_EXE_FF[3], REG_A_EXE_FF[2], REG_A_EXE_FF[1], + REG_A_EXE_FF[0]}), .B({B_EXE_INPUT[31], B_EXE_INPUT[30], B_EXE_INPUT[29] + , B_EXE_INPUT[28], B_EXE_INPUT[27], B_EXE_INPUT[26], B_EXE_INPUT[25], + B_EXE_INPUT[24], B_EXE_INPUT[23], B_EXE_INPUT[22], B_EXE_INPUT[21], + B_EXE_INPUT[20], B_EXE_INPUT[19], B_EXE_INPUT[18], B_EXE_INPUT[17], + B_EXE_INPUT[16], B_EXE_INPUT[15], B_EXE_INPUT[14], B_EXE_INPUT[13], + B_EXE_INPUT[12], B_EXE_INPUT[11], B_EXE_INPUT[10], B_EXE_INPUT[9], + B_EXE_INPUT[8], B_EXE_INPUT[7], B_EXE_INPUT[6], B_EXE_INPUT[5], + B_EXE_INPUT[4], B_EXE_INPUT[3], B_EXE_INPUT[2], B_EXE_INPUT[1], + B_EXE_INPUT[0]}), .OP({OP[3], OP[2], OP[1], OP[0]}), .OVERFLOW(X1N6634) + , .S({ALU_RES[31], ALU_RES[30], ALU_RES[29], ALU_RES[28], ALU_RES[27], + ALU_RES[26], ALU_RES[25], ALU_RES[24], ALU_RES[23], ALU_RES[22], + ALU_RES[21], ALU_RES[20], ALU_RES[19], ALU_RES[18], ALU_RES[17], + ALU_RES[16], ALU_RES[15], ALU_RES[14], ALU_RES[13], ALU_RES[12], + ALU_RES[11], ALU_RES[10], ALU_RES[9], ALU_RES[8], ALU_RES[7], ALU_RES[6] + , ALU_RES[5], ALU_RES[4], ALU_RES[3], ALU_RES[2], ALU_RES[1], ALU_RES[0] + })); + REG32 X1I2984 (.CLK(CLK1), .EN(GLB_EN), .I({REG_PC[31], REG_PC[30], + REG_PC[29], REG_PC[28], REG_PC[27], REG_PC[26], REG_PC[25], REG_PC[24], + REG_PC[23], REG_PC[22], REG_PC[21], REG_PC[20], REG_PC[19], REG_PC[18], + REG_PC[17], REG_PC[16], REG_PC[15], REG_PC[14], REG_PC[13], REG_PC[12], + REG_PC[11], REG_PC[10], REG_PC[9], REG_PC[8], REG_PC[7], REG_PC[6], + REG_PC[5], REG_PC[4], REG_PC[3], REG_PC[2], REG_PC[1], REG_PC[0]}), .O({ + ALU_PC[31], ALU_PC[30], ALU_PC[29], ALU_PC[28], ALU_PC[27], ALU_PC[26], + ALU_PC[25], ALU_PC[24], ALU_PC[23], ALU_PC[22], ALU_PC[21], ALU_PC[20], + ALU_PC[19], ALU_PC[18], ALU_PC[17], ALU_PC[16], ALU_PC[15], ALU_PC[14], + ALU_PC[13], ALU_PC[12], ALU_PC[11], ALU_PC[10], ALU_PC[9], ALU_PC[8], + ALU_PC[7], ALU_PC[6], ALU_PC[5], ALU_PC[4], ALU_PC[3], ALU_PC[2], + ALU_PC[1], ALU_PC[0]})); + REG32 X1I2985 (.CLK(CLK2), .EN(X1N6218), .I({PC[31], PC[30], PC[29], + PC[28], PC[27], PC[26], PC[25], PC[24], PC[23], PC[22], PC[21], PC[20], + PC[19], PC[18], PC[17], PC[16], PC[15], PC[14], PC[13], PC[12], PC[11], + PC[10], PC[9], PC[8], PC[7], PC[6], PC[5], PC[4], PC[3], PC[2], PC[1], + PC[0]}), .O({REG_PC[31], REG_PC[30], REG_PC[29], REG_PC[28], REG_PC[27] + , REG_PC[26], REG_PC[25], REG_PC[24], REG_PC[23], REG_PC[22], REG_PC[21] + , REG_PC[20], REG_PC[19], REG_PC[18], REG_PC[17], REG_PC[16], REG_PC[15] + , REG_PC[14], REG_PC[13], REG_PC[12], REG_PC[11], REG_PC[10], REG_PC[9] + , REG_PC[8], REG_PC[7], REG_PC[6], REG_PC[5], REG_PC[4], REG_PC[3], + REG_PC[2], REG_PC[1], REG_PC[0]})); + REG32 X1I2992 (.CLK(CLK1), .EN(GLB_EN), .I({ALU_PC[31], ALU_PC[30], + ALU_PC[29], ALU_PC[28], ALU_PC[27], ALU_PC[26], ALU_PC[25], ALU_PC[24], + ALU_PC[23], ALU_PC[22], ALU_PC[21], ALU_PC[20], ALU_PC[19], ALU_PC[18], + ALU_PC[17], ALU_PC[16], ALU_PC[15], ALU_PC[14], ALU_PC[13], ALU_PC[12], + ALU_PC[11], ALU_PC[10], ALU_PC[9], ALU_PC[8], ALU_PC[7], ALU_PC[6], + ALU_PC[5], ALU_PC[4], ALU_PC[3], ALU_PC[2], ALU_PC[1], ALU_PC[0]}), .O({ + MEM_PC[31], MEM_PC[30], MEM_PC[29], MEM_PC[28], MEM_PC[27], MEM_PC[26], + MEM_PC[25], MEM_PC[24], MEM_PC[23], MEM_PC[22], MEM_PC[21], MEM_PC[20], + MEM_PC[19], MEM_PC[18], MEM_PC[17], MEM_PC[16], MEM_PC[15], MEM_PC[14], + MEM_PC[13], MEM_PC[12], MEM_PC[11], MEM_PC[10], MEM_PC[9], MEM_PC[8], + MEM_PC[7], MEM_PC[6], MEM_PC[5], MEM_PC[4], MEM_PC[3], MEM_PC[2], + MEM_PC[1], MEM_PC[0]})); + AND2B1 X1I3027 (.I0(INTERRUPT), .I1(GLB_EN), .O(X1N3028)); + FDRE X1I3039 (.C(CLK1), .CE(GLB_EN), .D(X1N3057), .Q(OUTPUT), .R(FLUSH)); + INTERRUPT_VECTOR X1I3046 (.OUT({RESETVECTOR[31], RESETVECTOR[30], + RESETVECTOR[29], RESETVECTOR[28], RESETVECTOR[27], RESETVECTOR[26], + RESETVECTOR[25], RESETVECTOR[24], RESETVECTOR[23], RESETVECTOR[22], + RESETVECTOR[21], RESETVECTOR[20], RESETVECTOR[19], RESETVECTOR[18], + RESETVECTOR[17], RESETVECTOR[16], RESETVECTOR[15], RESETVECTOR[14], + RESETVECTOR[13], RESETVECTOR[12], RESETVECTOR[11], RESETVECTOR[10], + RESETVECTOR[9], RESETVECTOR[8], RESETVECTOR[7], RESETVECTOR[6], + RESETVECTOR[5], RESETVECTOR[4], RESETVECTOR[3], RESETVECTOR[2], + RESETVECTOR[1], RESETVECTOR[0]}), .PLUS_100(X1N5811), .PLUS_80(X1N6667) + , .VECTOR_8000(X1N6062)); + MUX2_1X32 X1I3051 (.A({NEXT_PC[31], NEXT_PC[30], NEXT_PC[29], NEXT_PC[28] + , NEXT_PC[27], NEXT_PC[26], NEXT_PC[25], NEXT_PC[24], NEXT_PC[23], + NEXT_PC[22], NEXT_PC[21], NEXT_PC[20], NEXT_PC[19], NEXT_PC[18], + NEXT_PC[17], NEXT_PC[16], NEXT_PC[15], NEXT_PC[14], NEXT_PC[13], + NEXT_PC[12], NEXT_PC[11], NEXT_PC[10], NEXT_PC[9], NEXT_PC[8], + NEXT_PC[7], NEXT_PC[6], NEXT_PC[5], NEXT_PC[4], NEXT_PC[3], NEXT_PC[2], + NEXT_PC[1], NEXT_PC[0]}), .B({RESETVECTOR[31], RESETVECTOR[30], + RESETVECTOR[29], RESETVECTOR[28], RESETVECTOR[27], RESETVECTOR[26], + RESETVECTOR[25], RESETVECTOR[24], RESETVECTOR[23], RESETVECTOR[22], + RESETVECTOR[21], RESETVECTOR[20], RESETVECTOR[19], RESETVECTOR[18], + RESETVECTOR[17], RESETVECTOR[16], RESETVECTOR[15], RESETVECTOR[14], + RESETVECTOR[13], RESETVECTOR[12], RESETVECTOR[11], RESETVECTOR[10], + RESETVECTOR[9], RESETVECTOR[8], RESETVECTOR[7], RESETVECTOR[6], + RESETVECTOR[5], RESETVECTOR[4], RESETVECTOR[3], RESETVECTOR[2], + RESETVECTOR[1], RESETVECTOR[0]}), .SB(INTERRUPT), .S({NOTSYSCALLPC[31], + NOTSYSCALLPC[30], NOTSYSCALLPC[29], NOTSYSCALLPC[28], NOTSYSCALLPC[27], + NOTSYSCALLPC[26], NOTSYSCALLPC[25], NOTSYSCALLPC[24], NOTSYSCALLPC[23], + NOTSYSCALLPC[22], NOTSYSCALLPC[21], NOTSYSCALLPC[20], NOTSYSCALLPC[19], + NOTSYSCALLPC[18], NOTSYSCALLPC[17], NOTSYSCALLPC[16], NOTSYSCALLPC[15], + NOTSYSCALLPC[14], NOTSYSCALLPC[13], NOTSYSCALLPC[12], NOTSYSCALLPC[11], + NOTSYSCALLPC[10], NOTSYSCALLPC[9], NOTSYSCALLPC[8], NOTSYSCALLPC[7], + NOTSYSCALLPC[6], NOTSYSCALLPC[5], NOTSYSCALLPC[4], NOTSYSCALLPC[3], + NOTSYSCALLPC[2], NOTSYSCALLPC[1], NOTSYSCALLPC[0]})); + FDRE X1I3056 (.C(CLK1), .CE(GLB_EN), .D(X1N3160), .Q(X1N3057), .R(FLUSH)); + AND3B2 X1I3067 (.I0(INSTRUCTION[29]), .I1(INSTRUCTION[30]), .I2 + (INSTRUCTION[31]), .O(X1N3071)); + REG32 REG_A (.CLK(CLK1), .EN(GLB_EN), .I({REG_A[31], REG_A[30], REG_A[29] + , REG_A[28], REG_A[27], REG_A[26], REG_A[25], REG_A[24], REG_A[23], + REG_A[22], REG_A[21], REG_A[20], REG_A[19], REG_A[18], REG_A[17], + REG_A[16], REG_A[15], REG_A[14], REG_A[13], REG_A[12], REG_A[11], + REG_A[10], REG_A[9], REG_A[8], REG_A[7], REG_A[6], REG_A[5], REG_A[4], + REG_A[3], REG_A[2], REG_A[1], REG_A[0]}), .O({REG_A_EXE[31], + REG_A_EXE[30], REG_A_EXE[29], REG_A_EXE[28], REG_A_EXE[27], + REG_A_EXE[26], REG_A_EXE[25], REG_A_EXE[24], REG_A_EXE[23], + REG_A_EXE[22], REG_A_EXE[21], REG_A_EXE[20], REG_A_EXE[19], + REG_A_EXE[18], REG_A_EXE[17], REG_A_EXE[16], REG_A_EXE[15], + REG_A_EXE[14], REG_A_EXE[13], REG_A_EXE[12], REG_A_EXE[11], + REG_A_EXE[10], REG_A_EXE[9], REG_A_EXE[8], REG_A_EXE[7], REG_A_EXE[6], + REG_A_EXE[5], REG_A_EXE[4], REG_A_EXE[3], REG_A_EXE[2], REG_A_EXE[1], + REG_A_EXE[0]})); + AND5B4 X1I3074 (.I0(INSTRUCTION[24]), .I1(INSTRUCTION[25]), .I2 + (INSTRUCTION[29]), .I3(INSTRUCTION[31]), .I4(INSTRUCTION[30]), .O + (MOV_CP)); + OR3 X1I3086 (.I0(X1N3090), .I1(X1N5327), .I2(INST_ADDR_ERROR), .O(SET_R31) + ); + AND2 X1I3089 (.I0(JUMPLONG), .I1(INSTRUCTION[26]), .O(X1N3090)); + OR3 X1I3098 (.I0(INTERRUPT), .I1(INTERRUPT_MEM), .I2(RESET), .O(FLUSH)); + OR2 X1I3125 (.I0(INSTRUCTION[30]), .I1(INSTRUCTION[31]), .O(X1N3126)); + AND3B1 X1I3129 (.I0(INSTRUCTION[30]), .I1(INSTRUCTION[29]), .I2 + (INSTRUCTION[31]), .O(X1N3161)); + MUX3_1X32 X1I314 (.A({REG_B_EXE[31], REG_B_EXE[30], REG_B_EXE[29], + REG_B_EXE[28], REG_B_EXE[27], REG_B_EXE[26], REG_B_EXE[25], + REG_B_EXE[24], REG_B_EXE[23], REG_B_EXE[22], REG_B_EXE[21], + REG_B_EXE[20], REG_B_EXE[19], REG_B_EXE[18], REG_B_EXE[17], + REG_B_EXE[16], REG_B_EXE[15], REG_B_EXE[14], REG_B_EXE[13], + REG_B_EXE[12], REG_B_EXE[11], REG_B_EXE[10], REG_B_EXE[9], REG_B_EXE[8] + , REG_B_EXE[7], REG_B_EXE[6], REG_B_EXE[5], REG_B_EXE[4], REG_B_EXE[3], + REG_B_EXE[2], REG_B_EXE[1], REG_B_EXE[0]}), .B({SEL_PORT_B_MEM, + MEM_FF[31], MEM_FF[30], MEM_FF[29], MEM_FF[28], MEM_FF[27], MEM_FF[26], + MEM_FF[25], MEM_FF[24], MEM_FF[23], MEM_FF[22], MEM_FF[21], MEM_FF[20], + MEM_FF[19], MEM_FF[18], MEM_FF[17], MEM_FF[16], MEM_FF[15], MEM_FF[14], + MEM_FF[13], MEM_FF[12], MEM_FF[11], MEM_FF[10], MEM_FF[9], MEM_FF[8], + MEM_FF[7], MEM_FF[6], MEM_FF[5], MEM_FF[4], MEM_FF[3], MEM_FF[2], + MEM_FF[1], MEM_FF[0]}), .C({SEL_PORT_B_ALU, EXE_FF[31], EXE_FF[30], + EXE_FF[29], EXE_FF[28], EXE_FF[27], EXE_FF[26], EXE_FF[25], EXE_FF[24], + EXE_FF[23], EXE_FF[22], EXE_FF[21], EXE_FF[20], EXE_FF[19], EXE_FF[18], + EXE_FF[17], EXE_FF[16], EXE_FF[15], EXE_FF[14], EXE_FF[13], EXE_FF[12], + EXE_FF[11], EXE_FF[10], EXE_FF[9], EXE_FF[8], EXE_FF[7], EXE_FF[6], + EXE_FF[5], EXE_FF[4], EXE_FF[3], EXE_FF[2], EXE_FF[1], EXE_FF[0]}), .S({ + REG_B_EXE_FF[31], REG_B_EXE_FF[30], REG_B_EXE_FF[29], REG_B_EXE_FF[28], + REG_B_EXE_FF[27], REG_B_EXE_FF[26], REG_B_EXE_FF[25], REG_B_EXE_FF[24], + REG_B_EXE_FF[23], REG_B_EXE_FF[22], REG_B_EXE_FF[21], REG_B_EXE_FF[20], + REG_B_EXE_FF[19], REG_B_EXE_FF[18], REG_B_EXE_FF[17], REG_B_EXE_FF[16], + REG_B_EXE_FF[15], REG_B_EXE_FF[14], REG_B_EXE_FF[13], REG_B_EXE_FF[12], + REG_B_EXE_FF[11], REG_B_EXE_FF[10], REG_B_EXE_FF[9], REG_B_EXE_FF[8], + REG_B_EXE_FF[7], REG_B_EXE_FF[6], REG_B_EXE_FF[5], REG_B_EXE_FF[4], + REG_B_EXE_FF[3], REG_B_EXE_FF[2], REG_B_EXE_FF[1], REG_B_EXE_FF[0]})); + AND2B1 X1I3151 (.I0(INSTRUCTION[23]), .I1(MOV_CP), .O(X1N3075)); + OR2 X1I3159 (.I0(X1N3161), .I1(X1N3164), .O(X1N3160)); + AND2 X1I3163 (.I0(MOV_CP), .I1(INSTRUCTION[23]), .O(X1N3164)); + REG5 X1I3171 (.CLK(CLK1), .EN(GLB_EN), .I({CPO_REG_DEST[4], + CPO_REG_DEST[3], CPO_REG_DEST[2], CPO_REG_DEST[1], CPO_REG_DEST[0]}), + .O({CPO_ALU_DEST[4], CPO_ALU_DEST[3], CPO_ALU_DEST[2], CPO_ALU_DEST[1], + CPO_ALU_DEST[0]}), .RES(FLUSH)); + REG5 X1I3178 (.CLK(CLK1), .EN(GLB_EN), .I({CPO_ALU_DEST[4], + CPO_ALU_DEST[3], CPO_ALU_DEST[2], CPO_ALU_DEST[1], CPO_ALU_DEST[0]}), + .O({CPO_REG_SELECT[4], CPO_REG_SELECT[3], CPO_REG_SELECT[2], + CPO_REG_SELECT[1], CPO_REG_SELECT[0]}), .RES(FLUSH)); + FDRE X1I3189 (.C(CLK1), .CE(GLB_EN), .D(X1N3255), .Q(X1N3194), .R(FLUSH)); + FDRE X1I3190 (.C(CLK1), .CE(GLB_EN), .D(X1N3194), .Q(CPO_WRITE), .R(FLUSH) + ); + M2_1X5 X1I3200 (.A({INSTRUCTION[15], INSTRUCTION[14], INSTRUCTION[13] + , INSTRUCTION[12], INSTRUCTION[11]}), .B({INSTRUCTION[20], + INSTRUCTION[19], INSTRUCTION[18], INSTRUCTION[17], INSTRUCTION[16]}), + .O({CPO_REG_DEST[4], CPO_REG_DEST[3], CPO_REG_DEST[2], CPO_REG_DEST[1], + CPO_REG_DEST[0]}), .SB(INSTRUCTION[31])); + FDRE X1I3216 (.C(CLK1), .CE(GLB_EN), .D(X1N3213), .Q(CPO_OUTPUT), .R + (FLUSH)); + FDRE X1I3217 (.C(CLK1), .CE(GLB_EN), .D(X1N3272), .Q(X1N3213), .R(FLUSH)); + AND3B2 X1I3237 (.I0(INSTRUCTION[26]), .I1(INSTRUCTION[27]), .I2 + (INSTRUCTION[30]), .O(SELECT_CPO)); + AND5B3 X1I3242 (.I0(INSTRUCTION[31]), .I1(INSTRUCTION[25]), .I2 + (INSTRUCTION[24]), .I3(INSTRUCTION[23]), .I4(SELECT_CPO), .O(X1N3245)); + AND3B1 X1I3243 (.I0(INSTRUCTION[29]), .I1(INSTRUCTION[31]), .I2 + (SELECT_CPO), .O(X1N3246)); + OR2 X1I3244 (.I0(X1N3246), .I1(X1N3245), .O(X1N3255)); + AND5B4 X1I3261 (.I0(INSTRUCTION[31]), .I1(INSTRUCTION[25]), .I2 + (INSTRUCTION[24]), .I3(INSTRUCTION[23]), .I4(SELECT_CPO), .O(X1N3266)); + OR2 X1I3262 (.I0(X1N3267), .I1(X1N3266), .O(X1N3272)); + AND3 X1I3271 (.I0(INSTRUCTION[29]), .I1(INSTRUCTION[31]), .I2(SELECT_CPO) + , .O(X1N3267)); + D4_16E IGNORE_NO_LOAD2 (.A0(CPO_REG_SELECT[0]), .A1(CPO_REG_SELECT[1]), + .A2(CPO_REG_SELECT[2]), .A3(CPO_REG_SELECT[3]), .D0(CPO_WRITTE_INDEX), + .D10(CPO_WRITE_ENTRY_HI), .D12(CP0_WRITE_STATUS), .D13(CP0_WRITE_CAUSE) + , .D2(CPO_WRITE_ENTRY_LO), .D4(CPO_WRITE_CONTEXT), .E(X1N3295)); + BUFE32 X1I3284 (.E(CPO_READ_EPC), .I({EPC[31], EPC[30], EPC[29], EPC[28], + EPC[27], EPC[26], EPC[25], EPC[24], EPC[23], EPC[22], EPC[21], EPC[20], + EPC[19], EPC[18], EPC[17], EPC[16], EPC[15], EPC[14], EPC[13], EPC[12], + EPC[11], EPC[10], EPC[9], EPC[8], EPC[7], EPC[6], EPC[5], EPC[4], EPC[3] + , EPC[2], EPC[1], EPC[0]}), .O({CACHE_DAT[31], CACHE_DAT[30], + CACHE_DAT[29], CACHE_DAT[28], CACHE_DAT[27], CACHE_DAT[26], + CACHE_DAT[25], CACHE_DAT[24], CACHE_DAT[23], CACHE_DAT[22], + CACHE_DAT[21], CACHE_DAT[20], CACHE_DAT[19], CACHE_DAT[18], + CACHE_DAT[17], CACHE_DAT[16], CACHE_DAT[15], CACHE_DAT[14], + CACHE_DAT[13], CACHE_DAT[12], CACHE_DAT[11], CACHE_DAT[10], CACHE_DAT[9] + , CACHE_DAT[8], CACHE_DAT[7], CACHE_DAT[6], CACHE_DAT[5], CACHE_DAT[4], + CACHE_DAT[3], CACHE_DAT[2], CACHE_DAT[1], CACHE_DAT[0]})); + D4_16E IGNORE_NO_LOAD3 (.A0(CPO_REG_SELECT[0]), .A1(CPO_REG_SELECT[1]), + .A2(CPO_REG_SELECT[2]), .A3(CPO_REG_SELECT[3]), .D0(CPO_READ_INDEX), .D1 + (CPO_READ_RANDOM), .D10(CPO_READ_ENTRY_HI), .D12(CP0_READ_STATUS), .D13 + (CP0_READ_CAUSE), .D14(CPO_READ_EPC), .D15(CPO_READ_PRID), .D2 + (CPO_READ_ENTRY_LO), .D4(CPO_READ_CONTEXT), .D8(CPO_READ_BADVADDR), .E + (X1N3299)); + AND5B2 X1I3294 (.I0(CPO_REG_SELECT[4]), .I1(CLK1_NBUF), .I2(CPO_WRITE), + .I3(GLB_EN), .I4(X1N5418), .O(X1N3295)); + AND4B2 X1I3297 (.I0(CPO_REG_SELECT[4]), .I1(CLK1_NBUF), .I2(CPO_OUTPUT), + .I3(GLB_EN), .O(X1N3299)); + REG32 X1I331 (.CLK(CLK1), .EN(GLB_EN), .I({REG_B[31], REG_B[30], REG_B[29] + , REG_B[28], REG_B[27], REG_B[26], REG_B[25], REG_B[24], REG_B[23], + REG_B[22], REG_B[21], REG_B[20], REG_B[19], REG_B[18], REG_B[17], + REG_B[16], REG_B[15], REG_B[14], REG_B[13], REG_B[12], REG_B[11], + REG_B[10], REG_B[9], REG_B[8], REG_B[7], REG_B[6], REG_B[5], REG_B[4], + REG_B[3], REG_B[2], REG_B[1], REG_B[0]}), .O({REG_B_EXE[31], + REG_B_EXE[30], REG_B_EXE[29], REG_B_EXE[28], REG_B_EXE[27], + REG_B_EXE[26], REG_B_EXE[25], REG_B_EXE[24], REG_B_EXE[23], + REG_B_EXE[22], REG_B_EXE[21], REG_B_EXE[20], REG_B_EXE[19], + REG_B_EXE[18], REG_B_EXE[17], REG_B_EXE[16], REG_B_EXE[15], + REG_B_EXE[14], REG_B_EXE[13], REG_B_EXE[12], REG_B_EXE[11], + REG_B_EXE[10], REG_B_EXE[9], REG_B_EXE[8], REG_B_EXE[7], REG_B_EXE[6], + REG_B_EXE[5], REG_B_EXE[4], REG_B_EXE[3], REG_B_EXE[2], REG_B_EXE[1], + REG_B_EXE[0]})); + REG5 X1I3316 (.CLK(CLK1), .EN(GLB_EN), .I({INSTRUCTION[4], INSTRUCTION[3] + , INSTRUCTION[2], INSTRUCTION[1], INSTRUCTION[0]}), .O({ + CPO_INSTRUCTION_EX[4], CPO_INSTRUCTION_EX[3], CPO_INSTRUCTION_EX[2], + CPO_INSTRUCTION_EX[1], CPO_INSTRUCTION_EX[0]}), .RES(X1N4142)); + REG5 X1I3322 (.CLK(CLK1), .EN(GLB_EN), .I({CPO_INSTRUCTION_EX[4], + CPO_INSTRUCTION_EX[3], CPO_INSTRUCTION_EX[2], CPO_INSTRUCTION_EX[1], + CPO_INSTRUCTION_EX[0]}), .O({CP0_INSTRUCTION[4], CP0_INSTRUCTION[3], + CP0_INSTRUCTION[2], CP0_INSTRUCTION[1], CP0_INSTRUCTION[0]}), .RES + (FLUSH)); + AND2 X1I3347 (.I0(MEM_WRITE), .I1(ENABLE_DISPLAY), .O(X1N3422)); + AND2B1 X1I3361 (.I0(MEM_WRITE), .I1(ENABLE_SERIAL), .O(X1N2760)); + AND2B1 X1I3368 (.I0(MEM_WRITE), .I1(ENABLE_ROM), .O(X1N3367)); + RANDOM X1I3444 (.CLK(CLK1), .P({RANDOM[13], RANDOM[12], RANDOM[11], + RANDOM[10], RANDOM[9], RANDOM[8]})); + X14SEG X1I3461 (.IN({DISPLAY[7], DISPLAY[6], DISPLAY[5], DISPLAY[4], + DISPLAY[3], DISPLAY[2], DISPLAY[1], DISPLAY[0]}), .LEFT({DISP_LEFT[6], + DISP_LEFT[5], DISP_LEFT[4], DISP_LEFT[3], DISP_LEFT[2], DISP_LEFT[1], + DISP_LEFT[0]}), .RIGHT({DISP_RIGHT[6], DISP_RIGHT[5], DISP_RIGHT[4], + DISP_RIGHT[3], DISP_RIGHT[2], DISP_RIGHT[1], DISP_RIGHT[0]})); + REG32 EXE_RES (.CLK(CLK1), .EN(GLB_EN), .I({EXE_RES[31], EXE_RES[30], + EXE_RES[29], EXE_RES[28], EXE_RES[27], EXE_RES[26], EXE_RES[25], + EXE_RES[24], EXE_RES[23], EXE_RES[22], EXE_RES[21], EXE_RES[20], + EXE_RES[19], EXE_RES[18], EXE_RES[17], EXE_RES[16], EXE_RES[15], + EXE_RES[14], EXE_RES[13], EXE_RES[12], EXE_RES[11], EXE_RES[10], + EXE_RES[9], EXE_RES[8], EXE_RES[7], EXE_RES[6], EXE_RES[5], EXE_RES[4], + EXE_RES[3], EXE_RES[2], EXE_RES[1], EXE_RES[0]}), .O({EXE_FF[31], + EXE_FF[30], EXE_FF[29], EXE_FF[28], EXE_FF[27], EXE_FF[26], EXE_FF[25], + EXE_FF[24], EXE_FF[23], EXE_FF[22], EXE_FF[21], EXE_FF[20], EXE_FF[19], + EXE_FF[18], EXE_FF[17], EXE_FF[16], EXE_FF[15], EXE_FF[14], EXE_FF[13], + EXE_FF[12], EXE_FF[11], EXE_FF[10], EXE_FF[9], EXE_FF[8], EXE_FF[7], + EXE_FF[6], EXE_FF[5], EXE_FF[4], EXE_FF[3], EXE_FF[2], EXE_FF[1], + EXE_FF[0]})); + REG32 X1I352 (.CLK(CLK1), .EN(GLB_EN), .I({MEM_RES[31], MEM_RES[30], + MEM_RES[29], MEM_RES[28], MEM_RES[27], MEM_RES[26], MEM_RES[25], + MEM_RES[24], MEM_RES[23], MEM_RES[22], MEM_RES[21], MEM_RES[20], + MEM_RES[19], MEM_RES[18], MEM_RES[17], MEM_RES[16], MEM_RES[15], + MEM_RES[14], MEM_RES[13], MEM_RES[12], MEM_RES[11], MEM_RES[10], + MEM_RES[9], MEM_RES[8], MEM_RES[7], MEM_RES[6], MEM_RES[5], MEM_RES[4], + MEM_RES[3], MEM_RES[2], MEM_RES[1], MEM_RES[0]}), .O({MEM_FF[31], + MEM_FF[30], MEM_FF[29], MEM_FF[28], MEM_FF[27], MEM_FF[26], MEM_FF[25], + MEM_FF[24], MEM_FF[23], MEM_FF[22], MEM_FF[21], MEM_FF[20], MEM_FF[19], + MEM_FF[18], MEM_FF[17], MEM_FF[16], MEM_FF[15], MEM_FF[14], MEM_FF[13], + MEM_FF[12], MEM_FF[11], MEM_FF[10], MEM_FF[9], MEM_FF[8], MEM_FF[7], + MEM_FF[6], MEM_FF[5], MEM_FF[4], MEM_FF[3], MEM_FF[2], MEM_FF[1], + MEM_FF[0]})); + PC32 X1I355 (.CLK(CLK2), .EN(GLB_EN), .I({NOTSYSCALLPC[31], + NOTSYSCALLPC[30], NOTSYSCALLPC[29], NOTSYSCALLPC[28], NOTSYSCALLPC[27], + NOTSYSCALLPC[26], NOTSYSCALLPC[25], NOTSYSCALLPC[24], NOTSYSCALLPC[23], + NOTSYSCALLPC[22], NOTSYSCALLPC[21], NOTSYSCALLPC[20], NOTSYSCALLPC[19], + NOTSYSCALLPC[18], NOTSYSCALLPC[17], NOTSYSCALLPC[16], NOTSYSCALLPC[15], + NOTSYSCALLPC[14], NOTSYSCALLPC[13], NOTSYSCALLPC[12], NOTSYSCALLPC[11], + NOTSYSCALLPC[10], NOTSYSCALLPC[9], NOTSYSCALLPC[8], NOTSYSCALLPC[7], + NOTSYSCALLPC[6], NOTSYSCALLPC[5], NOTSYSCALLPC[4], NOTSYSCALLPC[3], + NOTSYSCALLPC[2], NOTSYSCALLPC[1], NOTSYSCALLPC[0]}), .O({PC[31], PC[30] + , PC[29], PC[28], PC[27], PC[26], PC[25], PC[24], PC[23], PC[22], PC[21] + , PC[20], PC[19], PC[18], PC[17], PC[16], PC[15], PC[14], PC[13], PC[12] + , PC[11], PC[10], PC[9], PC[8], PC[7], PC[6], PC[5], PC[4], PC[3], PC[2] + , PC[1], PC[0]})); + REG6 X1I3555 (.CLK(CLK1), .EN(X1N4067), .I({CP0_INDEX_NEXT[5], + CP0_INDEX_NEXT[4], CP0_INDEX_NEXT[3], CP0_INDEX_NEXT[2], + CP0_INDEX_NEXT[1], CP0_INDEX_NEXT[0]}), .O({INDEX[13], INDEX[12], + INDEX[11], INDEX[10], INDEX[9], INDEX[8]}), .RES(X1N3557)); + GND X1I3556 (.G(X1N3557)); + CACHE X1I3587 (.ADDRESS({EXE_FF[11], EXE_FF[10], EXE_FF[9], EXE_FF[8], + EXE_FF[7], EXE_FF[6], EXE_FF[5], EXE_FF[4], EXE_FF[3], EXE_FF[2]}), .CLK + (CLK1), .DATAIN({CACHE_DAT[31], CACHE_DAT[30], CACHE_DAT[29], + CACHE_DAT[28], CACHE_DAT[27], CACHE_DAT[26], CACHE_DAT[25], + CACHE_DAT[24], CACHE_DAT[23], CACHE_DAT[22], CACHE_DAT[21], + CACHE_DAT[20], CACHE_DAT[19], CACHE_DAT[18], CACHE_DAT[17], + CACHE_DAT[16], CACHE_DAT[15], CACHE_DAT[14], CACHE_DAT[13], + CACHE_DAT[12], CACHE_DAT[11], CACHE_DAT[10], CACHE_DAT[9], CACHE_DAT[8] + , CACHE_DAT[7], CACHE_DAT[6], CACHE_DAT[5], CACHE_DAT[4], CACHE_DAT[3], + CACHE_DAT[2], CACHE_DAT[1], CACHE_DAT[0]}), .DATA({CACHE_OUT[31], + CACHE_OUT[30], CACHE_OUT[29], CACHE_OUT[28], CACHE_OUT[27], + CACHE_OUT[26], CACHE_OUT[25], CACHE_OUT[24], CACHE_OUT[23], + CACHE_OUT[22], CACHE_OUT[21], CACHE_OUT[20], CACHE_OUT[19], + CACHE_OUT[18], CACHE_OUT[17], CACHE_OUT[16], CACHE_OUT[15], + CACHE_OUT[14], CACHE_OUT[13], CACHE_OUT[12], CACHE_OUT[11], + CACHE_OUT[10], CACHE_OUT[9], CACHE_OUT[8], CACHE_OUT[7], CACHE_OUT[6], + CACHE_OUT[5], CACHE_OUT[4], CACHE_OUT[3], CACHE_OUT[2], CACHE_OUT[1], + CACHE_OUT[0]}), .HIT(X1N4431), .PFNIN({DATA_PFN[19], DATA_PFN[18], + DATA_PFN[17], DATA_PFN[16], DATA_PFN[15], DATA_PFN[14], DATA_PFN[13], + DATA_PFN[12], DATA_PFN[11], DATA_PFN[10], DATA_PFN[9], DATA_PFN[8], + DATA_PFN[7], DATA_PFN[6], DATA_PFN[5], DATA_PFN[4], DATA_PFN[3], + DATA_PFN[2], DATA_PFN[1], DATA_PFN[0]}), .WRITE(X1N3817)); + BUFE32 X1I3588 (.E(X1N3655), .I({CACHE_OUT[31], CACHE_OUT[30], + CACHE_OUT[29], CACHE_OUT[28], CACHE_OUT[27], CACHE_OUT[26], + CACHE_OUT[25], CACHE_OUT[24], CACHE_OUT[23], CACHE_OUT[22], + CACHE_OUT[21], CACHE_OUT[20], CACHE_OUT[19], CACHE_OUT[18], + CACHE_OUT[17], CACHE_OUT[16], CACHE_OUT[15], CACHE_OUT[14], + CACHE_OUT[13], CACHE_OUT[12], CACHE_OUT[11], CACHE_OUT[10], CACHE_OUT[9] + , CACHE_OUT[8], CACHE_OUT[7], CACHE_OUT[6], CACHE_OUT[5], CACHE_OUT[4], + CACHE_OUT[3], CACHE_OUT[2], CACHE_OUT[1], CACHE_OUT[0]}), .O({ + CACHE_DAT[31], CACHE_DAT[30], CACHE_DAT[29], CACHE_DAT[28], + CACHE_DAT[27], CACHE_DAT[26], CACHE_DAT[25], CACHE_DAT[24], + CACHE_DAT[23], CACHE_DAT[22], CACHE_DAT[21], CACHE_DAT[20], + CACHE_DAT[19], CACHE_DAT[18], CACHE_DAT[17], CACHE_DAT[16], + CACHE_DAT[15], CACHE_DAT[14], CACHE_DAT[13], CACHE_DAT[12], + CACHE_DAT[11], CACHE_DAT[10], CACHE_DAT[9], CACHE_DAT[8], CACHE_DAT[7], + CACHE_DAT[6], CACHE_DAT[5], CACHE_DAT[4], CACHE_DAT[3], CACHE_DAT[2], + CACHE_DAT[1], CACHE_DAT[0]})); + CACHE X1I3595 (.ADDRESS({PC[11], PC[10], PC[9], PC[8], PC[7], PC[6], PC[5] + , PC[4], PC[3], PC[2]}), .CLK(CLK2), .DATAIN({MEM_DAT[31], MEM_DAT[30], + MEM_DAT[29], MEM_DAT[28], MEM_DAT[27], MEM_DAT[26], MEM_DAT[25], + MEM_DAT[24], MEM_DAT[23], MEM_DAT[22], MEM_DAT[21], MEM_DAT[20], + MEM_DAT[19], MEM_DAT[18], MEM_DAT[17], MEM_DAT[16], MEM_DAT[15], + MEM_DAT[14], MEM_DAT[13], MEM_DAT[12], MEM_DAT[11], MEM_DAT[10], + MEM_DAT[9], MEM_DAT[8], MEM_DAT[7], MEM_DAT[6], MEM_DAT[5], MEM_DAT[4], + MEM_DAT[3], MEM_DAT[2], MEM_DAT[1], MEM_DAT[0]}), .DATA({ + CACHE_INSTRUCTION_PRE_DAT[31], CACHE_INSTRUCTION_PRE_DAT[30], + CACHE_INSTRUCTION_PRE_DAT[29], CACHE_INSTRUCTION_PRE_DAT[28], + CACHE_INSTRUCTION_PRE_DAT[27], CACHE_INSTRUCTION_PRE_DAT[26], + CACHE_INSTRUCTION_PRE_DAT[25], CACHE_INSTRUCTION_PRE_DAT[24], + CACHE_INSTRUCTION_PRE_DAT[23], CACHE_INSTRUCTION_PRE_DAT[22], + CACHE_INSTRUCTION_PRE_DAT[21], CACHE_INSTRUCTION_PRE_DAT[20], + CACHE_INSTRUCTION_PRE_DAT[19], CACHE_INSTRUCTION_PRE_DAT[18], + CACHE_INSTRUCTION_PRE_DAT[17], CACHE_INSTRUCTION_PRE_DAT[16], + CACHE_INSTRUCTION_PRE_DAT[15], CACHE_INSTRUCTION_PRE_DAT[14], + CACHE_INSTRUCTION_PRE_DAT[13], CACHE_INSTRUCTION_PRE_DAT[12], + CACHE_INSTRUCTION_PRE_DAT[11], CACHE_INSTRUCTION_PRE_DAT[10], + CACHE_INSTRUCTION_PRE_DAT[9], CACHE_INSTRUCTION_PRE_DAT[8], + CACHE_INSTRUCTION_PRE_DAT[7], CACHE_INSTRUCTION_PRE_DAT[6], + CACHE_INSTRUCTION_PRE_DAT[5], CACHE_INSTRUCTION_PRE_DAT[4], + CACHE_INSTRUCTION_PRE_DAT[3], CACHE_INSTRUCTION_PRE_DAT[2], + CACHE_INSTRUCTION_PRE_DAT[1], CACHE_INSTRUCTION_PRE_DAT[0]}), .HIT + (INST_CACHE_HIT), .PFNIN({INST_PFN[19], INST_PFN[18], INST_PFN[17], + INST_PFN[16], INST_PFN[15], INST_PFN[14], INST_PFN[13], INST_PFN[12], + INST_PFN[11], INST_PFN[10], INST_PFN[9], INST_PFN[8], INST_PFN[7], + INST_PFN[6], INST_PFN[5], INST_PFN[4], INST_PFN[3], INST_PFN[2], + INST_PFN[1], INST_PFN[0]}), .WRITE(X1N5076)); + BUFE32 X1I3610 (.E(MEM_WRITE), .I({CACHE_DAT[31], CACHE_DAT[30], + CACHE_DAT[29], CACHE_DAT[28], CACHE_DAT[27], CACHE_DAT[26], + CACHE_DAT[25], CACHE_DAT[24], CACHE_DAT[23], CACHE_DAT[22], + CACHE_DAT[21], CACHE_DAT[20], CACHE_DAT[19], CACHE_DAT[18], + CACHE_DAT[17], CACHE_DAT[16], CACHE_DAT[15], CACHE_DAT[14], + CACHE_DAT[13], CACHE_DAT[12], CACHE_DAT[11], CACHE_DAT[10], CACHE_DAT[9] + , CACHE_DAT[8], CACHE_DAT[7], CACHE_DAT[6], CACHE_DAT[5], CACHE_DAT[4], + CACHE_DAT[3], CACHE_DAT[2], CACHE_DAT[1], CACHE_DAT[0]}), .O({ + MEM_DAT[31], MEM_DAT[30], MEM_DAT[29], MEM_DAT[28], MEM_DAT[27], + MEM_DAT[26], MEM_DAT[25], MEM_DAT[24], MEM_DAT[23], MEM_DAT[22], + MEM_DAT[21], MEM_DAT[20], MEM_DAT[19], MEM_DAT[18], MEM_DAT[17], + MEM_DAT[16], MEM_DAT[15], MEM_DAT[14], MEM_DAT[13], MEM_DAT[12], + MEM_DAT[11], MEM_DAT[10], MEM_DAT[9], MEM_DAT[8], MEM_DAT[7], MEM_DAT[6] + , MEM_DAT[5], MEM_DAT[4], MEM_DAT[3], MEM_DAT[2], MEM_DAT[1], MEM_DAT[0] + })); + BUFE32 X1I3615 (.E(X1N4234), .I({MEM_DAT[31], MEM_DAT[30], MEM_DAT[29], + MEM_DAT[28], MEM_DAT[27], MEM_DAT[26], MEM_DAT[25], MEM_DAT[24], + MEM_DAT[23], MEM_DAT[22], MEM_DAT[21], MEM_DAT[20], MEM_DAT[19], + MEM_DAT[18], MEM_DAT[17], MEM_DAT[16], MEM_DAT[15], MEM_DAT[14], + MEM_DAT[13], MEM_DAT[12], MEM_DAT[11], MEM_DAT[10], MEM_DAT[9], + MEM_DAT[8], MEM_DAT[7], MEM_DAT[6], MEM_DAT[5], MEM_DAT[4], MEM_DAT[3], + MEM_DAT[2], MEM_DAT[1], MEM_DAT[0]}), .O({CACHE_INST_DAT[31], + CACHE_INST_DAT[30], CACHE_INST_DAT[29], CACHE_INST_DAT[28], + CACHE_INST_DAT[27], CACHE_INST_DAT[26], CACHE_INST_DAT[25], + CACHE_INST_DAT[24], CACHE_INST_DAT[23], CACHE_INST_DAT[22], + CACHE_INST_DAT[21], CACHE_INST_DAT[20], CACHE_INST_DAT[19], + CACHE_INST_DAT[18], CACHE_INST_DAT[17], CACHE_INST_DAT[16], + CACHE_INST_DAT[15], CACHE_INST_DAT[14], CACHE_INST_DAT[13], + CACHE_INST_DAT[12], CACHE_INST_DAT[11], CACHE_INST_DAT[10], + CACHE_INST_DAT[9], CACHE_INST_DAT[8], CACHE_INST_DAT[7], + CACHE_INST_DAT[6], CACHE_INST_DAT[5], CACHE_INST_DAT[4], + CACHE_INST_DAT[3], CACHE_INST_DAT[2], CACHE_INST_DAT[1], + CACHE_INST_DAT[0]})); + BUFE32 X1I3627 (.E(X1N6631), .I({MEM_DAT[31], MEM_DAT[30], MEM_DAT[29], + MEM_DAT[28], MEM_DAT[27], MEM_DAT[26], MEM_DAT[25], MEM_DAT[24], + MEM_DAT[23], MEM_DAT[22], MEM_DAT[21], MEM_DAT[20], MEM_DAT[19], + MEM_DAT[18], MEM_DAT[17], MEM_DAT[16], MEM_DAT[15], MEM_DAT[14], + MEM_DAT[13], MEM_DAT[12], MEM_DAT[11], MEM_DAT[10], MEM_DAT[9], + MEM_DAT[8], MEM_DAT[7], MEM_DAT[6], MEM_DAT[5], MEM_DAT[4], MEM_DAT[3], + MEM_DAT[2], MEM_DAT[1], MEM_DAT[0]}), .O({CACHE_DAT[31], CACHE_DAT[30], + CACHE_DAT[29], CACHE_DAT[28], CACHE_DAT[27], CACHE_DAT[26], + CACHE_DAT[25], CACHE_DAT[24], CACHE_DAT[23], CACHE_DAT[22], + CACHE_DAT[21], CACHE_DAT[20], CACHE_DAT[19], CACHE_DAT[18], + CACHE_DAT[17], CACHE_DAT[16], CACHE_DAT[15], CACHE_DAT[14], + CACHE_DAT[13], CACHE_DAT[12], CACHE_DAT[11], CACHE_DAT[10], CACHE_DAT[9] + , CACHE_DAT[8], CACHE_DAT[7], CACHE_DAT[6], CACHE_DAT[5], CACHE_DAT[4], + CACHE_DAT[3], CACHE_DAT[2], CACHE_DAT[1], CACHE_DAT[0]})); + AND3B1 X1I3654 (.I0(MEM_WRITE_SOON), .I1(CACHE), .I2(DATA_CACHE_HIT), .O + (X1N3655)); + FDR X1I3684 (.C(CLK2), .D(X1N3715), .Q(INST_MEM_ACCESS), .R(X1N4234)); + AND2B1 X1I3714 (.I0(X1N4234), .I1(X1N3715), .O(HALT0)); + FD X1I3720 (.C(ILL_DAMN_WELL_CONNECT_IT_TO_THE_CLOCK), .D(CLK1_NBUF), .Q + (X1N3738)); + OR4 X1I3727 (.I0(HALT0), .I1(HALT1), .I2(HALT2), .I3(HALT3), .O + (ILL_DAMN_WELL_CONNECT_IT_TO_THE_CLOCK)); + SOP3 X1I3729 (.I0(X1N3730), .I1(X1N3744), .I2 + (ILL_DAMN_WELL_CONNECT_IT_TO_THE_CLOCK), .O(X1N3730)); + SOP3 X1I3734 (.I0(X1N3730), .I1(X1N3741), .I2 + (ILL_DAMN_WELL_CONNECT_IT_TO_THE_CLOCK), .O(X1N3744)); + XOR2 X1I3737 (.I0(X1N3738), .I1(CLK1_NBUF), .O(X1N3741)); + OR2 X1I3749 (.I0(INST_MEM_ACCESS), .I1(X1N3683), .O(X1N3715)); + AND4B2 X1I3759 (.I0(DATA_CACHE_HIT), .I1(FLUSH), .I2(CACHE), .I3(X1N5147) + , .O(X1N3766)); + OR3 X1I3761 (.I0(DATA_MEM_ACCESS), .I1(X1N3766), .I2(X1N3834), .O(X1N3641) + ); + AND2B1 X1I3763 (.I0(X1N3757), .I1(X1N3641), .O(HALT1)); + FDR X1I3774 (.C(CLK1), .D(X1N3641), .Q(DATA_MEM_ACCESS), .R(X1N3757)); + FDR X1I3797 (.C(CLK1), .D(X1N3798), .Q(MEM_WRITE), .R(X1N3757)); + AND2B1 X1I3805 (.I0(MEM_WRITE_SOON), .I1(X1N3798), .O(END_READ)); + OR2 X1I3808 (.I0(END_WRITE), .I1(END_READ), .O(X1N3757)); + OR2 X1I3836 (.I0(X1N3837), .I1(X1N3834), .O(X1N3798)); + AND2B1 X1I3842 (.I0(MEM_WRITE), .I1(X1N3837), .O(END_READ_B4_WRITE)); + OR3 X1I3848 (.I0(END_READ_B4_WRITE), .I1(END_READ), .I2(END_WRITE), .O + (X1N3817)); + MMU X1I3860 (.CLK(CLK2), .DIRTY(MMU_DIRTY), .ENTRY_HI({CP0_ENTRY_LO[31], + CP0_ENTRY_LO[30], CP0_ENTRY_LO[29], CP0_ENTRY_LO[28], CP0_ENTRY_LO[27], + CP0_ENTRY_LO[26], CP0_ENTRY_LO[25], CP0_ENTRY_LO[24], CP0_ENTRY_LO[23], + CP0_ENTRY_LO[22], CP0_ENTRY_LO[21], CP0_ENTRY_LO[20], CP0_ENTRY_LO[19], + CP0_ENTRY_LO[18], CP0_ENTRY_LO[17], CP0_ENTRY_LO[16], CP0_ENTRY_LO[15], + CP0_ENTRY_LO[14], CP0_ENTRY_LO[13], CP0_ENTRY_LO[12], CP0_ENTRY_LO[11], + CP0_ENTRY_LO[10], CP0_ENTRY_LO[9], CP0_ENTRY_LO[8], CP0_ENTRY_LO[7], + CP0_ENTRY_LO[6], CP0_ENTRY_LO[5], CP0_ENTRY_LO[4], CP0_ENTRY_LO[3], + CP0_ENTRY_LO[2], CP0_ENTRY_LO[1], CP0_ENTRY_LO[0]}), .ENTRY_HI_OUT({ + MMU_ENTRY_HI[31], MMU_ENTRY_HI[30], MMU_ENTRY_HI[29], MMU_ENTRY_HI[28], + MMU_ENTRY_HI[27], MMU_ENTRY_HI[26], MMU_ENTRY_HI[25], MMU_ENTRY_HI[24], + MMU_ENTRY_HI[23], MMU_ENTRY_HI[22], MMU_ENTRY_HI[21], MMU_ENTRY_HI[20], + MMU_ENTRY_HI[19], MMU_ENTRY_HI[18], MMU_ENTRY_HI[17], MMU_ENTRY_HI[16], + MMU_ENTRY_HI[15], MMU_ENTRY_HI[14], MMU_ENTRY_HI[13], MMU_ENTRY_HI[12], + MMU_ENTRY_HI[11], MMU_ENTRY_HI[10], MMU_ENTRY_HI[9], MMU_ENTRY_HI[8], + MMU_ENTRY_HI[7], MMU_ENTRY_HI[6], MMU_ENTRY_HI[5], MMU_ENTRY_HI[4], + MMU_ENTRY_HI[3], MMU_ENTRY_HI[2], MMU_ENTRY_HI[1], MMU_ENTRY_HI[0]}), + .ENTRY_LO({CP0_ENTRY_HI[31], CP0_ENTRY_HI[30], CP0_ENTRY_HI[29], + CP0_ENTRY_HI[28], CP0_ENTRY_HI[27], CP0_ENTRY_HI[26], CP0_ENTRY_HI[25], + CP0_ENTRY_HI[24], CP0_ENTRY_HI[23], CP0_ENTRY_HI[22], CP0_ENTRY_HI[21], + CP0_ENTRY_HI[20], CP0_ENTRY_HI[19], CP0_ENTRY_HI[18], CP0_ENTRY_HI[17], + CP0_ENTRY_HI[16], CP0_ENTRY_HI[15], CP0_ENTRY_HI[14], CP0_ENTRY_HI[13], + CP0_ENTRY_HI[12], CP0_ENTRY_HI[11], CP0_ENTRY_HI[10], CP0_ENTRY_HI[9], + CP0_ENTRY_HI[8], CP0_ENTRY_HI[7], CP0_ENTRY_HI[6], CP0_ENTRY_HI[5], + CP0_ENTRY_HI[4], CP0_ENTRY_HI[3], CP0_ENTRY_HI[2], CP0_ENTRY_HI[1], + CP0_ENTRY_HI[0]}), .ENTRY_LO_OUT({MMU_ENTRY_LO[31], MMU_ENTRY_LO[30], + MMU_ENTRY_LO[29], MMU_ENTRY_LO[28], MMU_ENTRY_LO[27], MMU_ENTRY_LO[26], + MMU_ENTRY_LO[25], MMU_ENTRY_LO[24], MMU_ENTRY_LO[23], MMU_ENTRY_LO[22], + MMU_ENTRY_LO[21], MMU_ENTRY_LO[20], MMU_ENTRY_LO[19], MMU_ENTRY_LO[18], + MMU_ENTRY_LO[17], MMU_ENTRY_LO[16], MMU_ENTRY_LO[15], MMU_ENTRY_LO[14], + MMU_ENTRY_LO[13], MMU_ENTRY_LO[12], MMU_ENTRY_LO[11], MMU_ENTRY_LO[10], + MMU_ENTRY_LO[9], MMU_ENTRY_LO[8], MMU_ENTRY_LO[7], MMU_ENTRY_LO[6], + MMU_ENTRY_LO[5], MMU_ENTRY_LO[4], MMU_ENTRY_LO[3], MMU_ENTRY_LO[2], + MMU_ENTRY_LO[1], MMU_ENTRY_LO[0]}), .HIT(MMU_HIT), .HIT_BUT_NOT_VALID + (X1N5060), .INDEX_IN({MMU_INDEX[5], MMU_INDEX[4], MMU_INDEX[3], + MMU_INDEX[2], MMU_INDEX[1], MMU_INDEX[0]}), .INDEX_OUT({MMU_INDEX_OUT[5] + , MMU_INDEX_OUT[4], MMU_INDEX_OUT[3], MMU_INDEX_OUT[2], MMU_INDEX_OUT[1] + , MMU_INDEX_OUT[0]}), .LOOK_UP(X1N4176), .NO_CACHE(MMU_DONT_CACHE), + .PFN({MMU_PFN[19], MMU_PFN[18], MMU_PFN[17], MMU_PFN[16], MMU_PFN[15], + MMU_PFN[14], MMU_PFN[13], MMU_PFN[12], MMU_PFN[11], MMU_PFN[10], + MMU_PFN[9], MMU_PFN[8], MMU_PFN[7], MMU_PFN[6], MMU_PFN[5], MMU_PFN[4], + MMU_PFN[3], MMU_PFN[2], MMU_PFN[1], MMU_PFN[0]}), .READ(X1N4177), + .VPN_INTO({MMU_VPN[19], MMU_VPN[18], MMU_VPN[17], MMU_VPN[16], + MMU_VPN[15], MMU_VPN[14], MMU_VPN[13], MMU_VPN[12], MMU_VPN[11], + MMU_VPN[10], MMU_VPN[9], MMU_VPN[8], MMU_VPN[7], MMU_VPN[6], MMU_VPN[5] + , MMU_VPN[4], MMU_VPN[3], MMU_VPN[2], MMU_VPN[1], MMU_VPN[0]}), + .WRITE_IN(MMU_TLB_WRITE)); + BUFT32 X1I3867 (.I({CACHE_INSTRUCTION_PRE_DAT[31], + CACHE_INSTRUCTION_PRE_DAT[30], CACHE_INSTRUCTION_PRE_DAT[29], + CACHE_INSTRUCTION_PRE_DAT[28], CACHE_INSTRUCTION_PRE_DAT[27], + CACHE_INSTRUCTION_PRE_DAT[26], CACHE_INSTRUCTION_PRE_DAT[25], + CACHE_INSTRUCTION_PRE_DAT[24], CACHE_INSTRUCTION_PRE_DAT[23], + CACHE_INSTRUCTION_PRE_DAT[22], CACHE_INSTRUCTION_PRE_DAT[21], + CACHE_INSTRUCTION_PRE_DAT[20], CACHE_INSTRUCTION_PRE_DAT[19], + CACHE_INSTRUCTION_PRE_DAT[18], CACHE_INSTRUCTION_PRE_DAT[17], + CACHE_INSTRUCTION_PRE_DAT[16], CACHE_INSTRUCTION_PRE_DAT[15], + CACHE_INSTRUCTION_PRE_DAT[14], CACHE_INSTRUCTION_PRE_DAT[13], + CACHE_INSTRUCTION_PRE_DAT[12], CACHE_INSTRUCTION_PRE_DAT[11], + CACHE_INSTRUCTION_PRE_DAT[10], CACHE_INSTRUCTION_PRE_DAT[9], + CACHE_INSTRUCTION_PRE_DAT[8], CACHE_INSTRUCTION_PRE_DAT[7], + CACHE_INSTRUCTION_PRE_DAT[6], CACHE_INSTRUCTION_PRE_DAT[5], + CACHE_INSTRUCTION_PRE_DAT[4], CACHE_INSTRUCTION_PRE_DAT[3], + CACHE_INSTRUCTION_PRE_DAT[2], CACHE_INSTRUCTION_PRE_DAT[1], + CACHE_INSTRUCTION_PRE_DAT[0]}), .O({CACHE_INST_DAT[31], + CACHE_INST_DAT[30], CACHE_INST_DAT[29], CACHE_INST_DAT[28], + CACHE_INST_DAT[27], CACHE_INST_DAT[26], CACHE_INST_DAT[25], + CACHE_INST_DAT[24], CACHE_INST_DAT[23], CACHE_INST_DAT[22], + CACHE_INST_DAT[21], CACHE_INST_DAT[20], CACHE_INST_DAT[19], + CACHE_INST_DAT[18], CACHE_INST_DAT[17], CACHE_INST_DAT[16], + CACHE_INST_DAT[15], CACHE_INST_DAT[14], CACHE_INST_DAT[13], + CACHE_INST_DAT[12], CACHE_INST_DAT[11], CACHE_INST_DAT[10], + CACHE_INST_DAT[9], CACHE_INST_DAT[8], CACHE_INST_DAT[7], + CACHE_INST_DAT[6], CACHE_INST_DAT[5], CACHE_INST_DAT[4], + CACHE_INST_DAT[3], CACHE_INST_DAT[2], CACHE_INST_DAT[1], + CACHE_INST_DAT[0]}), .T(X1N4234)); + MUX2_1X32 X1I389 (.A({IMM[31], IMM[30], IMM[29], IMM[28], IMM[27], IMM[26] + , IMM[25], IMM[24], IMM[23], IMM[22], IMM[21], IMM[20], IMM[19], IMM[18] + , IMM[17], IMM[16], IMM[15], IMM[14], IMM[13], IMM[12], IMM[11], IMM[10] + , IMM[9], IMM[8], IMM[7], IMM[6], IMM[5], IMM[4], IMM[3], IMM[2], IMM[1] + , IMM[0]}), .B({REG_B_EXE_FF[31], REG_B_EXE_FF[30], REG_B_EXE_FF[29], + REG_B_EXE_FF[28], REG_B_EXE_FF[27], REG_B_EXE_FF[26], REG_B_EXE_FF[25], + REG_B_EXE_FF[24], REG_B_EXE_FF[23], REG_B_EXE_FF[22], REG_B_EXE_FF[21], + REG_B_EXE_FF[20], REG_B_EXE_FF[19], REG_B_EXE_FF[18], REG_B_EXE_FF[17], + REG_B_EXE_FF[16], REG_B_EXE_FF[15], REG_B_EXE_FF[14], REG_B_EXE_FF[13], + REG_B_EXE_FF[12], REG_B_EXE_FF[11], REG_B_EXE_FF[10], REG_B_EXE_FF[9], + REG_B_EXE_FF[8], REG_B_EXE_FF[7], REG_B_EXE_FF[6], REG_B_EXE_FF[5], + REG_B_EXE_FF[4], REG_B_EXE_FF[3], REG_B_EXE_FF[2], REG_B_EXE_FF[1], + REG_B_EXE_FF[0]}), .SB(SPECIAL_EXE), .S({B_EXE_INPUT[31], + B_EXE_INPUT[30], B_EXE_INPUT[29], B_EXE_INPUT[28], B_EXE_INPUT[27], + B_EXE_INPUT[26], B_EXE_INPUT[25], B_EXE_INPUT[24], B_EXE_INPUT[23], + B_EXE_INPUT[22], B_EXE_INPUT[21], B_EXE_INPUT[20], B_EXE_INPUT[19], + B_EXE_INPUT[18], B_EXE_INPUT[17], B_EXE_INPUT[16], B_EXE_INPUT[15], + B_EXE_INPUT[14], B_EXE_INPUT[13], B_EXE_INPUT[12], B_EXE_INPUT[11], + B_EXE_INPUT[10], B_EXE_INPUT[9], B_EXE_INPUT[8], B_EXE_INPUT[7], + B_EXE_INPUT[6], B_EXE_INPUT[5], B_EXE_INPUT[4], B_EXE_INPUT[3], + B_EXE_INPUT[2], B_EXE_INPUT[1], B_EXE_INPUT[0]})); + REG32 X1I394 (.CLK(CLK1), .EN(GLB_EN), .I({REG_B_EXE_FF[31], + REG_B_EXE_FF[30], REG_B_EXE_FF[29], REG_B_EXE_FF[28], REG_B_EXE_FF[27], + REG_B_EXE_FF[26], REG_B_EXE_FF[25], REG_B_EXE_FF[24], REG_B_EXE_FF[23], + REG_B_EXE_FF[22], REG_B_EXE_FF[21], REG_B_EXE_FF[20], REG_B_EXE_FF[19], + REG_B_EXE_FF[18], REG_B_EXE_FF[17], REG_B_EXE_FF[16], REG_B_EXE_FF[15], + REG_B_EXE_FF[14], REG_B_EXE_FF[13], REG_B_EXE_FF[12], REG_B_EXE_FF[11], + REG_B_EXE_FF[10], REG_B_EXE_FF[9], REG_B_EXE_FF[8], REG_B_EXE_FF[7], + REG_B_EXE_FF[6], REG_B_EXE_FF[5], REG_B_EXE_FF[4], REG_B_EXE_FF[3], + REG_B_EXE_FF[2], REG_B_EXE_FF[1], REG_B_EXE_FF[0]}), .O({REG_B_MEM[31], + REG_B_MEM[30], REG_B_MEM[29], REG_B_MEM[28], REG_B_MEM[27], + REG_B_MEM[26], REG_B_MEM[25], REG_B_MEM[24], REG_B_MEM[23], + REG_B_MEM[22], REG_B_MEM[21], REG_B_MEM[20], REG_B_MEM[19], + REG_B_MEM[18], REG_B_MEM[17], REG_B_MEM[16], REG_B_MEM[15], + REG_B_MEM[14], REG_B_MEM[13], REG_B_MEM[12], REG_B_MEM[11], + REG_B_MEM[10], REG_B_MEM[9], REG_B_MEM[8], REG_B_MEM[7], REG_B_MEM[6], + REG_B_MEM[5], REG_B_MEM[4], REG_B_MEM[3], REG_B_MEM[2], REG_B_MEM[1], + REG_B_MEM[0]})); + REG32 X1I4007 (.CLK(CLK1), .EN(X1N4042), .I({CP0_ENTRY_LO_NEXT[31], + CP0_ENTRY_LO_NEXT[30], CP0_ENTRY_LO_NEXT[29], CP0_ENTRY_LO_NEXT[28], + CP0_ENTRY_LO_NEXT[27], CP0_ENTRY_LO_NEXT[26], CP0_ENTRY_LO_NEXT[25], + CP0_ENTRY_LO_NEXT[24], CP0_ENTRY_LO_NEXT[23], CP0_ENTRY_LO_NEXT[22], + CP0_ENTRY_LO_NEXT[21], CP0_ENTRY_LO_NEXT[20], CP0_ENTRY_LO_NEXT[19], + CP0_ENTRY_LO_NEXT[18], CP0_ENTRY_LO_NEXT[17], CP0_ENTRY_LO_NEXT[16], + CP0_ENTRY_LO_NEXT[15], CP0_ENTRY_LO_NEXT[14], CP0_ENTRY_LO_NEXT[13], + CP0_ENTRY_LO_NEXT[12], CP0_ENTRY_LO_NEXT[11], CP0_ENTRY_LO_NEXT[10], + CP0_ENTRY_LO_NEXT[9], CP0_ENTRY_LO_NEXT[8], CP0_ENTRY_LO_NEXT[7], + CP0_ENTRY_LO_NEXT[6], CP0_ENTRY_LO_NEXT[5], CP0_ENTRY_LO_NEXT[4], + CP0_ENTRY_LO_NEXT[3], CP0_ENTRY_LO_NEXT[2], CP0_ENTRY_LO_NEXT[1], + CP0_ENTRY_LO_NEXT[0]}), .O({CP0_ENTRY_LO[31], CP0_ENTRY_LO[30], + CP0_ENTRY_LO[29], CP0_ENTRY_LO[28], CP0_ENTRY_LO[27], CP0_ENTRY_LO[26], + CP0_ENTRY_LO[25], CP0_ENTRY_LO[24], CP0_ENTRY_LO[23], CP0_ENTRY_LO[22], + CP0_ENTRY_LO[21], CP0_ENTRY_LO[20], CP0_ENTRY_LO[19], CP0_ENTRY_LO[18], + CP0_ENTRY_LO[17], CP0_ENTRY_LO[16], CP0_ENTRY_LO[15], CP0_ENTRY_LO[14], + CP0_ENTRY_LO[13], CP0_ENTRY_LO[12], CP0_ENTRY_LO[11], CP0_ENTRY_LO[10], + CP0_ENTRY_LO[9], CP0_ENTRY_LO[8], CP0_ENTRY_LO[7], CP0_ENTRY_LO[6], + CP0_ENTRY_LO[5], CP0_ENTRY_LO[4], CP0_ENTRY_LO[3], CP0_ENTRY_LO[2], + CP0_ENTRY_LO[1], CP0_ENTRY_LO[0]})); + BUFE32 X1I4015 (.E(CPO_READ_ENTRY_LO), .I({CP0_ENTRY_LO[31], + CP0_ENTRY_LO[30], CP0_ENTRY_LO[29], CP0_ENTRY_LO[28], CP0_ENTRY_LO[27], + CP0_ENTRY_LO[26], CP0_ENTRY_LO[25], CP0_ENTRY_LO[24], CP0_ENTRY_LO[23], + CP0_ENTRY_LO[22], CP0_ENTRY_LO[21], CP0_ENTRY_LO[20], CP0_ENTRY_LO[19], + CP0_ENTRY_LO[18], CP0_ENTRY_LO[17], CP0_ENTRY_LO[16], CP0_ENTRY_LO[15], + CP0_ENTRY_LO[14], CP0_ENTRY_LO[13], CP0_ENTRY_LO[12], CP0_ENTRY_LO[11], + CP0_ENTRY_LO[10], CP0_ENTRY_LO[9], CP0_ENTRY_LO[8], CP0_ENTRY_LO[7], + CP0_ENTRY_LO[6], CP0_ENTRY_LO[5], CP0_ENTRY_LO[4], CP0_ENTRY_LO[3], + CP0_ENTRY_LO[2], CP0_ENTRY_LO[1], CP0_ENTRY_LO[0]}), .O({CACHE_DAT[31], + CACHE_DAT[30], CACHE_DAT[29], CACHE_DAT[28], CACHE_DAT[27], + CACHE_DAT[26], CACHE_DAT[25], CACHE_DAT[24], CACHE_DAT[23], + CACHE_DAT[22], CACHE_DAT[21], CACHE_DAT[20], CACHE_DAT[19], + CACHE_DAT[18], CACHE_DAT[17], CACHE_DAT[16], CACHE_DAT[15], + CACHE_DAT[14], CACHE_DAT[13], CACHE_DAT[12], CACHE_DAT[11], + CACHE_DAT[10], CACHE_DAT[9], CACHE_DAT[8], CACHE_DAT[7], CACHE_DAT[6], + CACHE_DAT[5], CACHE_DAT[4], CACHE_DAT[3], CACHE_DAT[2], CACHE_DAT[1], + CACHE_DAT[0]})); + MUX2_1X32 X1I4031 (.A({CACHE_DAT[31], CACHE_DAT[30], CACHE_DAT[29], + CACHE_DAT[28], CACHE_DAT[27], CACHE_DAT[26], CACHE_DAT[25], + CACHE_DAT[24], CACHE_DAT[23], CACHE_DAT[22], CACHE_DAT[21], + CACHE_DAT[20], CACHE_DAT[19], CACHE_DAT[18], CACHE_DAT[17], + CACHE_DAT[16], CACHE_DAT[15], CACHE_DAT[14], CACHE_DAT[13], + CACHE_DAT[12], CACHE_DAT[11], CACHE_DAT[10], CACHE_DAT[9], CACHE_DAT[8] + , CACHE_DAT[7], CACHE_DAT[6], CACHE_DAT[5], CACHE_DAT[4], CACHE_DAT[3], + CACHE_DAT[2], CACHE_DAT[1], CACHE_DAT[0]}), .B({MMU_ENTRY_HI[31], + MMU_ENTRY_HI[30], MMU_ENTRY_HI[29], MMU_ENTRY_HI[28], MMU_ENTRY_HI[27], + MMU_ENTRY_HI[26], MMU_ENTRY_HI[25], MMU_ENTRY_HI[24], MMU_ENTRY_HI[23], + MMU_ENTRY_HI[22], MMU_ENTRY_HI[21], MMU_ENTRY_HI[20], MMU_ENTRY_HI[19], + MMU_ENTRY_HI[18], MMU_ENTRY_HI[17], MMU_ENTRY_HI[16], MMU_ENTRY_HI[15], + MMU_ENTRY_HI[14], MMU_ENTRY_HI[13], MMU_ENTRY_HI[12], MMU_ENTRY_HI[11], + MMU_ENTRY_HI[10], MMU_ENTRY_HI[9], MMU_ENTRY_HI[8], MMU_ENTRY_HI[7], + MMU_ENTRY_HI[6], MMU_ENTRY_HI[5], MMU_ENTRY_HI[4], MMU_ENTRY_HI[3], + MMU_ENTRY_HI[2], MMU_ENTRY_HI[1], MMU_ENTRY_HI[0]}), .SB(X1N5287), .S({ + CP0_ENTRY_HI_NEXT[31], CP0_ENTRY_HI_NEXT[30], CP0_ENTRY_HI_NEXT[29], + CP0_ENTRY_HI_NEXT[28], CP0_ENTRY_HI_NEXT[27], CP0_ENTRY_HI_NEXT[26], + CP0_ENTRY_HI_NEXT[25], CP0_ENTRY_HI_NEXT[24], CP0_ENTRY_HI_NEXT[23], + CP0_ENTRY_HI_NEXT[22], CP0_ENTRY_HI_NEXT[21], CP0_ENTRY_HI_NEXT[20], + CP0_ENTRY_HI_NEXT[19], CP0_ENTRY_HI_NEXT[18], CP0_ENTRY_HI_NEXT[17], + CP0_ENTRY_HI_NEXT[16], CP0_ENTRY_HI_NEXT[15], CP0_ENTRY_HI_NEXT[14], + CP0_ENTRY_HI_NEXT[13], CP0_ENTRY_HI_NEXT[12], CP0_ENTRY_HI_NEXT[11], + CP0_ENTRY_HI_NEXT[10], CP0_ENTRY_HI_NEXT[9], CP0_ENTRY_HI_NEXT[8], + CP0_ENTRY_HI_NEXT[7], CP0_ENTRY_HI_NEXT[6], CP0_ENTRY_HI_NEXT[5], + CP0_ENTRY_HI_NEXT[4], CP0_ENTRY_HI_NEXT[3], CP0_ENTRY_HI_NEXT[2], + CP0_ENTRY_HI_NEXT[1], CP0_ENTRY_HI_NEXT[0]})); + BUFE32 X1I4034 (.E(CPO_READ_ENTRY_HI), .I({CP0_ENTRY_HI[31], + CP0_ENTRY_HI[30], CP0_ENTRY_HI[29], CP0_ENTRY_HI[28], CP0_ENTRY_HI[27], + CP0_ENTRY_HI[26], CP0_ENTRY_HI[25], CP0_ENTRY_HI[24], CP0_ENTRY_HI[23], + CP0_ENTRY_HI[22], CP0_ENTRY_HI[21], CP0_ENTRY_HI[20], CP0_ENTRY_HI[19], + CP0_ENTRY_HI[18], CP0_ENTRY_HI[17], CP0_ENTRY_HI[16], CP0_ENTRY_HI[15], + CP0_ENTRY_HI[14], CP0_ENTRY_HI[13], CP0_ENTRY_HI[12], CP0_ENTRY_HI[11], + CP0_ENTRY_HI[10], CP0_ENTRY_HI[9], CP0_ENTRY_HI[8], CP0_ENTRY_HI[7], + CP0_ENTRY_HI[6], CP0_ENTRY_HI[5], CP0_ENTRY_HI[4], CP0_ENTRY_HI[3], + CP0_ENTRY_HI[2], CP0_ENTRY_HI[1], CP0_ENTRY_HI[0]}), .O({CACHE_DAT[31], + CACHE_DAT[30], CACHE_DAT[29], CACHE_DAT[28], CACHE_DAT[27], + CACHE_DAT[26], CACHE_DAT[25], CACHE_DAT[24], CACHE_DAT[23], + CACHE_DAT[22], CACHE_DAT[21], CACHE_DAT[20], CACHE_DAT[19], + CACHE_DAT[18], CACHE_DAT[17], CACHE_DAT[16], CACHE_DAT[15], + CACHE_DAT[14], CACHE_DAT[13], CACHE_DAT[12], CACHE_DAT[11], + CACHE_DAT[10], CACHE_DAT[9], CACHE_DAT[8], CACHE_DAT[7], CACHE_DAT[6], + CACHE_DAT[5], CACHE_DAT[4], CACHE_DAT[3], CACHE_DAT[2], CACHE_DAT[1], + CACHE_DAT[0]})); + OR2 X1I4041 (.I0(CPO_WRITE_ENTRY_LO), .I1(MMU_TLB_READ), .O(X1N4042)); + M2_1X6 X1I4058 (.A({MMU_INDEX_OUT[5], MMU_INDEX_OUT[4], MMU_INDEX_OUT[3], + MMU_INDEX_OUT[2], MMU_INDEX_OUT[1], MMU_INDEX_OUT[0]}), .B({ + CACHE_DAT[13], CACHE_DAT[12], CACHE_DAT[11], CACHE_DAT[10], CACHE_DAT[9] + , CACHE_DAT[8]}), .O({CP0_INDEX_NEXT[5], CP0_INDEX_NEXT[4], + CP0_INDEX_NEXT[3], CP0_INDEX_NEXT[2], CP0_INDEX_NEXT[1], + CP0_INDEX_NEXT[0]}), .SB(CPO_WRITTE_INDEX)); + OR2 X1I4066 (.I0(MMU_TLB_LOOK_UP), .I1(CPO_WRITTE_INDEX), .O(X1N4067)); + FDE X1I4069 (.C(CLK1), .CE(X1N4067), .D(X1N4072), .Q(INDEX31)); + M2_1 X1I4070 (.D0(MMU_HIT), .D1(CACHE_DAT[31]), .O(X1N4072), .S0 + (CPO_WRITTE_INDEX)); + REG20 X1I4089 (.CLK(CLK1), .EN(VCC), .I({MMU_PFN[19], MMU_PFN[18], + MMU_PFN[17], MMU_PFN[16], MMU_PFN[15], MMU_PFN[14], MMU_PFN[13], + MMU_PFN[12], MMU_PFN[11], MMU_PFN[10], MMU_PFN[9], MMU_PFN[8], + MMU_PFN[7], MMU_PFN[6], MMU_PFN[5], MMU_PFN[4], MMU_PFN[3], MMU_PFN[2], + MMU_PFN[1], MMU_PFN[0]}), .O({INST_PFN[19], INST_PFN[18], INST_PFN[17], + INST_PFN[16], INST_PFN[15], INST_PFN[14], INST_PFN[13], INST_PFN[12], + INST_PFN[11], INST_PFN[10], INST_PFN[9], INST_PFN[8], INST_PFN[7], + INST_PFN[6], INST_PFN[5], INST_PFN[4], INST_PFN[3], INST_PFN[2], + INST_PFN[1], INST_PFN[0]})); + M2_1X20 X1I4090 (.A({EXE_FF[31], EXE_FF[30], EXE_FF[29], EXE_FF[28], + EXE_FF[27], EXE_FF[26], EXE_FF[25], EXE_FF[24], EXE_FF[23], EXE_FF[22], + EXE_FF[21], EXE_FF[20], EXE_FF[19], EXE_FF[18], EXE_FF[17], EXE_FF[16], + EXE_FF[15], EXE_FF[14], EXE_FF[13], EXE_FF[12]}), .B({PC[31], PC[30], + PC[29], PC[28], PC[27], PC[26], PC[25], PC[24], PC[23], PC[22], PC[21], + PC[20], PC[19], PC[18], PC[17], PC[16], PC[15], PC[14], PC[13], PC[12]}) + , .SB(X1N4112), .S({MMU_VPN[19], MMU_VPN[18], MMU_VPN[17], MMU_VPN[16], + MMU_VPN[15], MMU_VPN[14], MMU_VPN[13], MMU_VPN[12], MMU_VPN[11], + MMU_VPN[10], MMU_VPN[9], MMU_VPN[8], MMU_VPN[7], MMU_VPN[6], MMU_VPN[5] + , MMU_VPN[4], MMU_VPN[3], MMU_VPN[2], MMU_VPN[1], MMU_VPN[0]})); + REG20 X1I4094 (.CLK(CLK2), .EN(GLB_EN), .I({MMU_PFN[19], MMU_PFN[18], + MMU_PFN[17], MMU_PFN[16], MMU_PFN[15], MMU_PFN[14], MMU_PFN[13], + MMU_PFN[12], MMU_PFN[11], MMU_PFN[10], MMU_PFN[9], MMU_PFN[8], + MMU_PFN[7], MMU_PFN[6], MMU_PFN[5], MMU_PFN[4], MMU_PFN[3], MMU_PFN[2], + MMU_PFN[1], MMU_PFN[0]}), .O({DATA_PFN[19], DATA_PFN[18], DATA_PFN[17], + DATA_PFN[16], DATA_PFN[15], DATA_PFN[14], DATA_PFN[13], DATA_PFN[12], + DATA_PFN[11], DATA_PFN[10], DATA_PFN[9], DATA_PFN[8], DATA_PFN[7], + DATA_PFN[6], DATA_PFN[5], DATA_PFN[4], DATA_PFN[3], DATA_PFN[2], + DATA_PFN[1], DATA_PFN[0]})); + M2_1X6 X1I4128 (.A({INDEX[13], INDEX[12], INDEX[11], INDEX[10], INDEX[9], + INDEX[8]}), .B({RANDOM[13], RANDOM[12], RANDOM[11], RANDOM[10], + RANDOM[9], RANDOM[8]}), .O({MMU_INDEX[5], MMU_INDEX[4], MMU_INDEX[3], + MMU_INDEX[2], MMU_INDEX[1], MMU_INDEX[0]}), .SB(MMU_TLB_WRITE_RANDOM)); + OR2B1 X1I4141 (.I0(X1N4148), .I1(FLUSH), .O(X1N4142)); + AND3B1 X1I4144 (.I0(INSTRUCTION[31]), .I1(INSTRUCTION[25]), .I2 + (SELECT_CPO), .O(X1N4148)); + BUF X1I4151 (.I(CP0_INSTRUCTION[0]), .O(MMU_TLB_READ)); + BUF X1I4152 (.I(CP0_INSTRUCTION[3]), .O(MMU_TLB_LOOK_UP)); + BUF X1I4153 (.I(CP0_INSTRUCTION[1]), .O(MMU_TLB_WRITE)); + BUF X1I4159 (.I(CP0_INSTRUCTION[2]), .O(MMU_TLB_WRITE_RANDOM)); + BUF X1I4164 (.I(CP0_INSTRUCTION[4]), .O(CP0_RETURN_FROM_EXCEPTION)); + AND2B1 X1I4175 (.I0(X1N4112), .I1(MMU_TLB_LOOK_UP), .O(X1N4176)); + AND2B1 X1I4178 (.I0(X1N4112), .I1(MMU_TLB_READ), .O(X1N4177)); + INC32 X1I426 (.A({PC[31], PC[30], PC[29], PC[28], PC[27], PC[26], PC[25], + PC[24], PC[23], PC[22], PC[21], PC[20], PC[19], PC[18], PC[17], PC[16], + PC[15], PC[14], PC[13], PC[12], PC[11], PC[10], PC[9], PC[8], PC[7], + PC[6], PC[5], PC[4], PC[3], PC[2], PC[1], PC[0]}), .S({PC_PLUS_FOUR[31] + , PC_PLUS_FOUR[30], PC_PLUS_FOUR[29], PC_PLUS_FOUR[28], PC_PLUS_FOUR[27] + , PC_PLUS_FOUR[26], PC_PLUS_FOUR[25], PC_PLUS_FOUR[24], PC_PLUS_FOUR[23] + , PC_PLUS_FOUR[22], PC_PLUS_FOUR[21], PC_PLUS_FOUR[20], PC_PLUS_FOUR[19] + , PC_PLUS_FOUR[18], PC_PLUS_FOUR[17], PC_PLUS_FOUR[16], PC_PLUS_FOUR[15] + , PC_PLUS_FOUR[14], PC_PLUS_FOUR[13], PC_PLUS_FOUR[12], PC_PLUS_FOUR[11] + , PC_PLUS_FOUR[10], PC_PLUS_FOUR[9], PC_PLUS_FOUR[8], PC_PLUS_FOUR[7], + PC_PLUS_FOUR[6], PC_PLUS_FOUR[5], PC_PLUS_FOUR[4], PC_PLUS_FOUR[3], + PC_PLUS_FOUR[2], PC_PLUS_FOUR[1], PC_PLUS_FOUR[0]})); + MEM_DELAY X1I4311 (.C(CLK1), .D(MEM_WRITE), .Q(END_WRITE), .R(X1N3757)); + MEM_DELAY X1I4319 (.C(CLK1), .D(DATA_MEM_ACCESS), .Q(X1N3837), .R(X1N3757) + ); + MEM_DELAY X1I4322 (.C(CLK2), .D(INST_MEM_ACCESS), .Q(X1N4234), .R + (X1N4234)); + GND X1I4333 (.G(HALT2)); + GND X1I4335 (.G(HALT3)); + BUTTONS X1I4339 (.CLK(X1N2274), .SW1(SW1), .SW2(SW2), .SW3(RESET_IN)); + INV X1I4354 (.I(X1N3744), .O(GLB_EN)); + REG32 X1I4360 (.CLK(CLK1), .EN(INTERRUPT_MEM), .I({MEM_PC[31], MEM_PC[30] + , MEM_PC[29], MEM_PC[28], MEM_PC[27], MEM_PC[26], MEM_PC[25], MEM_PC[24] + , MEM_PC[23], MEM_PC[22], MEM_PC[21], MEM_PC[20], MEM_PC[19], MEM_PC[18] + , MEM_PC[17], MEM_PC[16], MEM_PC[15], MEM_PC[14], MEM_PC[13], MEM_PC[12] + , MEM_PC[11], MEM_PC[10], MEM_PC[9], MEM_PC[8], MEM_PC[7], MEM_PC[6], + MEM_PC[5], MEM_PC[4], MEM_PC[3], MEM_PC[2], MEM_PC[1], MEM_PC[0]}), .O({ + EPC[31], EPC[30], EPC[29], EPC[28], EPC[27], EPC[26], EPC[25], EPC[24], + EPC[23], EPC[22], EPC[21], EPC[20], EPC[19], EPC[18], EPC[17], EPC[16], + EPC[15], EPC[14], EPC[13], EPC[12], EPC[11], EPC[10], EPC[9], EPC[8], + EPC[7], EPC[6], EPC[5], EPC[4], EPC[3], EPC[2], EPC[1], EPC[0]})); + FDE X1I4380 (.C(CLK2), .CE(GLB_EN), .D(MMU_DONT_CACHE), .Q + (MMU_DONT_CACHE_DATA)); + FDE X1I4388 (.C(CLK2), .CE(GLB_EN), .D(MMU_DIRTY), .Q(MMU_DIRTY_DATA)); + FDE X1I4393 (.C(CLK2), .CE(GLB_EN), .D(MMU_HIT), .Q(MMU_HIT_DATA)); + FDE X1I4400 (.C(CLK1), .CE(GLB_EN), .D(MMU_HIT), .Q(MMU_HIT_INSTR)); + FDE X1I4407 (.C(CLK1), .CE(GLB_EN), .D(MMU_DONT_CACHE), .Q + (MMU_DONT_CACHE_INTR)); + INV X1I4414 (.I(MMU_HIT_INSTR), .O(INT_FETCH_TLBL)); + OR2B1 X1I4416 (.I0(INST_CACHE_HIT), .I1(MMU_DONT_CACHE_INTR), .O(X1N4422) + ); + AND2B1 X1I4426 (.I0(MMU_DONT_CACHE_INTR), .I1(X1N4234), .O(X1N5076)); + AND2B1 X1I4430 (.I0(MMU_DONT_CACHE_DATA), .I1(X1N4431), .O(DATA_CACHE_HIT) + ); + OR3 X1I4432 (.I0(PC[0]), .I1(PC[1]), .I2(X1N5947), .O(INT_FETCH_ADEL) + ); + MUX3_1X32 X1I444 (.A({REG_A_EXE[31], REG_A_EXE[30], REG_A_EXE[29], + REG_A_EXE[28], REG_A_EXE[27], REG_A_EXE[26], REG_A_EXE[25], + REG_A_EXE[24], REG_A_EXE[23], REG_A_EXE[22], REG_A_EXE[21], + REG_A_EXE[20], REG_A_EXE[19], REG_A_EXE[18], REG_A_EXE[17], + REG_A_EXE[16], REG_A_EXE[15], REG_A_EXE[14], REG_A_EXE[13], + REG_A_EXE[12], REG_A_EXE[11], REG_A_EXE[10], REG_A_EXE[9], REG_A_EXE[8] + , REG_A_EXE[7], REG_A_EXE[6], REG_A_EXE[5], REG_A_EXE[4], REG_A_EXE[3], + REG_A_EXE[2], REG_A_EXE[1], REG_A_EXE[0]}), .B({SEL_PORT_A_MEM, + MEM_FF[31], MEM_FF[30], MEM_FF[29], MEM_FF[28], MEM_FF[27], MEM_FF[26], + MEM_FF[25], MEM_FF[24], MEM_FF[23], MEM_FF[22], MEM_FF[21], MEM_FF[20], + MEM_FF[19], MEM_FF[18], MEM_FF[17], MEM_FF[16], MEM_FF[15], MEM_FF[14], + MEM_FF[13], MEM_FF[12], MEM_FF[11], MEM_FF[10], MEM_FF[9], MEM_FF[8], + MEM_FF[7], MEM_FF[6], MEM_FF[5], MEM_FF[4], MEM_FF[3], MEM_FF[2], + MEM_FF[1], MEM_FF[0]}), .C({SEL_PORT_A_ALU, EXE_FF[31], EXE_FF[30], + EXE_FF[29], EXE_FF[28], EXE_FF[27], EXE_FF[26], EXE_FF[25], EXE_FF[24], + EXE_FF[23], EXE_FF[22], EXE_FF[21], EXE_FF[20], EXE_FF[19], EXE_FF[18], + EXE_FF[17], EXE_FF[16], EXE_FF[15], EXE_FF[14], EXE_FF[13], EXE_FF[12], + EXE_FF[11], EXE_FF[10], EXE_FF[9], EXE_FF[8], EXE_FF[7], EXE_FF[6], + EXE_FF[5], EXE_FF[4], EXE_FF[3], EXE_FF[2], EXE_FF[1], EXE_FF[0]}), .S({ + REG_A_EXE_FF[31], REG_A_EXE_FF[30], REG_A_EXE_FF[29], REG_A_EXE_FF[28], + REG_A_EXE_FF[27], REG_A_EXE_FF[26], REG_A_EXE_FF[25], REG_A_EXE_FF[24], + REG_A_EXE_FF[23], REG_A_EXE_FF[22], REG_A_EXE_FF[21], REG_A_EXE_FF[20], + REG_A_EXE_FF[19], REG_A_EXE_FF[18], REG_A_EXE_FF[17], REG_A_EXE_FF[16], + REG_A_EXE_FF[15], REG_A_EXE_FF[14], REG_A_EXE_FF[13], REG_A_EXE_FF[12], + REG_A_EXE_FF[11], REG_A_EXE_FF[10], REG_A_EXE_FF[9], REG_A_EXE_FF[8], + REG_A_EXE_FF[7], REG_A_EXE_FF[6], REG_A_EXE_FF[5], REG_A_EXE_FF[4], + REG_A_EXE_FF[3], REG_A_EXE_FF[2], REG_A_EXE_FF[1], REG_A_EXE_FF[0]})); + FDRE X1I4445 (.C(CLK2), .CE(GLB_EN), .D(INT_FETCH_ADEL), .Q(INT_DEC_ADEL) + , .R(FLUSH)); + FDRE X1I4450 (.C(CLK2), .CE(GLB_EN), .D(INT_FETCH_TLBL), .Q(INT_DEC_TLBL) + , .R(FLUSH)); + AND4B1 X1I4491 (.I0(FLUSH), .I1(MEM_WRITE_SOON), .I2(X1N5147), .I3 + (X1N5616), .O(X1N3834)); + FDE X1I4505 (.C(CLK1), .CE(GLB_EN), .D(INTERRUPT_MEM), .Q(INTERRUPT)); + GND16 X1I4538 (.G({GND[15], GND[14], GND[13], GND[12], GND[11], GND[10], + GND[9], GND[8], GND[7], GND[6], GND[5], GND[4], GND[3], GND[2], GND[1], + GND[0]})); + GND16 X1I4541 (.G({GND[31], GND[30], GND[29], GND[28], GND[27], GND[26], + GND[25], GND[24], GND[23], GND[22], GND[21], GND[20], GND[19], GND[18], + GND[17], GND[16]})); + BUFE32 X1I4607 (.E(CPO_READ_BADVADDR), .I({CPO_BADVADDR[31], + CPO_BADVADDR[30], CPO_BADVADDR[29], CPO_BADVADDR[28], CPO_BADVADDR[27], + CPO_BADVADDR[26], CPO_BADVADDR[25], CPO_BADVADDR[24], CPO_BADVADDR[23], + CPO_BADVADDR[22], CPO_BADVADDR[21], CPO_BADVADDR[20], CPO_BADVADDR[19], + CPO_BADVADDR[18], CPO_BADVADDR[17], CPO_BADVADDR[16], CPO_BADVADDR[15], + CPO_BADVADDR[14], CPO_BADVADDR[13], CPO_BADVADDR[12], CPO_BADVADDR[11], + CPO_BADVADDR[10], CPO_BADVADDR[9], CPO_BADVADDR[8], CPO_BADVADDR[7], + CPO_BADVADDR[6], CPO_BADVADDR[5], CPO_BADVADDR[4], CPO_BADVADDR[3], + CPO_BADVADDR[2], CPO_BADVADDR[1], CPO_BADVADDR[0]}), .O({CACHE_DAT[31], + CACHE_DAT[30], CACHE_DAT[29], CACHE_DAT[28], CACHE_DAT[27], + CACHE_DAT[26], CACHE_DAT[25], CACHE_DAT[24], CACHE_DAT[23], + CACHE_DAT[22], CACHE_DAT[21], CACHE_DAT[20], CACHE_DAT[19], + CACHE_DAT[18], CACHE_DAT[17], CACHE_DAT[16], CACHE_DAT[15], + CACHE_DAT[14], CACHE_DAT[13], CACHE_DAT[12], CACHE_DAT[11], + CACHE_DAT[10], CACHE_DAT[9], CACHE_DAT[8], CACHE_DAT[7], CACHE_DAT[6], + CACHE_DAT[5], CACHE_DAT[4], CACHE_DAT[3], CACHE_DAT[2], CACHE_DAT[1], + CACHE_DAT[0]})); + REG32 X1I4610 (.CLK(CLK1), .EN(V_ADDRESS_ERROR), .I({EXE_FF[31], + EXE_FF[30], EXE_FF[29], EXE_FF[28], EXE_FF[27], EXE_FF[26], EXE_FF[25], + EXE_FF[24], EXE_FF[23], EXE_FF[22], EXE_FF[21], EXE_FF[20], EXE_FF[19], + EXE_FF[18], EXE_FF[17], EXE_FF[16], EXE_FF[15], EXE_FF[14], EXE_FF[13], + EXE_FF[12], EXE_FF[11], EXE_FF[10], EXE_FF[9], EXE_FF[8], EXE_FF[7], + EXE_FF[6], EXE_FF[5], EXE_FF[4], EXE_FF[3], EXE_FF[2], EXE_FF[1], + EXE_FF[0]}), .O({CPO_BADVADDR[31], CPO_BADVADDR[30], CPO_BADVADDR[29], + CPO_BADVADDR[28], CPO_BADVADDR[27], CPO_BADVADDR[26], CPO_BADVADDR[25], + CPO_BADVADDR[24], CPO_BADVADDR[23], CPO_BADVADDR[22], CPO_BADVADDR[21], + CPO_BADVADDR[20], CPO_BADVADDR[19], CPO_BADVADDR[18], CPO_BADVADDR[17], + CPO_BADVADDR[16], CPO_BADVADDR[15], CPO_BADVADDR[14], CPO_BADVADDR[13], + CPO_BADVADDR[12], CPO_BADVADDR[11], CPO_BADVADDR[10], CPO_BADVADDR[9], + CPO_BADVADDR[8], CPO_BADVADDR[7], CPO_BADVADDR[6], CPO_BADVADDR[5], + CPO_BADVADDR[4], CPO_BADVADDR[3], CPO_BADVADDR[2], CPO_BADVADDR[1], + CPO_BADVADDR[0]})); + REG6 X1I4670 (.CLK(CLK1), .EN(CPO_WRITE_CONTEXT), .I({CACHE_DAT[26], + CACHE_DAT[25], CACHE_DAT[24], CACHE_DAT[23], CACHE_DAT[22], + CACHE_DAT[21]}), .O({CPO_CONTEXT[26], CPO_CONTEXT[25], CPO_CONTEXT[24], + CPO_CONTEXT[23], CPO_CONTEXT[22], CPO_CONTEXT[21]}), .RES(X1N4672)); + GND X1I4671 (.G(X1N4672)); + REG5 X1I4673 (.CLK(CLK1), .EN(CPO_WRITE_CONTEXT), .I({CACHE_DAT[31], + CACHE_DAT[30], CACHE_DAT[29], CACHE_DAT[28], CACHE_DAT[27]}), .O({ + CPO_CONTEXT[31], CPO_CONTEXT[30], CPO_CONTEXT[29], CPO_CONTEXT[28], + CPO_CONTEXT[27]}), .RES(X1N4672)); + BUFE32 X1I4684 (.E(CPO_READ_CONTEXT), .I({CPO_CONTEXT[31], CPO_CONTEXT[30] + , CPO_CONTEXT[29], CPO_CONTEXT[28], CPO_CONTEXT[27], CPO_CONTEXT[26], + CPO_CONTEXT[25], CPO_CONTEXT[24], CPO_CONTEXT[23], CPO_CONTEXT[22], + CPO_CONTEXT[21], CPO_BADVADDR[30], CPO_BADVADDR[29], CPO_BADVADDR[28], + CPO_BADVADDR[27], CPO_BADVADDR[26], CPO_BADVADDR[25], CPO_BADVADDR[24], + CPO_BADVADDR[23], CPO_BADVADDR[22], CPO_BADVADDR[21], CPO_BADVADDR[20], + CPO_BADVADDR[19], CPO_BADVADDR[18], CPO_BADVADDR[17], CPO_BADVADDR[16], + CPO_BADVADDR[15], CPO_BADVADDR[14], CPO_BADVADDR[13], CPO_BADVADDR[12], + GND[1], GND[0]}), .O({CACHE_DAT[31], CACHE_DAT[30], CACHE_DAT[29], + CACHE_DAT[28], CACHE_DAT[27], CACHE_DAT[26], CACHE_DAT[25], + CACHE_DAT[24], CACHE_DAT[23], CACHE_DAT[22], CACHE_DAT[21], + CACHE_DAT[20], CACHE_DAT[19], CACHE_DAT[18], CACHE_DAT[17], + CACHE_DAT[16], CACHE_DAT[15], CACHE_DAT[14], CACHE_DAT[13], + CACHE_DAT[12], CACHE_DAT[11], CACHE_DAT[10], CACHE_DAT[9], CACHE_DAT[8] + , CACHE_DAT[7], CACHE_DAT[6], CACHE_DAT[5], CACHE_DAT[4], CACHE_DAT[3], + CACHE_DAT[2], CACHE_DAT[1], CACHE_DAT[0]})); + VCC X1I4698 (.P(VCC)); + AND3 X1I4712 (.I0(INSTRUCTION[3]), .I1(INSTRUCTION[2]), .I2(SPECIAL), .O + (X1N4777)); + INT_VAL X1I4725 (.D0(GND), .D1(GND), .D2(INT_DEC_ADEL), .D3(GND), .D4(GND) + , .I0(GND), .I1(VCC), .I2(GND), .I3(GND), .I4(GND), .INT(GND), .Q0 + (X1N4768), .Q1(X1N4769), .Q2(X1N4770), .Q3(X1N4771), .Q4(X1N4772), + .VALID_IN(INT_DEC_ADEL), .VALID_OUT(X1N4767)); + INT_VAL X1I4760 (.D0(X1N4768), .D1(X1N4769), .D2(X1N4770), .D3(X1N4771), + .D4(X1N4772), .I0(INSTRUCTION[0]), .I1(GND), .I2(GND), .I3(VCC), .I4 + (GND), .INT(X1N4777), .Q0(INT_DEC[0]), .Q1(INT_DEC[1]), .Q2(INT_DEC[2]) + , .Q3(INT_DEC[3]), .Q4(INT_DEC[4]), .VALID_IN(X1N4767), .VALID_OUT + (INT_DEC[5])); + REG6 X1I4783 (.CLK(CLK1), .EN(GLB_EN), .I({INT_DEC[5], INT_DEC[4], + INT_DEC[3], INT_DEC[2], INT_DEC[1], INT_DEC[0]}), .O({INT_EXE[5], + INT_EXE[4], INT_EXE[3], INT_EXE[2], INT_EXE[1], INT_EXE[0]}), .RES + (FLUSH)); + INT_VAL X1I4789 (.D0(INT_EXE[0]), .D1(INT_EXE[1]), .D2(INT_EXE[2]), .D3 + (INT_EXE[3]), .D4(INT_EXE[4]), .I0(GND), .I1(GND), .I2(VCC), .I3(VCC), + .I4(GND), .INT(OVERFLOW), .Q0(INT_EXE_OUT[0]), .Q1(INT_EXE_OUT[1]), .Q2 + (INT_EXE_OUT[2]), .Q3(INT_EXE_OUT[3]), .Q4(INT_EXE_OUT[4]), .VALID_IN + (INT_EXE[5]), .VALID_OUT(INT_EXE_OUT[5])); + REG6 X1I4795 (.CLK(CLK1), .EN(GLB_EN), .I({INT_EXE_OUT[5], INT_EXE_OUT[4] + , INT_EXE_OUT[3], INT_EXE_OUT[2], INT_EXE_OUT[1], INT_EXE_OUT[0]}), .O({ + INT_MEM_IN[5], INT_MEM_IN[4], INT_MEM_IN[3], INT_MEM_IN[2], + INT_MEM_IN[1], INT_MEM_IN[0]}), .RES(FLUSH)); + INT_VAL X1I4837 (.D0(INT_MEM_IN[0]), .D1(INT_MEM_IN[1]), .D2 + (INT_MEM_IN[2]), .D3(INT_MEM_IN[3]), .D4(INT_MEM_IN[4]), .I0(VCC), .I1 + (VCC), .I2(GND), .I3(VCC), .I4(GND), .INT(INT_COPROCESSOR_UNUSABLE), .Q0 + (X1N4853), .Q1(X1N4830), .Q2(X1N4831), .Q3(X1N4832), .Q4(X1N4833), + .VALID_IN(INT_MEM_IN[5]), .VALID_OUT(X1N4829)); + INT_VAL X1I4838 (.D0(X1N4853), .D1(X1N4830), .D2(X1N4831), .D3(X1N4832), + .D4(X1N4833), .I0(MEM_WRITE_SOON), .I1(GND), .I2(VCC), .I3(GND), .I4 + (GND), .INT(GND), .Q0(X1N4821), .Q1(X1N4856), .Q2(X1N4820), .Q3(X1N4819) + , .Q4(X1N4818), .VALID_IN(X1N4829), .VALID_OUT(X1N4822)); + INT_VAL X1I4839 (.D0(X1N4821), .D1(X1N4856), .D2(X1N4820), .D3(X1N4819), + .D4(X1N4818), .I0(MEM_WRITE_SOON), .I1(VCC), .I2(GND), .I3(GND), .I4 + (GND), .INT(GND), .Q0(X1N4809), .Q1(X1N4810), .Q2(X1N4811), .Q3(X1N4812) + , .Q4(X1N4813), .VALID_IN(X1N4822), .VALID_OUT(X1N4808)); + INT_VAL X1I4855 (.D0(X1N4809), .D1(X1N4810), .D2(X1N4811), .D3(X1N4812), + .D4(X1N4813), .I0(VCC), .I1(GND), .I2(GND), .I3(GND), .I4(GND), .INT + (GND), .Q0(X1N4867), .Q1(X1N4866), .Q2(X1N4865), .Q3(X1N4864), .Q4 + (X1N4863), .VALID_IN(X1N4808), .VALID_OUT(X1N4868)); + INT_VAL X1I4870 (.D0(X1N4867), .D1(X1N4866), .D2(X1N4865), .D3(X1N4864), + .D4(X1N4863), .I0(GND), .I1(GND), .I2(GND), .I3(GND), .I4(GND), .INT + (EXT_INTERRUPT), .Q0(EXC_CODE[0]), .Q1(EXC_CODE[1]), .Q2(EXC_CODE[2]), + .Q3(EXC_CODE[3]), .Q4(EXC_CODE[4]), .VALID_IN(X1N4868), .VALID_OUT + (X1N6323)); + AND2B1 X1I4881 (.I0(MMU_HIT_DATA), .I1(CACHE), .O(X1N4884)); + AND2B1 X1I4888 (.I0(MMU_DIRTY_DATA), .I1(MEM_WRITE_SOON), .O(X1N4891)); + AND2B1 X1I4893 (.I0(STATUS28), .I1(STATUS1), .O(X1N5268)); + INV X1I4894 (.I(STATUS29), .O(X1N4895)); + INV X1I4896 (.I(STATUS30), .O(X1N4903)); + REG5 X1I4900 (.CLK(CLK1), .EN(GLB_EN), .I({RANDON_STATS[4], + RANDON_STATS[3], RANDON_STATS[2], RANDON_STATS[1], RANDON_STATS[0]}), + .O({MEM_CP_ACCESS, MEM_CP_NO1, MEM_CP_NO0, MEM_BRANCH, INT_INST_ERROR}) + , .RES(FLUSH)); + BUFE32 X1I4905 (.E(CP0_READ_STATUS), .I({STATUS31, STATUS30, STATUS29, + STATUS28, GND[27], GND[26], GND[25], GND[24], GND[23], STATUS22, GND[21] + , GND[20], GND[19], GND[18], GND[17], GND[16], STATUS[15], STATUS[14], + STATUS[13], STATUS[12], STATUS[11], STATUS[10], STATUS[9], STATUS[8], + GND[7], GND[6], STATUS5, STATUS4, STATUS3, STATUS2, STATUS1, STATUS0}), + .O({CACHE_DAT[31], CACHE_DAT[30], CACHE_DAT[29], CACHE_DAT[28], + CACHE_DAT[27], CACHE_DAT[26], CACHE_DAT[25], CACHE_DAT[24], + CACHE_DAT[23], CACHE_DAT[22], CACHE_DAT[21], CACHE_DAT[20], + CACHE_DAT[19], CACHE_DAT[18], CACHE_DAT[17], CACHE_DAT[16], + CACHE_DAT[15], CACHE_DAT[14], CACHE_DAT[13], CACHE_DAT[12], + CACHE_DAT[11], CACHE_DAT[10], CACHE_DAT[9], CACHE_DAT[8], CACHE_DAT[7], + CACHE_DAT[6], CACHE_DAT[5], CACHE_DAT[4], CACHE_DAT[3], CACHE_DAT[2], + CACHE_DAT[1], CACHE_DAT[0]})); + AND2 X1I4926 (.I0(STATUS[9]), .I1(CPO_CAUSE[9]), .O(X1N4909)); + AND2 X1I4927 (.I0(STATUS[8]), .I1(CPO_CAUSE[8]), .O(X1N4910)); + AND2 X1I4928 (.I0(STATUS[10]), .I1(CPO_CAUSE[10]), .O(X1N4908)); + AND2 X1I4929 (.I0(STATUS[11]), .I1(CPO_CAUSE[11]), .O(X1N5407)); + REG16 X1I493 (.CLK(CLK1), .EN(GLB_EN), .I({INSTRUCTION[15], + INSTRUCTION[14], INSTRUCTION[13], INSTRUCTION[12], INSTRUCTION[11], + INSTRUCTION[10], INSTRUCTION[9], INSTRUCTION[8], INSTRUCTION[7], + INSTRUCTION[6], INSTRUCTION[5], INSTRUCTION[4], INSTRUCTION[3], + INSTRUCTION[2], INSTRUCTION[1], INSTRUCTION[0]}), .O({EXE_IMM[15], + EXE_IMM[14], EXE_IMM[13], EXE_IMM[12], EXE_IMM[11], EXE_IMM[10], + EXE_IMM[9], EXE_IMM[8], EXE_IMM[7], EXE_IMM[6], EXE_IMM[5], EXE_IMM[4], + EXE_IMM[3], EXE_IMM[2], EXE_IMM[1], EXE_IMM[0]})); + AND2 X1I4930 (.I0(STATUS[15]), .I1(CPO_CAUSE[15]), .O(X1N4992)); + AND2 X1I4931 (.I0(STATUS[14]), .I1(CPO_CAUSE[14]), .O(X1N4990)); + AND2 X1I4932 (.I0(STATUS[12]), .I1(CPO_CAUSE[12]), .O(X1N4986)); + SIGN_EX X1I494 (.D({EXE_IMM[15], EXE_IMM[14], EXE_IMM[13], EXE_IMM[12], + EXE_IMM[11], EXE_IMM[10], EXE_IMM[9], EXE_IMM[8], EXE_IMM[7], EXE_IMM[6] + , EXE_IMM[5], EXE_IMM[4], EXE_IMM[3], EXE_IMM[2], EXE_IMM[1], EXE_IMM[0] + }), .EX_ZERO(OP[2]), .O({IMM[31], IMM[30], IMM[29], IMM[28], IMM[27], + IMM[26], IMM[25], IMM[24], IMM[23], IMM[22], IMM[21], IMM[20], IMM[19], + IMM[18], IMM[17], IMM[16], IMM[15], IMM[14], IMM[13], IMM[12], IMM[11], + IMM[10], IMM[9], IMM[8], IMM[7], IMM[6], IMM[5], IMM[4], IMM[3], IMM[2] + , IMM[1], IMM[0]})); + FDE X1I4948 (.C(CLK1), .CE(INT_COPROCESSOR_UNUSABLE), .D(MEM_CP_NO1), .Q + (CPO_CAUSE29)); + REG5 X1I4954 (.CLK(CLK1), .EN(INTERRUPT_MEM), .I({EXC_CODE[4], EXC_CODE[3] + , EXC_CODE[2], EXC_CODE[1], EXC_CODE[0]}), .O({CPO_CAUSE[6], + CPO_CAUSE[5], CPO_CAUSE[4], CPO_CAUSE[3], CPO_CAUSE[2]}), .RES(GND)); + FDE X1I4961 (.C(CLK1), .CE(CP0_WRITE_CAUSE), .D(CACHE_DAT[9]), .Q + (CPO_CAUSE[9])); + FDE X1I4962 (.C(CLK1), .CE(CP0_WRITE_CAUSE), .D(CACHE_DAT[8]), .Q + (CPO_CAUSE[8])); + AND2 X1I4968 (.I0(STATUS[13]), .I1(CPO_CAUSE[13]), .O(X1N4988)); + REG6 X1I4977 (.CLK(CLK1), .EN(VCC), .I({EXTERNAL_INTERRUPT1, + SERIAL_REQUEST, COUNTER_ZERO, GND[2], GND[1], GND[0]}), .O({ + CPO_CAUSE[15], CPO_CAUSE[14], CPO_CAUSE[13], CPO_CAUSE[12], + CPO_CAUSE[11], CPO_CAUSE[10]}), .RES(GND)); + SIGN_EX_SHIFT2 X1I498 (.D({INSTRUCTION[25], INSTRUCTION[24], + INSTRUCTION[23], INSTRUCTION[22], INSTRUCTION[21], INSTRUCTION[20], + INSTRUCTION[19], INSTRUCTION[18], INSTRUCTION[17], INSTRUCTION[16], + INSTRUCTION[15], INSTRUCTION[14], INSTRUCTION[13], INSTRUCTION[12], + INSTRUCTION[11], INSTRUCTION[10], INSTRUCTION[9], INSTRUCTION[8], + INSTRUCTION[7], INSTRUCTION[6], INSTRUCTION[5], INSTRUCTION[4], + INSTRUCTION[3], INSTRUCTION[2], INSTRUCTION[1], INSTRUCTION[0]}), .JL + (JUMPLONG), .O({PC_BR_IMM[31], PC_BR_IMM[30], PC_BR_IMM[29], + PC_BR_IMM[28], PC_BR_IMM[27], PC_BR_IMM[26], PC_BR_IMM[25], + PC_BR_IMM[24], PC_BR_IMM[23], PC_BR_IMM[22], PC_BR_IMM[21], + PC_BR_IMM[20], PC_BR_IMM[19], PC_BR_IMM[18], PC_BR_IMM[17], + PC_BR_IMM[16], PC_BR_IMM[15], PC_BR_IMM[14], PC_BR_IMM[13], + PC_BR_IMM[12], PC_BR_IMM[11], PC_BR_IMM[10], PC_BR_IMM[9], PC_BR_IMM[8] + , PC_BR_IMM[7], PC_BR_IMM[6], PC_BR_IMM[5], PC_BR_IMM[4], PC_BR_IMM[3], + PC_BR_IMM[2], PC_BR_IMM[1], PC_BR_IMM[0]})); + FDE X1I4994 (.C(CLK1), .CE(INT_COPROCESSOR_UNUSABLE), .D(MEM_CP_NO0), .Q + (CPO_CAUSE28)); + FDE X1I5000 (.C(CLK1), .CE(INTERRUPT_MEM), .D(MEM_BRANCH), .Q(CPO_CAUSE31) + ); + REG5 X1I5011 (.CLK(CLK1), .EN(GLB_EN), .I({INSTRUCTION[30], + INSTRUCTION[27], INSTRUCTION[26], BRANCH, INST_ADDR_ERROR}), .O({ + RANDON_STATS[4], RANDON_STATS[3], RANDON_STATS[2], RANDON_STATS[1], + RANDON_STATS[0]}), .RES(FLUSH)); + FD4RE X1I5030 (.C(CLK1), .CE(CP0_WRITE_STATUS), .D0(CACHE_DAT[31]), .D1 + (CACHE_DAT[30]), .D2(CACHE_DAT[29]), .D3(CACHE_DAT[28]), .Q0(STATUS31), + .Q1(STATUS30), .Q2(STATUS29), .Q3(STATUS28), .R(RESET)); + FDSE X1I5037 (.C(CLK1), .CE(CP0_WRITE_STATUS), .D(CACHE_DAT[22]), .Q + (STATUS22), .S(RESET)); + AND5B2 X1I5046 (.I0(X1N6067), .I1(DATA_MEM_ACCESS), .I2(MMU_HIT_INSTR), + .I3(CLK1_NBUF), .I4(X1N4422), .O(X1N3683)); + REG32 X1I505 (.CLK(CLK2), .EN(GLB_EN), .I({NEXT_STORED_PC[31], + NEXT_STORED_PC[30], NEXT_STORED_PC[29], NEXT_STORED_PC[28], + NEXT_STORED_PC[27], NEXT_STORED_PC[26], NEXT_STORED_PC[25], + NEXT_STORED_PC[24], NEXT_STORED_PC[23], NEXT_STORED_PC[22], + NEXT_STORED_PC[21], NEXT_STORED_PC[20], NEXT_STORED_PC[19], + NEXT_STORED_PC[18], NEXT_STORED_PC[17], NEXT_STORED_PC[16], + NEXT_STORED_PC[15], NEXT_STORED_PC[14], NEXT_STORED_PC[13], + NEXT_STORED_PC[12], NEXT_STORED_PC[11], NEXT_STORED_PC[10], + NEXT_STORED_PC[9], NEXT_STORED_PC[8], NEXT_STORED_PC[7], + NEXT_STORED_PC[6], NEXT_STORED_PC[5], NEXT_STORED_PC[4], + NEXT_STORED_PC[3], NEXT_STORED_PC[2], NEXT_STORED_PC[1], + NEXT_STORED_PC[0]}), .O({PC_TO_PIPELINE[31], PC_TO_PIPELINE[30], + PC_TO_PIPELINE[29], PC_TO_PIPELINE[28], PC_TO_PIPELINE[27], + PC_TO_PIPELINE[26], PC_TO_PIPELINE[25], PC_TO_PIPELINE[24], + PC_TO_PIPELINE[23], PC_TO_PIPELINE[22], PC_TO_PIPELINE[21], + PC_TO_PIPELINE[20], PC_TO_PIPELINE[19], PC_TO_PIPELINE[18], + PC_TO_PIPELINE[17], PC_TO_PIPELINE[16], PC_TO_PIPELINE[15], + PC_TO_PIPELINE[14], PC_TO_PIPELINE[13], PC_TO_PIPELINE[12], + PC_TO_PIPELINE[11], PC_TO_PIPELINE[10], PC_TO_PIPELINE[9], + PC_TO_PIPELINE[8], PC_TO_PIPELINE[7], PC_TO_PIPELINE[6], + PC_TO_PIPELINE[5], PC_TO_PIPELINE[4], PC_TO_PIPELINE[3], + PC_TO_PIPELINE[2], PC_TO_PIPELINE[1], PC_TO_PIPELINE[0]})); + BUFE32 X1I5050 (.E(CPO_READ_PRID), .I({GND[31], GND[30], GND[29], GND[28] + , GND[27], GND[26], GND[25], GND[24], GND[23], GND[22], GND[21], GND[20] + , GND[19], GND[18], GND[17], GND[16], GND[15], GND[14], GND[13], GND[12] + , GND[11], GND[10], GND[9], VCC, GND[7], GND[6], GND[5], GND[4], GND[3] + , GND[2], GND[1], GND[0]}), .O({CACHE_DAT[31], CACHE_DAT[30], + CACHE_DAT[29], CACHE_DAT[28], CACHE_DAT[27], CACHE_DAT[26], + CACHE_DAT[25], CACHE_DAT[24], CACHE_DAT[23], CACHE_DAT[22], + CACHE_DAT[21], CACHE_DAT[20], CACHE_DAT[19], CACHE_DAT[18], + CACHE_DAT[17], CACHE_DAT[16], CACHE_DAT[15], CACHE_DAT[14], + CACHE_DAT[13], CACHE_DAT[12], CACHE_DAT[11], CACHE_DAT[10], CACHE_DAT[9] + , CACHE_DAT[8], CACHE_DAT[7], CACHE_DAT[6], CACHE_DAT[5], CACHE_DAT[4], + CACHE_DAT[3], CACHE_DAT[2], CACHE_DAT[1], CACHE_DAT[0]})); + M4_1E X1I5057 (.D0(X1N5268), .D1(X1N4895), .D2(X1N4903), .D3(X1N5273), .E + (MEM_CP_ACCESS), .O(INT_COPROCESSOR_UNUSABLE), .S0(MEM_CP_NO0), .S1 + (MEM_CP_NO1)); + FDE X1I5062 (.C(CLK2), .CE(GLB_EN), .D(X1N5060), .Q(MMU_NOT_VALID_DATA)); + MUX2_1X32 X1I5066 (.A({MMU_ENTRY_LO[31], MMU_ENTRY_LO[30], + MMU_ENTRY_LO[29], MMU_ENTRY_LO[28], MMU_ENTRY_LO[27], MMU_ENTRY_LO[26], + MMU_ENTRY_LO[25], MMU_ENTRY_LO[24], MMU_ENTRY_LO[23], MMU_ENTRY_LO[22], + MMU_ENTRY_LO[21], MMU_ENTRY_LO[20], MMU_ENTRY_LO[19], MMU_ENTRY_LO[18], + MMU_ENTRY_LO[17], MMU_ENTRY_LO[16], MMU_ENTRY_LO[15], MMU_ENTRY_LO[14], + MMU_ENTRY_LO[13], MMU_ENTRY_LO[12], MMU_ENTRY_LO[11], MMU_ENTRY_LO[10], + MMU_ENTRY_LO[9], MMU_ENTRY_LO[8], MMU_ENTRY_LO[7], MMU_ENTRY_LO[6], + MMU_ENTRY_LO[5], MMU_ENTRY_LO[4], MMU_ENTRY_LO[3], MMU_ENTRY_LO[2], + MMU_ENTRY_LO[1], MMU_ENTRY_LO[0]}), .B({CACHE_DAT[31], CACHE_DAT[30], + CACHE_DAT[29], CACHE_DAT[28], CACHE_DAT[27], CACHE_DAT[26], + CACHE_DAT[25], CACHE_DAT[24], CACHE_DAT[23], CACHE_DAT[22], + CACHE_DAT[21], CACHE_DAT[20], CACHE_DAT[19], CACHE_DAT[18], + CACHE_DAT[17], CACHE_DAT[16], CACHE_DAT[15], CACHE_DAT[14], + CACHE_DAT[13], CACHE_DAT[12], CACHE_DAT[11], CACHE_DAT[10], CACHE_DAT[9] + , CACHE_DAT[8], CACHE_DAT[7], CACHE_DAT[6], CACHE_DAT[5], CACHE_DAT[4], + CACHE_DAT[3], CACHE_DAT[2], CACHE_DAT[1], CACHE_DAT[0]}), .SB + (CPO_WRITE_ENTRY_LO), .S({CP0_ENTRY_LO_NEXT[31], CP0_ENTRY_LO_NEXT[30], + CP0_ENTRY_LO_NEXT[29], CP0_ENTRY_LO_NEXT[28], CP0_ENTRY_LO_NEXT[27], + CP0_ENTRY_LO_NEXT[26], CP0_ENTRY_LO_NEXT[25], CP0_ENTRY_LO_NEXT[24], + CP0_ENTRY_LO_NEXT[23], CP0_ENTRY_LO_NEXT[22], CP0_ENTRY_LO_NEXT[21], + CP0_ENTRY_LO_NEXT[20], CP0_ENTRY_LO_NEXT[19], CP0_ENTRY_LO_NEXT[18], + CP0_ENTRY_LO_NEXT[17], CP0_ENTRY_LO_NEXT[16], CP0_ENTRY_LO_NEXT[15], + CP0_ENTRY_LO_NEXT[14], CP0_ENTRY_LO_NEXT[13], CP0_ENTRY_LO_NEXT[12], + CP0_ENTRY_LO_NEXT[11], CP0_ENTRY_LO_NEXT[10], CP0_ENTRY_LO_NEXT[9], + CP0_ENTRY_LO_NEXT[8], CP0_ENTRY_LO_NEXT[7], CP0_ENTRY_LO_NEXT[6], + CP0_ENTRY_LO_NEXT[5], CP0_ENTRY_LO_NEXT[4], CP0_ENTRY_LO_NEXT[3], + CP0_ENTRY_LO_NEXT[2], CP0_ENTRY_LO_NEXT[1], CP0_ENTRY_LO_NEXT[0]})); + AND2 X1I5078 (.I0(INST_CACHE_HIT), .I1(END_WRITE), .O(X1N6663)); + AND2B1 X1I5087 (.I0(HALT1), .I1(CLK2_NBUF), .O(X1N4112)); + MUX2_1X32 X1I5130 (.A({INST_PFN[19], INST_PFN[18], INST_PFN[17], + INST_PFN[16], INST_PFN[15], INST_PFN[14], INST_PFN[13], INST_PFN[12], + INST_PFN[11], INST_PFN[10], INST_PFN[9], INST_PFN[8], INST_PFN[7], + INST_PFN[6], INST_PFN[5], INST_PFN[4], INST_PFN[3], INST_PFN[2], + INST_PFN[1], INST_PFN[0], PC[11], PC[10], PC[9], PC[8], PC[7], PC[6], + PC[5], PC[4], PC[3], PC[2], PC[1], PC[0]}), .B({DATA_PFN[19], + DATA_PFN[18], DATA_PFN[17], DATA_PFN[16], DATA_PFN[15], DATA_PFN[14], + DATA_PFN[13], DATA_PFN[12], DATA_PFN[11], DATA_PFN[10], DATA_PFN[9], + DATA_PFN[8], DATA_PFN[7], DATA_PFN[6], DATA_PFN[5], DATA_PFN[4], + DATA_PFN[3], DATA_PFN[2], DATA_PFN[1], DATA_PFN[0], EXE_FF[11], + EXE_FF[10], EXE_FF[9], EXE_FF[8], EXE_FF[7], EXE_FF[6], EXE_FF[5], + EXE_FF[4], EXE_FF[3], EXE_FF[2], EXE_FF[1], EXE_FF[0]}), .SB + (DATA_MEM_ACCESS), .S({MEM_ACCESS_ADDRESS[31], MEM_ACCESS_ADDRESS[30], + MEM_ACCESS_ADDRESS[29], MEM_ACCESS_ADDRESS[28], MEM_ACCESS_ADDRESS[27], + MEM_ACCESS_ADDRESS[26], MEM_ACCESS_ADDRESS[25], MEM_ACCESS_ADDRESS[24], + MEM_ACCESS_ADDRESS[23], MEM_ACCESS_ADDRESS[22], MEM_ACCESS_ADDRESS[21], + MEM_ACCESS_ADDRESS[20], MEM_ACCESS_ADDRESS[19], MEM_ACCESS_ADDRESS[18], + MEM_ACCESS_ADDRESS[17], MEM_ACCESS_ADDRESS[16], MEM_ACCESS_ADDRESS[15], + MEM_ACCESS_ADDRESS[14], MEM_ACCESS_ADDRESS[13], MEM_ACCESS_ADDRESS[12], + MEM_ACCESS_ADDRESS[11], MEM_ACCESS_ADDRESS[10], MEM_ACCESS_ADDRESS[9], + MEM_ACCESS_ADDRESS[8], MEM_ACCESS_ADDRESS[7], MEM_ACCESS_ADDRESS[6], + MEM_ACCESS_ADDRESS[5], MEM_ACCESS_ADDRESS[4], MEM_ACCESS_ADDRESS[3], + MEM_ACCESS_ADDRESS[2], MEM_ACCESS_ADDRESS[1], MEM_ACCESS_ADDRESS[0]})); + LD16 X1I5139 (.D({MEM_ACCESS_ADDRESS[31], MEM_ACCESS_ADDRESS[30], + MEM_ACCESS_ADDRESS[29], MEM_ACCESS_ADDRESS[28], MEM_ACCESS_ADDRESS[27], + MEM_ACCESS_ADDRESS[26], MEM_ACCESS_ADDRESS[25], MEM_ACCESS_ADDRESS[24], + MEM_ACCESS_ADDRESS[23], MEM_ACCESS_ADDRESS[22], MEM_ACCESS_ADDRESS[21], + MEM_ACCESS_ADDRESS[20], MEM_ACCESS_ADDRESS[19], MEM_ACCESS_ADDRESS[18], + MEM_ACCESS_ADDRESS[17], MEM_ACCESS_ADDRESS[16]}), .G(MEMORY), .Q({ + ADDRESS[31], ADDRESS[30], ADDRESS[29], ADDRESS[28], ADDRESS[27], + ADDRESS[26], ADDRESS[25], ADDRESS[24], ADDRESS[23], ADDRESS[22], + ADDRESS[21], ADDRESS[20], ADDRESS[19], ADDRESS[18], ADDRESS[17], + ADDRESS[16]})); + MUX3_1X32 X1I514 (.A({PC_PLUS_FOUR[31], PC_PLUS_FOUR[30], PC_PLUS_FOUR[29] + , PC_PLUS_FOUR[28], PC_PLUS_FOUR[27], PC_PLUS_FOUR[26], PC_PLUS_FOUR[25] + , PC_PLUS_FOUR[24], PC_PLUS_FOUR[23], PC_PLUS_FOUR[22], PC_PLUS_FOUR[21] + , PC_PLUS_FOUR[20], PC_PLUS_FOUR[19], PC_PLUS_FOUR[18], PC_PLUS_FOUR[17] + , PC_PLUS_FOUR[16], PC_PLUS_FOUR[15], PC_PLUS_FOUR[14], PC_PLUS_FOUR[13] + , PC_PLUS_FOUR[12], PC_PLUS_FOUR[11], PC_PLUS_FOUR[10], PC_PLUS_FOUR[9] + , PC_PLUS_FOUR[8], PC_PLUS_FOUR[7], PC_PLUS_FOUR[6], PC_PLUS_FOUR[5], + PC_PLUS_FOUR[4], PC_PLUS_FOUR[3], PC_PLUS_FOUR[2], PC_PLUS_FOUR[1], + PC_PLUS_FOUR[0]}), .B({TAKEBRANCH, BRANCH[31], BRANCH[30], BRANCH[29], + BRANCH[28], BRANCH[27], BRANCH[26], BRANCH[25], BRANCH[24], BRANCH[23], + BRANCH[22], BRANCH[21], BRANCH[20], BRANCH[19], BRANCH[18], BRANCH[17], + BRANCH[16], BRANCH[15], BRANCH[14], BRANCH[13], BRANCH[12], BRANCH[11], + BRANCH[10], BRANCH[9], BRANCH[8], BRANCH[7], BRANCH[6], BRANCH[5], + BRANCH[4], BRANCH[3], BRANCH[2], BRANCH[1], BRANCH[0]}), .C({JMP2REG, + REG_A_EXE_FF[31], REG_A_EXE_FF[30], REG_A_EXE_FF[29], REG_A_EXE_FF[28], + REG_A_EXE_FF[27], REG_A_EXE_FF[26], REG_A_EXE_FF[25], REG_A_EXE_FF[24], + REG_A_EXE_FF[23], REG_A_EXE_FF[22], REG_A_EXE_FF[21], REG_A_EXE_FF[20], + REG_A_EXE_FF[19], REG_A_EXE_FF[18], REG_A_EXE_FF[17], REG_A_EXE_FF[16], + REG_A_EXE_FF[15], REG_A_EXE_FF[14], REG_A_EXE_FF[13], REG_A_EXE_FF[12], + REG_A_EXE_FF[11], REG_A_EXE_FF[10], REG_A_EXE_FF[9], REG_A_EXE_FF[8], + REG_A_EXE_FF[7], REG_A_EXE_FF[6], REG_A_EXE_FF[5], REG_A_EXE_FF[4], + REG_A_EXE_FF[3], REG_A_EXE_FF[2], REG_A_EXE_FF[1], REG_A_EXE_FF[0]}), + .S({NEXT_PC[31], NEXT_PC[30], NEXT_PC[29], NEXT_PC[28], NEXT_PC[27], + NEXT_PC[26], NEXT_PC[25], NEXT_PC[24], NEXT_PC[23], NEXT_PC[22], + NEXT_PC[21], NEXT_PC[20], NEXT_PC[19], NEXT_PC[18], NEXT_PC[17], + NEXT_PC[16], NEXT_PC[15], NEXT_PC[14], NEXT_PC[13], NEXT_PC[12], + NEXT_PC[11], NEXT_PC[10], NEXT_PC[9], NEXT_PC[8], NEXT_PC[7], NEXT_PC[6] + , NEXT_PC[5], NEXT_PC[4], NEXT_PC[3], NEXT_PC[2], NEXT_PC[1], NEXT_PC[0] + })); + LD16 X1I5140 (.D({MEM_ACCESS_ADDRESS[15], MEM_ACCESS_ADDRESS[14], + MEM_ACCESS_ADDRESS[13], MEM_ACCESS_ADDRESS[12], MEM_ACCESS_ADDRESS[11], + MEM_ACCESS_ADDRESS[10], MEM_ACCESS_ADDRESS[9], MEM_ACCESS_ADDRESS[8], + MEM_ACCESS_ADDRESS[7], MEM_ACCESS_ADDRESS[6], MEM_ACCESS_ADDRESS[5], + MEM_ACCESS_ADDRESS[4], MEM_ACCESS_ADDRESS[3], MEM_ACCESS_ADDRESS[2], + MEM_ACCESS_ADDRESS[1], MEM_ACCESS_ADDRESS[0]}), .G(MEMORY), .Q({ + ADDRESS[15], ADDRESS[14], ADDRESS[13], ADDRESS[12], ADDRESS[11], + ADDRESS[10], ADDRESS[9], ADDRESS[8], ADDRESS[7], ADDRESS[6], ADDRESS[5] + , ADDRESS[4], ADDRESS[3], ADDRESS[2], ADDRESS[1], ADDRESS[0]})); + BUFE32 X1I5153 (.E(CPO_READ_INDEX), .I({INDEX31, GND[30], GND[29], GND[28] + , GND[27], GND[26], GND[25], GND[24], GND[23], GND[22], GND[21], GND[20] + , GND[19], GND[18], GND[17], GND[16], GND[15], GND[14], INDEX[13], + INDEX[12], INDEX[11], INDEX[10], INDEX[9], INDEX[8], GND[7], GND[6], + GND[5], GND[4], GND[3], GND[2], GND[1], GND[0]}), .O({CACHE_DAT[31], + CACHE_DAT[30], CACHE_DAT[29], CACHE_DAT[28], CACHE_DAT[27], + CACHE_DAT[26], CACHE_DAT[25], CACHE_DAT[24], CACHE_DAT[23], + CACHE_DAT[22], CACHE_DAT[21], CACHE_DAT[20], CACHE_DAT[19], + CACHE_DAT[18], CACHE_DAT[17], CACHE_DAT[16], CACHE_DAT[15], + CACHE_DAT[14], CACHE_DAT[13], CACHE_DAT[12], CACHE_DAT[11], + CACHE_DAT[10], CACHE_DAT[9], CACHE_DAT[8], CACHE_DAT[7], CACHE_DAT[6], + CACHE_DAT[5], CACHE_DAT[4], CACHE_DAT[3], CACHE_DAT[2], CACHE_DAT[1], + CACHE_DAT[0]})); + BUFE32 X1I5156 (.E(CPO_READ_RANDOM), .I({GND[31], GND[30], GND[29], + GND[28], GND[27], GND[26], GND[25], GND[24], GND[23], GND[22], GND[21], + GND[20], GND[19], GND[18], GND[17], GND[16], GND[15], GND[14], + RANDOM[13], RANDOM[12], RANDOM[11], RANDOM[10], RANDOM[9], RANDOM[8], + GND[7], GND[6], GND[5], GND[4], GND[3], GND[2], GND[1], GND[0]}), .O({ + CACHE_DAT[31], CACHE_DAT[30], CACHE_DAT[29], CACHE_DAT[28], + CACHE_DAT[27], CACHE_DAT[26], CACHE_DAT[25], CACHE_DAT[24], + CACHE_DAT[23], CACHE_DAT[22], CACHE_DAT[21], CACHE_DAT[20], + CACHE_DAT[19], CACHE_DAT[18], CACHE_DAT[17], CACHE_DAT[16], + CACHE_DAT[15], CACHE_DAT[14], CACHE_DAT[13], CACHE_DAT[12], + CACHE_DAT[11], CACHE_DAT[10], CACHE_DAT[9], CACHE_DAT[8], CACHE_DAT[7], + CACHE_DAT[6], CACHE_DAT[5], CACHE_DAT[4], CACHE_DAT[3], CACHE_DAT[2], + CACHE_DAT[1], CACHE_DAT[0]})); + FD8CE X1I5160 (.C(CLK1), .CE(CP0_WRITE_STATUS), .CLR(GND), .D({ + CACHE_DAT[15], CACHE_DAT[14], CACHE_DAT[13], CACHE_DAT[12], + CACHE_DAT[11], CACHE_DAT[10], CACHE_DAT[9], CACHE_DAT[8]}), .Q({ + STATUS[15], STATUS[14], STATUS[13], STATUS[12], STATUS[11], STATUS[10], + STATUS[9], STATUS[8]})); + OR3 X1I5168 (.I0(INTERRUPT_MEM), .I1(CP0_RETURN_FROM_EXCEPTION), .I2 + (CP0_WRITE_STATUS), .O(X1N6012)); + FDRE X1I5170 (.C(CLK1), .CE(X1N4935), .D(X1N5229), .Q(STATUS2), .R(RESET) + ); + FDRE X1I5174 (.C(CLK1), .CE(X1N4935), .D(X1N5230), .Q(STATUS3), .R + (RESET)); + FDRE X1I5187 (.C(CLK1), .CE(X1N4935), .D(X1N5208), .Q(STATUS0), .R(RESET) + ); + FDRE X1I5188 (.C(CLK1), .CE(X1N4935), .D(X1N5212), .Q(STATUS1), .R + (RESET)); + FDRE X1I5196 (.C(CLK1), .CE(X1N4935), .D(X1N5249), .Q(STATUS4), .R(RESET) + ); + FDRE X1I5197 (.C(CLK1), .CE(X1N4935), .D(X1N5248), .Q(STATUS5), .R + (RESET)); + M2_1E X1I5207 (.D0(CACHE_DAT[0]), .D1(STATUS2), .E(X1N6365), .O(X1N5208), + .S0(CP0_RETURN_FROM_EXCEPTION)); + M2_1E X1I5215 (.D0(CACHE_DAT[1]), .D1(STATUS3), .E(X1N6365), .O(X1N5212), + .S0(CP0_RETURN_FROM_EXCEPTION)); + M2_1 X1I5228 (.D0(X1N5235), .D1(STATUS0), .O(X1N5229), .S0(INTERRUPT_MEM) + ); + M2_1 X1I5231 (.D0(X1N5234), .D1(STATUS1), .O(X1N5230), .S0 + (INTERRUPT_MEM)); + M2_1 X1I5232 (.D0(CACHE_DAT[3]), .D1(STATUS5), .O(X1N5234), .S0 + (CP0_RETURN_FROM_EXCEPTION)); + M2_1 X1I5233 (.D0(CACHE_DAT[2]), .D1(STATUS4), .O(X1N5235), .S0 + (CP0_RETURN_FROM_EXCEPTION)); + M2_1 X1I5251 (.D0(X1N5253), .D1(STATUS3), .O(X1N5248), .S0(INTERRUPT_MEM) + ); + M2_1 X1I5252 (.D0(X1N5254), .D1(STATUS2), .O(X1N5249), .S0 + (INTERRUPT_MEM)); + M2_1 X1I5260 (.D0(CACHE_DAT[5]), .D1(STATUS5), .O(X1N5253), .S0 + (CP0_RETURN_FROM_EXCEPTION)); + M2_1 X1I5261 (.D0(CACHE_DAT[4]), .D1(STATUS4), .O(X1N5254), .S0 + (CP0_RETURN_FROM_EXCEPTION)); + INV X1I5272 (.I(STATUS31), .O(X1N5273)); + OR2 X1I5297 (.I0(CPO_WRITE_ENTRY_HI), .I1(X1N5287), .O(X1N5303)); + NOR6 X1I530 (.I0(INSTRUCTION[26]), .I1(INSTRUCTION[27]), .I2 + (INSTRUCTION[28]), .I3(INSTRUCTION[29]), .I4(INSTRUCTION[30]), .I5 + (INSTRUCTION[31]), .O(SPECIAL)); + OR2 X1I5305 (.I0(INT_DEC_ADEL), .I1(INT_DEC_TLBL), .O(INST_ADDR_ERROR)); + AND2 X1I5326 (.I0(BR_GEZ_LTZ), .I1(INSTRUCTION[20]), .O(X1N5327)); + REG32 X1I5342 (.CLK(CLK1), .EN(X1N5303), .I({CP0_ENTRY_HI_NEXT[31], + CP0_ENTRY_HI_NEXT[30], CP0_ENTRY_HI_NEXT[29], CP0_ENTRY_HI_NEXT[28], + CP0_ENTRY_HI_NEXT[27], CP0_ENTRY_HI_NEXT[26], CP0_ENTRY_HI_NEXT[25], + CP0_ENTRY_HI_NEXT[24], CP0_ENTRY_HI_NEXT[23], CP0_ENTRY_HI_NEXT[22], + CP0_ENTRY_HI_NEXT[21], CP0_ENTRY_HI_NEXT[20], CP0_ENTRY_HI_NEXT[19], + CP0_ENTRY_HI_NEXT[18], CP0_ENTRY_HI_NEXT[17], CP0_ENTRY_HI_NEXT[16], + CP0_ENTRY_HI_NEXT[15], CP0_ENTRY_HI_NEXT[14], CP0_ENTRY_HI_NEXT[13], + CP0_ENTRY_HI_NEXT[12], CP0_ENTRY_HI_NEXT[11], CP0_ENTRY_HI_NEXT[10], + CP0_ENTRY_HI_NEXT[9], CP0_ENTRY_HI_NEXT[8], CP0_ENTRY_HI_NEXT[7], + CP0_ENTRY_HI_NEXT[6], CP0_ENTRY_HI_NEXT[5], CP0_ENTRY_HI_NEXT[4], + CP0_ENTRY_HI_NEXT[3], CP0_ENTRY_HI_NEXT[2], CP0_ENTRY_HI_NEXT[1], + CP0_ENTRY_HI_NEXT[0]}), .O({CP0_ENTRY_HI[31], CP0_ENTRY_HI[30], + CP0_ENTRY_HI[29], CP0_ENTRY_HI[28], CP0_ENTRY_HI[27], CP0_ENTRY_HI[26], + CP0_ENTRY_HI[25], CP0_ENTRY_HI[24], CP0_ENTRY_HI[23], CP0_ENTRY_HI[22], + CP0_ENTRY_HI[21], CP0_ENTRY_HI[20], CP0_ENTRY_HI[19], CP0_ENTRY_HI[18], + CP0_ENTRY_HI[17], CP0_ENTRY_HI[16], CP0_ENTRY_HI[15], CP0_ENTRY_HI[14], + CP0_ENTRY_HI[13], CP0_ENTRY_HI[12], CP0_ENTRY_HI[11], CP0_ENTRY_HI[10], + CP0_ENTRY_HI[9], CP0_ENTRY_HI[8], CP0_ENTRY_HI[7], CP0_ENTRY_HI[6], + CP0_ENTRY_HI[5], CP0_ENTRY_HI[4], CP0_ENTRY_HI[3], CP0_ENTRY_HI[2], + CP0_ENTRY_HI[1], CP0_ENTRY_HI[0]})); + ROTEIGHT2 X1I5344 (.I({CACHE_DAT[31], CACHE_DAT[30], CACHE_DAT[29], + CACHE_DAT[28], CACHE_DAT[27], CACHE_DAT[26], CACHE_DAT[25], + CACHE_DAT[24], CACHE_DAT[23], CACHE_DAT[22], CACHE_DAT[21], + CACHE_DAT[20], CACHE_DAT[19], CACHE_DAT[18], CACHE_DAT[17], + CACHE_DAT[16], CACHE_DAT[15], CACHE_DAT[14], CACHE_DAT[13], + CACHE_DAT[12], CACHE_DAT[11], CACHE_DAT[10], CACHE_DAT[9], CACHE_DAT[8] + , CACHE_DAT[7], CACHE_DAT[6], CACHE_DAT[5], CACHE_DAT[4], CACHE_DAT[3], + CACHE_DAT[2], CACHE_DAT[1], CACHE_DAT[0]}), .O({LOAD_ROTATED[31], + LOAD_ROTATED[30], LOAD_ROTATED[29], LOAD_ROTATED[28], LOAD_ROTATED[27], + LOAD_ROTATED[26], LOAD_ROTATED[25], LOAD_ROTATED[24], LOAD_ROTATED[23], + LOAD_ROTATED[22], LOAD_ROTATED[21], LOAD_ROTATED[20], LOAD_ROTATED[19], + LOAD_ROTATED[18], LOAD_ROTATED[17], LOAD_ROTATED[16], LOAD_ROTATED[15], + LOAD_ROTATED[14], LOAD_ROTATED[13], LOAD_ROTATED[12], LOAD_ROTATED[11], + LOAD_ROTATED[10], LOAD_ROTATED[9], LOAD_ROTATED[8], LOAD_ROTATED[7], + LOAD_ROTATED[6], LOAD_ROTATED[5], LOAD_ROTATED[4], LOAD_ROTATED[3], + LOAD_ROTATED[2], LOAD_ROTATED[1], LOAD_ROTATED[0]}), .S0(X1N5619), .S1 + (X1N5618)); + BYTEMASK X1I5360 (.A({LOAD_ROTATED[31], LOAD_ROTATED[30], LOAD_ROTATED[29] + , LOAD_ROTATED[28], LOAD_ROTATED[27], LOAD_ROTATED[26], LOAD_ROTATED[25] + , LOAD_ROTATED[24], LOAD_ROTATED[23], LOAD_ROTATED[22], LOAD_ROTATED[21] + , LOAD_ROTATED[20], LOAD_ROTATED[19], LOAD_ROTATED[18], LOAD_ROTATED[17] + , LOAD_ROTATED[16], LOAD_ROTATED[15], LOAD_ROTATED[14], LOAD_ROTATED[13] + , LOAD_ROTATED[12], LOAD_ROTATED[11], LOAD_ROTATED[10], LOAD_ROTATED[9] + , LOAD_ROTATED[8], LOAD_ROTATED[7], LOAD_ROTATED[6], LOAD_ROTATED[5], + LOAD_ROTATED[4], LOAD_ROTATED[3], LOAD_ROTATED[2], LOAD_ROTATED[1], + LOAD_ROTATED[0]}), .B({REG_B_MEM[31], REG_B_MEM[30], REG_B_MEM[29], + REG_B_MEM[28], REG_B_MEM[27], REG_B_MEM[26], REG_B_MEM[25], + REG_B_MEM[24], REG_B_MEM[23], REG_B_MEM[22], REG_B_MEM[21], + REG_B_MEM[20], REG_B_MEM[19], REG_B_MEM[18], REG_B_MEM[17], + REG_B_MEM[16], REG_B_MEM[15], REG_B_MEM[14], REG_B_MEM[13], + REG_B_MEM[12], REG_B_MEM[11], REG_B_MEM[10], REG_B_MEM[9], REG_B_MEM[8] + , REG_B_MEM[7], REG_B_MEM[6], REG_B_MEM[5], REG_B_MEM[4], REG_B_MEM[3], + REG_B_MEM[2], REG_B_MEM[1], REG_B_MEM[0]}), .MASK(X1N5754), .NULL0(GND) + , .NULL1(X1N5748), .NULL2(X1N5746), .NULL3(X1N5746), .O({ + LOAD_ROTATED_MASKED[31], LOAD_ROTATED_MASKED[30], + LOAD_ROTATED_MASKED[29], LOAD_ROTATED_MASKED[28], + LOAD_ROTATED_MASKED[27], LOAD_ROTATED_MASKED[26], + LOAD_ROTATED_MASKED[25], LOAD_ROTATED_MASKED[24], + LOAD_ROTATED_MASKED[23], LOAD_ROTATED_MASKED[22], + LOAD_ROTATED_MASKED[21], LOAD_ROTATED_MASKED[20], + LOAD_ROTATED_MASKED[19], LOAD_ROTATED_MASKED[18], + LOAD_ROTATED_MASKED[17], LOAD_ROTATED_MASKED[16], + LOAD_ROTATED_MASKED[15], LOAD_ROTATED_MASKED[14], + LOAD_ROTATED_MASKED[13], LOAD_ROTATED_MASKED[12], + LOAD_ROTATED_MASKED[11], LOAD_ROTATED_MASKED[10], LOAD_ROTATED_MASKED[9] + , LOAD_ROTATED_MASKED[8], LOAD_ROTATED_MASKED[7], LOAD_ROTATED_MASKED[6] + , LOAD_ROTATED_MASKED[5], LOAD_ROTATED_MASKED[4], LOAD_ROTATED_MASKED[3] + , LOAD_ROTATED_MASKED[2], LOAD_ROTATED_MASKED[1], LOAD_ROTATED_MASKED[0] + }), .SB0(X1N5736), .SB1(X1N5734), .SB2(X1N5732), .SB3(X1N5728)); + ROTEIGHT X1I5365 (.I({REG_B_MEM[31], REG_B_MEM[30], REG_B_MEM[29], + REG_B_MEM[28], REG_B_MEM[27], REG_B_MEM[26], REG_B_MEM[25], + REG_B_MEM[24], REG_B_MEM[23], REG_B_MEM[22], REG_B_MEM[21], + REG_B_MEM[20], REG_B_MEM[19], REG_B_MEM[18], REG_B_MEM[17], + REG_B_MEM[16], REG_B_MEM[15], REG_B_MEM[14], REG_B_MEM[13], + REG_B_MEM[12], REG_B_MEM[11], REG_B_MEM[10], REG_B_MEM[9], REG_B_MEM[8] + , REG_B_MEM[7], REG_B_MEM[6], REG_B_MEM[5], REG_B_MEM[4], REG_B_MEM[3], + REG_B_MEM[2], REG_B_MEM[1], REG_B_MEM[0]}), .O({REG_B_MEM_SHIFTED[31], + REG_B_MEM_SHIFTED[30], REG_B_MEM_SHIFTED[29], REG_B_MEM_SHIFTED[28], + REG_B_MEM_SHIFTED[27], REG_B_MEM_SHIFTED[26], REG_B_MEM_SHIFTED[25], + REG_B_MEM_SHIFTED[24], REG_B_MEM_SHIFTED[23], REG_B_MEM_SHIFTED[22], + REG_B_MEM_SHIFTED[21], REG_B_MEM_SHIFTED[20], REG_B_MEM_SHIFTED[19], + REG_B_MEM_SHIFTED[18], REG_B_MEM_SHIFTED[17], REG_B_MEM_SHIFTED[16], + REG_B_MEM_SHIFTED[15], REG_B_MEM_SHIFTED[14], REG_B_MEM_SHIFTED[13], + REG_B_MEM_SHIFTED[12], REG_B_MEM_SHIFTED[11], REG_B_MEM_SHIFTED[10], + REG_B_MEM_SHIFTED[9], REG_B_MEM_SHIFTED[8], REG_B_MEM_SHIFTED[7], + REG_B_MEM_SHIFTED[6], REG_B_MEM_SHIFTED[5], REG_B_MEM_SHIFTED[4], + REG_B_MEM_SHIFTED[3], REG_B_MEM_SHIFTED[2], REG_B_MEM_SHIFTED[1], + REG_B_MEM_SHIFTED[0]}), .S0(X1N5507), .S1(X1N5524)); + BYTE_MUX X1I5373 (.A({MEMORY_BEFRE_WRITE[31], MEMORY_BEFRE_WRITE[30], + MEMORY_BEFRE_WRITE[29], MEMORY_BEFRE_WRITE[28], MEMORY_BEFRE_WRITE[27], + MEMORY_BEFRE_WRITE[26], MEMORY_BEFRE_WRITE[25], MEMORY_BEFRE_WRITE[24], + MEMORY_BEFRE_WRITE[23], MEMORY_BEFRE_WRITE[22], MEMORY_BEFRE_WRITE[21], + MEMORY_BEFRE_WRITE[20], MEMORY_BEFRE_WRITE[19], MEMORY_BEFRE_WRITE[18], + MEMORY_BEFRE_WRITE[17], MEMORY_BEFRE_WRITE[16], MEMORY_BEFRE_WRITE[15], + MEMORY_BEFRE_WRITE[14], MEMORY_BEFRE_WRITE[13], MEMORY_BEFRE_WRITE[12], + MEMORY_BEFRE_WRITE[11], MEMORY_BEFRE_WRITE[10], MEMORY_BEFRE_WRITE[9], + MEMORY_BEFRE_WRITE[8], MEMORY_BEFRE_WRITE[7], MEMORY_BEFRE_WRITE[6], + MEMORY_BEFRE_WRITE[5], MEMORY_BEFRE_WRITE[4], MEMORY_BEFRE_WRITE[3], + MEMORY_BEFRE_WRITE[2], MEMORY_BEFRE_WRITE[1], MEMORY_BEFRE_WRITE[0]}), + .B({REG_B_MEM_SHIFTED[31], REG_B_MEM_SHIFTED[30], REG_B_MEM_SHIFTED[29] + , REG_B_MEM_SHIFTED[28], REG_B_MEM_SHIFTED[27], REG_B_MEM_SHIFTED[26], + REG_B_MEM_SHIFTED[25], REG_B_MEM_SHIFTED[24], REG_B_MEM_SHIFTED[23], + REG_B_MEM_SHIFTED[22], REG_B_MEM_SHIFTED[21], REG_B_MEM_SHIFTED[20], + REG_B_MEM_SHIFTED[19], REG_B_MEM_SHIFTED[18], REG_B_MEM_SHIFTED[17], + REG_B_MEM_SHIFTED[16], REG_B_MEM_SHIFTED[15], REG_B_MEM_SHIFTED[14], + REG_B_MEM_SHIFTED[13], REG_B_MEM_SHIFTED[12], REG_B_MEM_SHIFTED[11], + REG_B_MEM_SHIFTED[10], REG_B_MEM_SHIFTED[9], REG_B_MEM_SHIFTED[8], + REG_B_MEM_SHIFTED[7], REG_B_MEM_SHIFTED[6], REG_B_MEM_SHIFTED[5], + REG_B_MEM_SHIFTED[4], REG_B_MEM_SHIFTED[3], REG_B_MEM_SHIFTED[2], + REG_B_MEM_SHIFTED[1], REG_B_MEM_SHIFTED[0]}), .O({ + REG_B_MEM_SHIFTED_MASKED[31], REG_B_MEM_SHIFTED_MASKED[30], + REG_B_MEM_SHIFTED_MASKED[29], REG_B_MEM_SHIFTED_MASKED[28], + REG_B_MEM_SHIFTED_MASKED[27], REG_B_MEM_SHIFTED_MASKED[26], + REG_B_MEM_SHIFTED_MASKED[25], REG_B_MEM_SHIFTED_MASKED[24], + REG_B_MEM_SHIFTED_MASKED[23], REG_B_MEM_SHIFTED_MASKED[22], + REG_B_MEM_SHIFTED_MASKED[21], REG_B_MEM_SHIFTED_MASKED[20], + REG_B_MEM_SHIFTED_MASKED[19], REG_B_MEM_SHIFTED_MASKED[18], + REG_B_MEM_SHIFTED_MASKED[17], REG_B_MEM_SHIFTED_MASKED[16], + REG_B_MEM_SHIFTED_MASKED[15], REG_B_MEM_SHIFTED_MASKED[14], + REG_B_MEM_SHIFTED_MASKED[13], REG_B_MEM_SHIFTED_MASKED[12], + REG_B_MEM_SHIFTED_MASKED[11], REG_B_MEM_SHIFTED_MASKED[10], + REG_B_MEM_SHIFTED_MASKED[9], REG_B_MEM_SHIFTED_MASKED[8], + REG_B_MEM_SHIFTED_MASKED[7], REG_B_MEM_SHIFTED_MASKED[6], + REG_B_MEM_SHIFTED_MASKED[5], REG_B_MEM_SHIFTED_MASKED[4], + REG_B_MEM_SHIFTED_MASKED[3], REG_B_MEM_SHIFTED_MASKED[2], + REG_B_MEM_SHIFTED_MASKED[1], REG_B_MEM_SHIFTED_MASKED[0]}), .SB0 + (X1N5547), .SB1(X1N5596), .SB2(X1N5598), .SB3(X1N5600)); + FD4RE X1I5378 (.C(CLK1), .CE(GLB_EN), .D0(X1N5423), .D1(X1N5425), .D2 + (INSTRUCTION[28]), .D3(INSTRUCTION[31]), .Q0(X1N5383), .Q1(X1N5382), .Q2 + (X1N5381), .Q3(X1N5788), .R(FLUSH)); + FD4RE X1I5379 (.C(CLK1), .CE(GLB_EN), .D0(X1N5383), .D1(X1N5382), .D2 + (X1N5381), .D3(X1N5788), .Q0(LDST_SHIFT0), .Q1(LDST_SHIFT1), .Q2 + (LDST_SHIFT2), .Q3(CACHE), .R(FLUSH)); + OR5 X1I5406 (.I0(X1N5407), .I1(X1N4986), .I2(X1N4988), .I3(X1N4990), .I4 + (X1N4992), .O(X1N5414)); + OR2B1 X1I5419 (.I0(STATUS1), .I1(STATUS28), .O(X1N5418)); + OR2 X1I5420 (.I0(INSTRUCTION[30]), .I1(INSTRUCTION[26]), .O(X1N5423)); + OR2 X1I5424 (.I0(INSTRUCTION[30]), .I1(INSTRUCTION[27]), .O(X1N5425)); + AND3 X1I5457 (.I0(EXE_FF[0]), .I1(LDST_SHIFT0), .I2(CACHE), .O(X1N5459)); + AND4 X1I5458 (.I0(EXE_FF[1]), .I1(LDST_SHIFT1), .I2(LDST_SHIFT0), .I3 + (CACHE), .O(X1N5460)); + M2_1X5 X1I550 (.A({INSTRUCTION[20], INSTRUCTION[19], INSTRUCTION[18], + INSTRUCTION[17], INSTRUCTION[16]}), .B({INSTRUCTION[15], INSTRUCTION[14] + , INSTRUCTION[13], INSTRUCTION[12], INSTRUCTION[11]}), .O({ + REG_DEST_RT_RD[4], REG_DEST_RT_RD[3], REG_DEST_RT_RD[2], + REG_DEST_RT_RD[1], REG_DEST_RT_RD[0]}), .SB(SPECIAL)); + XOR2 X1I5508 (.I0(LDST_SHIFT2), .I1(X1N5520), .O(X1N5507)); + XOR2 X1I5512 (.I0(X1N5516), .I1(X1N6434), .O(X1N5520)); + XOR2 X1I5513 (.I0(X1N5516), .I1(X1N6433), .O(X1N5519)); + XOR2 X1I5514 (.I0(X1N5521), .I1(X1N5519), .O(X1N5524)); + AND2 X1I5515 (.I0(LDST_SHIFT2), .I1(X1N5520), .O(X1N5521)); + SOP4 X1I5526 (.I0(X1N5507), .I1(X1N5524), .I2(X1N5559), .I3(X1N5552), .O + (X1N5561)); + SOP4B1 X1I5529 (.I0(X1N5524), .I1(X1N5507), .I2(X1N5559), .I3(X1N5547), .O + (X1N5550)); + SOP4B1 X1I5530 (.I0(X1N5507), .I1(X1N5524), .I2(LDST_SHIFT1), .I3(X1N5550) + , .O(X1N5552)); + AND2B2 X1I5546 (.I0(X1N5524), .I1(X1N5507), .O(X1N5547)); + REG5 X1I555 (.CLK(CLK1), .EN(GLB_EN), .I({REG_DEST_FETCH[4], + REG_DEST_FETCH[3], REG_DEST_FETCH[2], REG_DEST_FETCH[1], + REG_DEST_FETCH[0]}), .O({REG_DEST_EXE[4], REG_DEST_EXE[3], + REG_DEST_EXE[2], REG_DEST_EXE[1], REG_DEST_EXE[0]}), .RES(FLUSH)); + XOR2 X1I5564 (.I0(X1N5567), .I1(X1N5550), .O(X1N5596)); + XOR2 X1I5565 (.I0(X1N5567), .I1(X1N5552), .O(X1N5598)); + XOR2 X1I5566 (.I0(X1N5567), .I1(X1N5561), .O(X1N5600)); + AND2B1 X1I5579 (.I0(X1N5547), .I1(LDST_SHIFT2), .O(X1N5567)); + OR2 X1I5584 (.I0(LDST_SHIFT0), .I1(LDST_SHIFT1), .O(X1N5559)); + AND3B2 X1I5608 (.I0(EXE_FF[1]), .I1(EXE_FF[0]), .I2(LDST_SHIFT1), .O + (MEM_FULL_WRITE)); + OR2 X1I5615 (.I0(MEM_FULL_WRITE), .I1(DATA_CACHE_HIT), .O(X1N5616)); + AND2 X1I5623 (.I0(X1N5624), .I1(X1N5625), .O(X1N5620)); + XOR2 X1I5630 (.I0(X1N5620), .I1(X1N5628), .O(X1N5618)); + XOR2 X1I5631 (.I0(X1N5651), .I1(X1N6421), .O(X1N5628)); + XOR2 X1I5632 (.I0(X1N5651), .I1(X1N6439), .O(X1N5625)); + XOR2 X1I5633 (.I0(X1N5624), .I1(X1N5625), .O(X1N5619)); + AND2 X1I5634 (.I0(LDST_SHIFT2), .I1(LDST_SHIFT1), .O(X1N5624)); + AND2 X1I5649 (.I0(X1N5618), .I1(X1N5651), .O(X1N5668)); + AND2 X1I5650 (.I0(X1N5706), .I1(X1N5651), .O(X1N5670)); + AND3 X1I5655 (.I0(X1N5651), .I1(X1N5619), .I2(X1N5618), .O(X1N5666)); + XOR2 X1I5665 (.I0(X1N5671), .I1(X1N5666), .O(X1N5686)); + XOR2 X1I5667 (.I0(X1N5671), .I1(X1N5668), .O(X1N5684)); + XOR2 X1I5669 (.I0(X1N5671), .I1(X1N5670), .O(X1N5688)); + AND2 X1I5679 (.I0(X1N5696), .I1(X1N5686), .O(X1N5728)); + AND2 X1I5680 (.I0(X1N5696), .I1(X1N5684), .O(X1N5732)); + AND2 X1I5681 (.I0(X1N5696), .I1(X1N5688), .O(X1N5734)); + OR2 X1I5705 (.I0(X1N5619), .I1(X1N5618), .O(X1N5706)); + AND2B1 X1I5710 (.I0(X1N5706), .I1(X1N5651), .O(X1N5696)); + AND2 X1I5718 (.I0(X1N5651), .I1(LDST_SHIFT2), .O(X1N5671)); + INV X1I5720 (.I(X1N5706), .O(X1N5723)); + XOR2 X1I5722 (.I0(X1N5723), .I1(X1N5671), .O(X1N5726)); + AND2 X1I5727 (.I0(X1N5696), .I1(X1N5726), .O(X1N5736)); + AND2B1 X1I5738 (.I0(LDST_SHIFT0), .I1(X1N5746), .O(X1N5748)); + INV X1I5744 (.I(LDST_SHIFT1), .O(X1N5746)); + M2_1E X1I5753 (.D0(LOAD_ROTATED[15]), .D1(LOAD_ROTATED[7]), .E(X1N5764), + .O(X1N5754), .S0(LDST_SHIFT0)); + INV X1I5761 (.I(LDST_SHIFT2), .O(X1N5764)); + BUFE32 X1I5781 (.E(CP0_READ_CAUSE), .I({CPO_CAUSE31, GND, CPO_CAUSE29, + CPO_CAUSE28, GND[27], GND[26], GND[25], GND[24], GND[23], GND[22], + GND[21], GND[20], GND[19], GND[18], GND[17], GND[16], CPO_CAUSE[15], + CPO_CAUSE[14], CPO_CAUSE[13], CPO_CAUSE[12], CPO_CAUSE[11], + CPO_CAUSE[10], CPO_CAUSE[9], CPO_CAUSE[8], GND, CPO_CAUSE[6], + CPO_CAUSE[5], CPO_CAUSE[4], CPO_CAUSE[3], CPO_CAUSE[2], GND[1], GND[0]}) + , .O({CACHE_DAT[31], CACHE_DAT[30], CACHE_DAT[29], CACHE_DAT[28], + CACHE_DAT[27], CACHE_DAT[26], CACHE_DAT[25], CACHE_DAT[24], + CACHE_DAT[23], CACHE_DAT[22], CACHE_DAT[21], CACHE_DAT[20], + CACHE_DAT[19], CACHE_DAT[18], CACHE_DAT[17], CACHE_DAT[16], + CACHE_DAT[15], CACHE_DAT[14], CACHE_DAT[13], CACHE_DAT[12], + CACHE_DAT[11], CACHE_DAT[10], CACHE_DAT[9], CACHE_DAT[8], CACHE_DAT[7], + CACHE_DAT[6], CACHE_DAT[5], CACHE_DAT[4], CACHE_DAT[3], CACHE_DAT[2], + CACHE_DAT[1], CACHE_DAT[0]})); + AND2B2 X1I5810 (.I0(RESET), .I1(STATUS22), .O(X1N6062)); + AND2B1 X1I5823 (.I0(RESET), .I1(STATUS22), .O(X1N5811)); + GND X1I5888 (.G(EXTERNAL_INTERRUPT1)); + REG5 X1I589 (.CLK(CLK1), .EN(GLB_EN), .I({REG_DEST_EXE[4], REG_DEST_EXE[3] + , REG_DEST_EXE[2], REG_DEST_EXE[1], REG_DEST_EXE[0]}), .O({ + REG_DEST_MEM[4], REG_DEST_MEM[3], REG_DEST_MEM[2], REG_DEST_MEM[1], + REG_DEST_MEM[0]}), .RES(FLUSH)); + AND2B1 X1I5922 (.I0(INST_MEM_ACCESS), .I1(CLK2_NBUF), .O(X1N5147)); + AND2B1 X1I593 (.I0(SET_R0), .I1(REG_DEST_RT_RD[0]), .O(X1N595)); + FD16RE X1I5935 (.C(CLK1), .CE(X1N3422), .D({MEM_DAT[15], MEM_DAT[14], + MEM_DAT[13], MEM_DAT[12], MEM_DAT[11], MEM_DAT[10], MEM_DAT[9], + MEM_DAT[8], MEM_DAT[7], MEM_DAT[6], MEM_DAT[5], MEM_DAT[4], MEM_DAT[3], + MEM_DAT[2], MEM_DAT[1], MEM_DAT[0]}), .Q({DISPLAY[15], DISPLAY[14], + DISPLAY[13], DISPLAY[12], DISPLAY[11], DISPLAY[10], DISPLAY[9], + DISPLAY[8], DISPLAY[7], DISPLAY[6], DISPLAY[5], DISPLAY[4], DISPLAY[3], + DISPLAY[2], DISPLAY[1], DISPLAY[0]}), .R(GND)); + OR2 X1I594 (.I0(SET_R31), .I1(X1N595), .O(REG_DEST_FETCH[0])); + AND2 X1I5946 (.I0(STATUS1), .I1(PC[31]), .O(X1N5947)); + OR2 X1I5961 (.I0(DATA_MEM_ACCESS), .I1(INST_MEM_ACCESS), .O(MEMORY)); + AND3 X1I5976 (.I0(STATUS1), .I1(EXE_FF[31]), .I2(CACHE), .O(X1N5975)); + AND2B1 X1I598 (.I0(SET_R0), .I1(REG_DEST_RT_RD[1]), .O(X1N600)); + AND4B2 X1I5985 (.I0(EXC_CODE[4]), .I1(EXC_CODE[3]), .I2(X1N6001), .I3 + (INTERRUPT_MEM)); + OR2 X1I599 (.I0(SET_R31), .I1(X1N600), .O(REG_DEST_FETCH[1])); + OR3 X1I5997 (.I0(EXC_CODE[2]), .I1(EXC_CODE[1]), .I2(EXC_CODE[0]), .O + (X1N6001)); + AND2 X1I6011 (.I0(X1N6012), .I1(GLB_EN), .O(X1N4935)); + RAM32X32S X1I6019 (.A0(CPO_REG_SELECT[0]), .A1(CPO_REG_SELECT[1]), .A2 + (CPO_REG_SELECT[2]), .A3(CPO_REG_SELECT[3]), .A4(GND), .D({CACHE_DAT[31] + , CACHE_DAT[30], CACHE_DAT[29], CACHE_DAT[28], CACHE_DAT[27], + CACHE_DAT[26], CACHE_DAT[25], CACHE_DAT[24], CACHE_DAT[23], + CACHE_DAT[22], CACHE_DAT[21], CACHE_DAT[20], CACHE_DAT[19], + CACHE_DAT[18], CACHE_DAT[17], CACHE_DAT[16], CACHE_DAT[15], + CACHE_DAT[14], CACHE_DAT[13], CACHE_DAT[12], CACHE_DAT[11], + CACHE_DAT[10], CACHE_DAT[9], CACHE_DAT[8], CACHE_DAT[7], CACHE_DAT[6], + CACHE_DAT[5], CACHE_DAT[4], CACHE_DAT[3], CACHE_DAT[2], CACHE_DAT[1], + CACHE_DAT[0]}), .O({CP0_HI_REGS[31], CP0_HI_REGS[30], CP0_HI_REGS[29], + CP0_HI_REGS[28], CP0_HI_REGS[27], CP0_HI_REGS[26], CP0_HI_REGS[25], + CP0_HI_REGS[24], CP0_HI_REGS[23], CP0_HI_REGS[22], CP0_HI_REGS[21], + CP0_HI_REGS[20], CP0_HI_REGS[19], CP0_HI_REGS[18], CP0_HI_REGS[17], + CP0_HI_REGS[16], CP0_HI_REGS[15], CP0_HI_REGS[14], CP0_HI_REGS[13], + CP0_HI_REGS[12], CP0_HI_REGS[11], CP0_HI_REGS[10], CP0_HI_REGS[9], + CP0_HI_REGS[8], CP0_HI_REGS[7], CP0_HI_REGS[6], CP0_HI_REGS[5], + CP0_HI_REGS[4], CP0_HI_REGS[3], CP0_HI_REGS[2], CP0_HI_REGS[1], + CP0_HI_REGS[0]}), .WCLK(CLK1), .WE(X1N6037)); + BUFE32 X1I6020 (.E(X1N6025), .I({CP0_HI_REGS[31], CP0_HI_REGS[30], + CP0_HI_REGS[29], CP0_HI_REGS[28], CP0_HI_REGS[27], CP0_HI_REGS[26], + CP0_HI_REGS[25], CP0_HI_REGS[24], CP0_HI_REGS[23], CP0_HI_REGS[22], + CP0_HI_REGS[21], CP0_HI_REGS[20], CP0_HI_REGS[19], CP0_HI_REGS[18], + CP0_HI_REGS[17], CP0_HI_REGS[16], CP0_HI_REGS[15], CP0_HI_REGS[14], + CP0_HI_REGS[13], CP0_HI_REGS[12], CP0_HI_REGS[11], CP0_HI_REGS[10], + CP0_HI_REGS[9], CP0_HI_REGS[8], CP0_HI_REGS[7], CP0_HI_REGS[6], + CP0_HI_REGS[5], CP0_HI_REGS[4], CP0_HI_REGS[3], CP0_HI_REGS[2], + CP0_HI_REGS[1], CP0_HI_REGS[0]}), .O({CACHE_DAT[31], CACHE_DAT[30], + CACHE_DAT[29], CACHE_DAT[28], CACHE_DAT[27], CACHE_DAT[26], + CACHE_DAT[25], CACHE_DAT[24], CACHE_DAT[23], CACHE_DAT[22], + CACHE_DAT[21], CACHE_DAT[20], CACHE_DAT[19], CACHE_DAT[18], + CACHE_DAT[17], CACHE_DAT[16], CACHE_DAT[15], CACHE_DAT[14], + CACHE_DAT[13], CACHE_DAT[12], CACHE_DAT[11], CACHE_DAT[10], CACHE_DAT[9] + , CACHE_DAT[8], CACHE_DAT[7], CACHE_DAT[6], CACHE_DAT[5], CACHE_DAT[4], + CACHE_DAT[3], CACHE_DAT[2], CACHE_DAT[1], CACHE_DAT[0]})); + AND4 X1I6024 (.I0(CPO_REG_SELECT[4]), .I1(CPO_OUTPUT), .I2(CLK2_NBUF), .I3 + (GLB_EN), .O(X1N6025)); + AND5 X1I6032 (.I0(CPO_REG_SELECT[4]), .I1(CPO_WRITE), .I2(CLK2_NBUF), .I3 + (GLB_EN), .I4(X1N6030), .O(X1N6037)); + OR2B1 X1I6036 (.I0(STATUS28), .I1(STATUS1), .O(X1N6030)); + OR2 X1I6066 (.I0(INTERRUPT_MEM), .I1(INT_FETCH_ADEL), .O(X1N6067)); + AND2 X1I6071 (.I0(MMU_HIT_DATA), .I1(V_ADDRESS_ERROR), .O(X1N5287)); + AND3B1 X1I6079 (.I0(ADDRESS[2]), .I1(END_READ), .I2(ENABLE_SERIAL), .O + (X1N2874)); + XNOR2 X1I6126 (.I0(INSTRUCTION[28]), .I1(INSTRUCTION[27]), .O(X1N6127)); + OR2 X1I613 (.I0(SET_R31), .I1(X1N616), .O(REG_DEST_FETCH[2])); + AND3B2 X1I6130 (.I0(INSTRUCTION[28]), .I1(INSTRUCTION[27]), .I2 + (BR_INSTRUCTION), .O(BR_GEZ_LTZ)); + AND3B1 X1I6136 (.I0(INSTRUCTION[28]), .I1(INSTRUCTION[27]), .I2 + (BR_INSTRUCTION), .O(JUMPLONG)); + OR3 X1I6143 (.I0(JUMPLONG), .I1(X1N6144), .I2(X1N6177), .O(TAKEBRANCH)); + AND4B2 X1I6145 (.I0(INSTRUCTION[25]), .I1(INSTRUCTION[31]), .I2 + (INSTRUCTION[24]), .I3(INSTRUCTION[30]), .O(X1N6151)); + D2_4E X1I6150 (.A0(INSTRUCTION[26]), .A1(INSTRUCTION[27]), .D0(X1N6156), + .D1(X1N6158), .D2(X1N6160), .D3(X1N6161), .E(X1N6151)); + AND2 X1I6155 (.I0(X1N6156), .I1(GND), .O(X1N6175)); + AND2 X1I6157 (.I0(X1N6158), .I1(GND), .O(X1N6173)); + AND2 X1I6159 (.I0(X1N6160), .I1(GND), .O(X1N6171)); + AND2 X1I6162 (.I0(X1N6161), .I1(GND), .O(X1N6169)); + OR4 X1I6168 (.I0(X1N6169), .I1(X1N6171), .I2(X1N6173), .I3(X1N6175), .O + (X1N6177)); + AND2B1 X1I617 (.I0(SET_R0), .I1(REG_DEST_RT_RD[2]), .O(X1N616)); + OR2 X1I620 (.I0(SET_R31), .I1(X1N623), .O(REG_DEST_FETCH[3])); + OR2 X1I6215 (.I0(JMP2REG), .I1(TAKEBRANCH), .O(BRANCH)); + AND2B1 X1I6219 (.I0(BRANCH), .I1(GLB_EN), .O(X1N6218)); + AND2B1 X1I624 (.I0(SET_R0), .I1(REG_DEST_RT_RD[3]), .O(X1N623)); + MUX2_1X32 X1I6289 (.A({PC_PLUS_FOUR[31], PC_PLUS_FOUR[30], + PC_PLUS_FOUR[29], PC_PLUS_FOUR[28], PC_PLUS_FOUR[27], PC_PLUS_FOUR[26], + PC_PLUS_FOUR[25], PC_PLUS_FOUR[24], PC_PLUS_FOUR[23], PC_PLUS_FOUR[22], + PC_PLUS_FOUR[21], PC_PLUS_FOUR[20], PC_PLUS_FOUR[19], PC_PLUS_FOUR[18], + PC_PLUS_FOUR[17], PC_PLUS_FOUR[16], PC_PLUS_FOUR[15], PC_PLUS_FOUR[14], + PC_PLUS_FOUR[13], PC_PLUS_FOUR[12], PC_PLUS_FOUR[11], PC_PLUS_FOUR[10], + PC_PLUS_FOUR[9], PC_PLUS_FOUR[8], PC_PLUS_FOUR[7], PC_PLUS_FOUR[6], + PC_PLUS_FOUR[5], PC_PLUS_FOUR[4], PC_PLUS_FOUR[3], PC_PLUS_FOUR[2], + PC_PLUS_FOUR[1], PC_PLUS_FOUR[0]}), .B({ALU_PC[31], ALU_PC[30], + ALU_PC[29], ALU_PC[28], ALU_PC[27], ALU_PC[26], ALU_PC[25], ALU_PC[24], + ALU_PC[23], ALU_PC[22], ALU_PC[21], ALU_PC[20], ALU_PC[19], ALU_PC[18], + ALU_PC[17], ALU_PC[16], ALU_PC[15], ALU_PC[14], ALU_PC[13], ALU_PC[12], + ALU_PC[11], ALU_PC[10], ALU_PC[9], ALU_PC[8], ALU_PC[7], ALU_PC[6], + ALU_PC[5], ALU_PC[4], ALU_PC[3], ALU_PC[2], ALU_PC[1], ALU_PC[0]}), .SB + (INST_ADDR_ERROR), .S({NEXT_STORED_PC[31], NEXT_STORED_PC[30], + NEXT_STORED_PC[29], NEXT_STORED_PC[28], NEXT_STORED_PC[27], + NEXT_STORED_PC[26], NEXT_STORED_PC[25], NEXT_STORED_PC[24], + NEXT_STORED_PC[23], NEXT_STORED_PC[22], NEXT_STORED_PC[21], + NEXT_STORED_PC[20], NEXT_STORED_PC[19], NEXT_STORED_PC[18], + NEXT_STORED_PC[17], NEXT_STORED_PC[16], NEXT_STORED_PC[15], + NEXT_STORED_PC[14], NEXT_STORED_PC[13], NEXT_STORED_PC[12], + NEXT_STORED_PC[11], NEXT_STORED_PC[10], NEXT_STORED_PC[9], + NEXT_STORED_PC[8], NEXT_STORED_PC[7], NEXT_STORED_PC[6], + NEXT_STORED_PC[5], NEXT_STORED_PC[4], NEXT_STORED_PC[3], + NEXT_STORED_PC[2], NEXT_STORED_PC[1], NEXT_STORED_PC[0]})); + FDE X1I6307 (.C(CLK1), .CE(GLB_EN), .D(RESET_IN), .Q(RESET)); + FDE X1I6314 (.C(CLK1), .CE(GLB_EN), .D(SET_R31), .Q(SET_R31_EXE)); + OR2 X1I6334 (.I0(X1N6337), .I1(RESET_IN), .O(INTERRUPT_MEM)); + AND3 X1I6336 (.I0(GLB_EN), .I1(CLK2_NBUF), .I2(X1N6323), .O(X1N6337)); + OR2 X1I634 (.I0(SET_R31), .I1(X1N637), .O(REG_DEST_FETCH[4])); + INV X1I6364 (.I(INTERRUPT_MEM), .O(X1N6365)); + AND2B1 X1I638 (.I0(SET_R0), .I1(REG_DEST_RT_RD[4]), .O(X1N637)); + OR2 X1I6394 (.I0(X1N6396), .I1(X1N6395), .O(ENABLE_ROM)); + AND5B4 X1I6403 (.I0(MMU_NOT_VALID_DATA), .I1(EXC_CODE[4]), .I2 + (EXC_CODE[3]), .I3(EXC_CODE[2]), .I4(EXC_CODE[1]), .O(X1N6409)); + AND2 X1I6420 (.I0(CACHE), .I1(EXE_FF[1]), .O(X1N6421)); + AND2 X1I6422 (.I0(CACHE), .I1(EXE_FF[0]), .O(X1N6439)); + AND2 X1I6431 (.I0(CACHE), .I1(EXE_FF[1]), .O(X1N6433)); + AND2 X1I6432 (.I0(CACHE), .I1(EXE_FF[0]), .O(X1N6434)); + OR3 X1I6450 (.I0(X1N5460), .I1(X1N5459), .I2(X1N5975), .O + (INT_UNALIGNED_ACCESS)); + FD X1I6454 (.C(X1N6461), .D(X1N6456), .Q(X1N6457)); + INV X1I6455 (.I(X1N6457), .O(X1N6456)); + FD X1I6458 (.C(X1N6462), .D(X1N6460), .Q(X1N6461)); + INV X1I6459 (.I(X1N6461), .O(X1N6460)); + MEM X1I6505 (.ADDRESS({ADDRESS[31], ADDRESS[30], ADDRESS[29], ADDRESS[28] + , ADDRESS[27], ADDRESS[26], ADDRESS[25], ADDRESS[24], ADDRESS[23], + ADDRESS[22], ADDRESS[21], ADDRESS[20], ADDRESS[19], ADDRESS[18], + ADDRESS[17], ADDRESS[16], ADDRESS[15], ADDRESS[14], ADDRESS[13], + ADDRESS[12], ADDRESS[11], ADDRESS[10], ADDRESS[9], ADDRESS[8], + ADDRESS[7], ADDRESS[6], ADDRESS[5], ADDRESS[4], ADDRESS[3], ADDRESS[2], + ADDRESS[1], ADDRESS[0]}), .CE(ENABLE_RAM2), .MEM_READ_DATA({RAM_READ[31] + , RAM_READ[30], RAM_READ[29], RAM_READ[28], RAM_READ[27], RAM_READ[26], + RAM_READ[25], RAM_READ[24], RAM_READ[23], RAM_READ[22], RAM_READ[21], + RAM_READ[20], RAM_READ[19], RAM_READ[18], RAM_READ[17], RAM_READ[16], + RAM_READ[15], RAM_READ[14], RAM_READ[13], RAM_READ[12], RAM_READ[11], + RAM_READ[10], RAM_READ[9], RAM_READ[8], RAM_READ[7], RAM_READ[6], + RAM_READ[5], RAM_READ[4], RAM_READ[3], RAM_READ[2], RAM_READ[1], + RAM_READ[0]}), .OE(X1N6527), .WR(X1N6510), .WRITE_DATA({MEM_DAT[31], + MEM_DAT[30], MEM_DAT[29], MEM_DAT[28], MEM_DAT[27], MEM_DAT[26], + MEM_DAT[25], MEM_DAT[24], MEM_DAT[23], MEM_DAT[22], MEM_DAT[21], + MEM_DAT[20], MEM_DAT[19], MEM_DAT[18], MEM_DAT[17], MEM_DAT[16], + MEM_DAT[15], MEM_DAT[14], MEM_DAT[13], MEM_DAT[12], MEM_DAT[11], + MEM_DAT[10], MEM_DAT[9], MEM_DAT[8], MEM_DAT[7], MEM_DAT[6], MEM_DAT[5] + , MEM_DAT[4], MEM_DAT[3], MEM_DAT[2], MEM_DAT[1], MEM_DAT[0]})); + AND2B1 X1I6508 (.I0(END_WRITE), .I1(MEM_WRITE), .O(X1N6510)); + AND3B2 X1I6509 (.I0(ADDRESS[27]), .I1(ADDRESS[28]), .I2(MEMORY), .O + (ENABLE_RAM2)); + AND2B1 X1I6511 (.I0(MEM_WRITE), .I1(ENABLE_RAM2), .O(X1N6519)); + BUFE32 X1I6514 (.E(X1N6519), .I({RAM_READ[31], RAM_READ[30], RAM_READ[29] + , RAM_READ[28], RAM_READ[27], RAM_READ[26], RAM_READ[25], RAM_READ[24], + RAM_READ[23], RAM_READ[22], RAM_READ[21], RAM_READ[20], RAM_READ[19], + RAM_READ[18], RAM_READ[17], RAM_READ[16], RAM_READ[15], RAM_READ[14], + RAM_READ[13], RAM_READ[12], RAM_READ[11], RAM_READ[10], RAM_READ[9], + RAM_READ[8], RAM_READ[7], RAM_READ[6], RAM_READ[5], RAM_READ[4], + RAM_READ[3], RAM_READ[2], RAM_READ[1], RAM_READ[0]}), .O({MEM_DAT[31], + MEM_DAT[30], MEM_DAT[29], MEM_DAT[28], MEM_DAT[27], MEM_DAT[26], + MEM_DAT[25], MEM_DAT[24], MEM_DAT[23], MEM_DAT[22], MEM_DAT[21], + MEM_DAT[20], MEM_DAT[19], MEM_DAT[18], MEM_DAT[17], MEM_DAT[16], + MEM_DAT[15], MEM_DAT[14], MEM_DAT[13], MEM_DAT[12], MEM_DAT[11], + MEM_DAT[10], MEM_DAT[9], MEM_DAT[8], MEM_DAT[7], MEM_DAT[6], MEM_DAT[5] + , MEM_DAT[4], MEM_DAT[3], MEM_DAT[2], MEM_DAT[1], MEM_DAT[0]})); + INV X1I6526 (.I(MEM_WRITE), .O(X1N6527)); + AND3 X1I6588 (.I0(ADDRESS[23]), .I1(ADDRESS[24]), .I2(ADDRESS[25]), .O + (X1N6590)); + AND3 X1I6589 (.I0(MEMORY), .I1(X1N6590), .I2(X1N1870), .O(X1N5951)); + RAM32X32S X1I6599 (.A0(ADDRESS[2]), .A1(ADDRESS[3]), .A2(ADDRESS[4]), .A3 + (ADDRESS[5]), .A4(ADDRESS[6]), .D({MEM_DAT[31], MEM_DAT[30], MEM_DAT[29] + , MEM_DAT[28], MEM_DAT[27], MEM_DAT[26], MEM_DAT[25], MEM_DAT[24], + MEM_DAT[23], MEM_DAT[22], MEM_DAT[21], MEM_DAT[20], MEM_DAT[19], + MEM_DAT[18], MEM_DAT[17], MEM_DAT[16], MEM_DAT[15], MEM_DAT[14], + MEM_DAT[13], MEM_DAT[12], MEM_DAT[11], MEM_DAT[10], MEM_DAT[9], + MEM_DAT[8], MEM_DAT[7], MEM_DAT[6], MEM_DAT[5], MEM_DAT[4], MEM_DAT[3], + MEM_DAT[2], MEM_DAT[1], MEM_DAT[0]}), .O({RAM2_READ[31], RAM2_READ[30], + RAM2_READ[29], RAM2_READ[28], RAM2_READ[27], RAM2_READ[26], + RAM2_READ[25], RAM2_READ[24], RAM2_READ[23], RAM2_READ[22], + RAM2_READ[21], RAM2_READ[20], RAM2_READ[19], RAM2_READ[18], + RAM2_READ[17], RAM2_READ[16], RAM2_READ[15], RAM2_READ[14], + RAM2_READ[13], RAM2_READ[12], RAM2_READ[11], RAM2_READ[10], RAM2_READ[9] + , RAM2_READ[8], RAM2_READ[7], RAM2_READ[6], RAM2_READ[5], RAM2_READ[4], + RAM2_READ[3], RAM2_READ[2], RAM2_READ[1], RAM2_READ[0]}), .WCLK(CLK1), + .WE(X1N6609)); + AND2B1 X1I6607 (.I0(MEM_WRITE), .I1(ENABLE_RAM), .O(X1N6613)); + AND2 X1I6608 (.I0(END_WRITE), .I1(ENABLE_RAM), .O(X1N6609)); + BUFE32 X1I6611 (.E(X1N6613), .I({RAM2_READ[31], RAM2_READ[30], + RAM2_READ[29], RAM2_READ[28], RAM2_READ[27], RAM2_READ[26], + RAM2_READ[25], RAM2_READ[24], RAM2_READ[23], RAM2_READ[22], + RAM2_READ[21], RAM2_READ[20], RAM2_READ[19], RAM2_READ[18], + RAM2_READ[17], RAM2_READ[16], RAM2_READ[15], RAM2_READ[14], + RAM2_READ[13], RAM2_READ[12], RAM2_READ[11], RAM2_READ[10], RAM2_READ[9] + , RAM2_READ[8], RAM2_READ[7], RAM2_READ[6], RAM2_READ[5], RAM2_READ[4], + RAM2_READ[3], RAM2_READ[2], RAM2_READ[1], RAM2_READ[0]}), .O({ + MEM_DAT[31], MEM_DAT[30], MEM_DAT[29], MEM_DAT[28], MEM_DAT[27], + MEM_DAT[26], MEM_DAT[25], MEM_DAT[24], MEM_DAT[23], MEM_DAT[22], + MEM_DAT[21], MEM_DAT[20], MEM_DAT[19], MEM_DAT[18], MEM_DAT[17], + MEM_DAT[16], MEM_DAT[15], MEM_DAT[14], MEM_DAT[13], MEM_DAT[12], + MEM_DAT[11], MEM_DAT[10], MEM_DAT[9], MEM_DAT[8], MEM_DAT[7], MEM_DAT[6] + , MEM_DAT[5], MEM_DAT[4], MEM_DAT[3], MEM_DAT[2], MEM_DAT[1], MEM_DAT[0] + })); + AND3B1 X1I6620 (.I0(ADDRESS[27]), .I1(ADDRESS[28]), .I2(MEMORY), .O + (ENABLE_RAM)); + AND2B1 X1I6630 (.I0(MEM_WRITE), .I1(DATA_MEM_ACCESS), .O(X1N6631)); + AND2B1 X1I6633 (.I0(SHIFT_SET), .I1(X1N6634), .O(OVERFLOW)); + BUFE32 X1I6639 (.E(OUTPUT), .I({REG_B_MEM_SHIFTED_MASKED[31], + REG_B_MEM_SHIFTED_MASKED[30], REG_B_MEM_SHIFTED_MASKED[29], + REG_B_MEM_SHIFTED_MASKED[28], REG_B_MEM_SHIFTED_MASKED[27], + REG_B_MEM_SHIFTED_MASKED[26], REG_B_MEM_SHIFTED_MASKED[25], + REG_B_MEM_SHIFTED_MASKED[24], REG_B_MEM_SHIFTED_MASKED[23], + REG_B_MEM_SHIFTED_MASKED[22], REG_B_MEM_SHIFTED_MASKED[21], + REG_B_MEM_SHIFTED_MASKED[20], REG_B_MEM_SHIFTED_MASKED[19], + REG_B_MEM_SHIFTED_MASKED[18], REG_B_MEM_SHIFTED_MASKED[17], + REG_B_MEM_SHIFTED_MASKED[16], REG_B_MEM_SHIFTED_MASKED[15], + REG_B_MEM_SHIFTED_MASKED[14], REG_B_MEM_SHIFTED_MASKED[13], + REG_B_MEM_SHIFTED_MASKED[12], REG_B_MEM_SHIFTED_MASKED[11], + REG_B_MEM_SHIFTED_MASKED[10], REG_B_MEM_SHIFTED_MASKED[9], + REG_B_MEM_SHIFTED_MASKED[8], REG_B_MEM_SHIFTED_MASKED[7], + REG_B_MEM_SHIFTED_MASKED[6], REG_B_MEM_SHIFTED_MASKED[5], + REG_B_MEM_SHIFTED_MASKED[4], REG_B_MEM_SHIFTED_MASKED[3], + REG_B_MEM_SHIFTED_MASKED[2], REG_B_MEM_SHIFTED_MASKED[1], + REG_B_MEM_SHIFTED_MASKED[0]}), .O({CACHE_DAT[31], CACHE_DAT[30], + CACHE_DAT[29], CACHE_DAT[28], CACHE_DAT[27], CACHE_DAT[26], + CACHE_DAT[25], CACHE_DAT[24], CACHE_DAT[23], CACHE_DAT[22], + CACHE_DAT[21], CACHE_DAT[20], CACHE_DAT[19], CACHE_DAT[18], + CACHE_DAT[17], CACHE_DAT[16], CACHE_DAT[15], CACHE_DAT[14], + CACHE_DAT[13], CACHE_DAT[12], CACHE_DAT[11], CACHE_DAT[10], CACHE_DAT[9] + , CACHE_DAT[8], CACHE_DAT[7], CACHE_DAT[6], CACHE_DAT[5], CACHE_DAT[4], + CACHE_DAT[3], CACHE_DAT[2], CACHE_DAT[1], CACHE_DAT[0]})); + AND2B1 X1I6665 (.I0(RESET), .I1(TLB_REFIL), .O(X1N6667)); + FDE X1I6675 (.C(CLK1), .CE(GLB_EN), .D(X1N6409), .Q(TLB_REFIL)); + DCOUNT X1I6694 (.CLK(CLK1), .EN(X1N6709), .IN({MEM_DAT[31], MEM_DAT[30], + MEM_DAT[29], MEM_DAT[28], MEM_DAT[27], MEM_DAT[26], MEM_DAT[25], + MEM_DAT[24], MEM_DAT[23], MEM_DAT[22], MEM_DAT[21], MEM_DAT[20], + MEM_DAT[19], MEM_DAT[18], MEM_DAT[17], MEM_DAT[16], MEM_DAT[15], + MEM_DAT[14], MEM_DAT[13], MEM_DAT[12], MEM_DAT[11], MEM_DAT[10], + MEM_DAT[9], MEM_DAT[8], MEM_DAT[7], MEM_DAT[6], MEM_DAT[5], MEM_DAT[4], + MEM_DAT[3], MEM_DAT[2], MEM_DAT[1], MEM_DAT[0]}), .LOAD(X1N6705), .O({ + COUNTER[31], COUNTER[30], COUNTER[29], COUNTER[28], COUNTER[27], + COUNTER[26], COUNTER[25], COUNTER[24], COUNTER[23], COUNTER[22], + COUNTER[21], COUNTER[20], COUNTER[19], COUNTER[18], COUNTER[17], + COUNTER[16], COUNTER[15], COUNTER[14], COUNTER[13], COUNTER[12], + COUNTER[11], COUNTER[10], COUNTER[9], COUNTER[8], COUNTER[7], COUNTER[6] + , COUNTER[5], COUNTER[4], COUNTER[3], COUNTER[2], COUNTER[1], COUNTER[0] + }), .ZERO(COUNTER_ZERO)); + BUFE32 X1I6698 (.E(X1N6697), .I({COUNTER[31], COUNTER[30], COUNTER[29], + COUNTER[28], COUNTER[27], COUNTER[26], COUNTER[25], COUNTER[24], + COUNTER[23], COUNTER[22], COUNTER[21], COUNTER[20], COUNTER[19], + COUNTER[18], COUNTER[17], COUNTER[16], COUNTER[15], COUNTER[14], + COUNTER[13], COUNTER[12], COUNTER[11], COUNTER[10], COUNTER[9], + COUNTER[8], COUNTER[7], COUNTER[6], COUNTER[5], COUNTER[4], COUNTER[3], + COUNTER[2], COUNTER[1], COUNTER[0]}), .O({MEM_DAT[31], MEM_DAT[30], + MEM_DAT[29], MEM_DAT[28], MEM_DAT[27], MEM_DAT[26], MEM_DAT[25], + MEM_DAT[24], MEM_DAT[23], MEM_DAT[22], MEM_DAT[21], MEM_DAT[20], + MEM_DAT[19], MEM_DAT[18], MEM_DAT[17], MEM_DAT[16], MEM_DAT[15], + MEM_DAT[14], MEM_DAT[13], MEM_DAT[12], MEM_DAT[11], MEM_DAT[10], + MEM_DAT[9], MEM_DAT[8], MEM_DAT[7], MEM_DAT[6], MEM_DAT[5], MEM_DAT[4], + MEM_DAT[3], MEM_DAT[2], MEM_DAT[1], MEM_DAT[0]})); + AND2B1 X1I6699 (.I0(MEM_WRITE), .I1(ENABLE_COUNTER), .O(X1N6697)); + AND2 X1I6704 (.I0(END_WRITE), .I1(ENABLE_COUNTER), .O(X1N6705)); + BUFE X1I6720 (.E(X1N2760), .I(SERIAL_ACK), .O(MEM_DAT[9])); + REG32 X1I6772 (.CLK(CLK1), .EN(END_READ_B4_WRITE), .I({MEM_DAT[31], + MEM_DAT[30], MEM_DAT[29], MEM_DAT[28], MEM_DAT[27], MEM_DAT[26], + MEM_DAT[25], MEM_DAT[24], MEM_DAT[23], MEM_DAT[22], MEM_DAT[21], + MEM_DAT[20], MEM_DAT[19], MEM_DAT[18], MEM_DAT[17], MEM_DAT[16], + MEM_DAT[15], MEM_DAT[14], MEM_DAT[13], MEM_DAT[12], MEM_DAT[11], + MEM_DAT[10], MEM_DAT[9], MEM_DAT[8], MEM_DAT[7], MEM_DAT[6], MEM_DAT[5] + , MEM_DAT[4], MEM_DAT[3], MEM_DAT[2], MEM_DAT[1], MEM_DAT[0]}), .O({ + MEMORY_BEFRE_WRITE[31], MEMORY_BEFRE_WRITE[30], MEMORY_BEFRE_WRITE[29], + MEMORY_BEFRE_WRITE[28], MEMORY_BEFRE_WRITE[27], MEMORY_BEFRE_WRITE[26], + MEMORY_BEFRE_WRITE[25], MEMORY_BEFRE_WRITE[24], MEMORY_BEFRE_WRITE[23], + MEMORY_BEFRE_WRITE[22], MEMORY_BEFRE_WRITE[21], MEMORY_BEFRE_WRITE[20], + MEMORY_BEFRE_WRITE[19], MEMORY_BEFRE_WRITE[18], MEMORY_BEFRE_WRITE[17], + MEMORY_BEFRE_WRITE[16], MEMORY_BEFRE_WRITE[15], MEMORY_BEFRE_WRITE[14], + MEMORY_BEFRE_WRITE[13], MEMORY_BEFRE_WRITE[12], MEMORY_BEFRE_WRITE[11], + MEMORY_BEFRE_WRITE[10], MEMORY_BEFRE_WRITE[9], MEMORY_BEFRE_WRITE[8], + MEMORY_BEFRE_WRITE[7], MEMORY_BEFRE_WRITE[6], MEMORY_BEFRE_WRITE[5], + MEMORY_BEFRE_WRITE[4], MEMORY_BEFRE_WRITE[3], MEMORY_BEFRE_WRITE[2], + MEMORY_BEFRE_WRITE[1], MEMORY_BEFRE_WRITE[0]})); + AND3B1 X1I6803 (.I0(COUNTER_ZERO), .I1(STATUS0), .I2(GLB_EN), .O(X1N6709) + ); + FD X1I6825 (.C(X1N6832), .D(X1N6830), .Q(X1N6826)); + INV X1I6827 (.I(X1N2274), .O(X1N6831)); + INV X1I6828 (.I(X1N6826), .O(X1N6830)); + FD X1I6829 (.C(X1N6826), .D(X1N6831), .Q(X1N2274)); + INV X1I6834 (.I(X1N6832), .O(X1N6833)); + FD X1I6835 (.C(X1N6836), .D(X1N6833), .Q(X1N6832)); + INV X1I6838 (.I(X1N6836), .O(X1N6837)); + FD X1I6839 (.C(CLK), .D(X1N6837), .Q(X1N6836)); + OR4 X1I6844 (.I0(X1N4910), .I1(X1N4909), .I2(X1N4908), .I3(X1N5414), .O + (X1N6846)); + AND2 X1I6845 (.I0(STATUS0), .I1(X1N6846), .O(EXT_INTERRUPT)); + BUF X1I6853 (.I(INT_UNALIGNED_ACCESS), .O(V_ADDRESS_ERROR)); + BUFE32 X1I6887 (.E(X1N6889), .I({GND[31], GND[30], GND[29], GND[28], + GND[27], GND[26], GND[25], GND[24], GND[23], GND[22], GND[21], GND[20], + GND[19], SW2, SW1, DISPLAY16, DISPLAY[15], DISPLAY[14], DISPLAY[13], + DISPLAY[12], DISPLAY[11], DISPLAY[10], DISPLAY[9], DISPLAY[8], + DISPLAY[7], DISPLAY[6], DISPLAY[5], DISPLAY[4], DISPLAY[3], DISPLAY[2], + DISPLAY[1], DISPLAY[0]}), .O({MEM_DAT[31], MEM_DAT[30], MEM_DAT[29], + MEM_DAT[28], MEM_DAT[27], MEM_DAT[26], MEM_DAT[25], MEM_DAT[24], + MEM_DAT[23], MEM_DAT[22], MEM_DAT[21], MEM_DAT[20], MEM_DAT[19], + MEM_DAT[18], MEM_DAT[17], MEM_DAT[16], MEM_DAT[15], MEM_DAT[14], + MEM_DAT[13], MEM_DAT[12], MEM_DAT[11], MEM_DAT[10], MEM_DAT[9], + MEM_DAT[8], MEM_DAT[7], MEM_DAT[6], MEM_DAT[5], MEM_DAT[4], MEM_DAT[3], + MEM_DAT[2], MEM_DAT[1], MEM_DAT[0]})); + AND2B1 X1I6892 (.I0(MEM_WRITE), .I1(ENABLE_DISPLAY), .O(X1N6889)); + FDE X1I6895 (.C(CLK1), .CE(X1N3422), .D(MEM_DAT[16]), .Q(DISPLAY16)); + AND2 X1I6929 (.I0(END_WRITE), .I1(ENABLE_ROM), .O(X1N6933)); + AND2B1 X1I6935 (.I0(LDST_SHIFT0), .I1(LDST_SHIFT1), .O(X1N6938)); + GND X1I6939 (.G(X1N5651)); + REG5 X1I694 (.CLK(CLK1), .EN(GLB_EN), .I({REG_DEST_MEM[4], REG_DEST_MEM[3] + , REG_DEST_MEM[2], REG_DEST_MEM[1], REG_DEST_MEM[0]}), .O({ + REG_DEST_WB[4], REG_DEST_WB[3], REG_DEST_WB[2], REG_DEST_WB[1], + REG_DEST_WB[0]}), .RES(FLUSH)); + AND2B1 X1I6941 (.I0(LDST_SHIFT0), .I1(LDST_SHIFT1), .O(X1N6944)); + GND X1I6946 (.G(X1N5516)); + CMP_EQ_5 X1I697 (.A({INSTRUCTION[25], INSTRUCTION[24], INSTRUCTION[23], + INSTRUCTION[22], INSTRUCTION[21]}), .B({REG_DEST_EXE[4], REG_DEST_EXE[3] + , REG_DEST_EXE[2], REG_DEST_EXE[1], REG_DEST_EXE[0]}), .O(X1N6659)); + CMP_EQ_5 X1I704 (.A({INSTRUCTION[20], INSTRUCTION[19], INSTRUCTION[18], + INSTRUCTION[17], INSTRUCTION[16]}), .B({REG_DEST_EXE[4], REG_DEST_EXE[3] + , REG_DEST_EXE[2], REG_DEST_EXE[1], REG_DEST_EXE[0]}), .O(X1N6656)); + FDE X1I710 (.C(CLK1), .CE(GLB_EN), .D(X1N6659), .Q(SEL_PORT_A_ALU)); + FDE X1I711 (.C(CLK1), .CE(GLB_EN), .D(X1N6656), .Q(SEL_PORT_B_ALU)); + FDE X1I731 (.C(CLK1), .CE(GLB_EN), .D(X1N739), .Q(SEL_PORT_B_MEM)); + FDE X1I732 (.C(CLK1), .CE(GLB_EN), .D(X1N740), .Q(SEL_PORT_A_MEM)); + CMP_EQ_5 X1I734 (.A({INSTRUCTION[25], INSTRUCTION[24], INSTRUCTION[23], + INSTRUCTION[22], INSTRUCTION[21]}), .B({REG_DEST_MEM[4], REG_DEST_MEM[3] + , REG_DEST_MEM[2], REG_DEST_MEM[1], REG_DEST_MEM[0]}), .O(X1N740)); + CMP_EQ_5 X1I737 (.A({INSTRUCTION[20], INSTRUCTION[19], INSTRUCTION[18], + INSTRUCTION[17], INSTRUCTION[16]}), .B({REG_DEST_MEM[4], REG_DEST_MEM[3] + , REG_DEST_MEM[2], REG_DEST_MEM[1], REG_DEST_MEM[0]}), .O(X1N739)); + ADD32 X1I755 (.A({LAST_PC_NULLED[31], LAST_PC_NULLED[30], + LAST_PC_NULLED[29], LAST_PC_NULLED[28], LAST_PC_NULLED[27], + LAST_PC_NULLED[26], LAST_PC_NULLED[25], LAST_PC_NULLED[24], + LAST_PC_NULLED[23], LAST_PC_NULLED[22], LAST_PC_NULLED[21], + LAST_PC_NULLED[20], LAST_PC_NULLED[19], LAST_PC_NULLED[18], + LAST_PC_NULLED[17], LAST_PC_NULLED[16], LAST_PC_NULLED[15], + LAST_PC_NULLED[14], LAST_PC_NULLED[13], LAST_PC_NULLED[12], + LAST_PC_NULLED[11], LAST_PC_NULLED[10], LAST_PC_NULLED[9], + LAST_PC_NULLED[8], LAST_PC_NULLED[7], LAST_PC_NULLED[6], + LAST_PC_NULLED[5], LAST_PC_NULLED[4], LAST_PC_NULLED[3], + LAST_PC_NULLED[2], LAST_PC_NULLED[1], LAST_PC_NULLED[0]}), .B({ + PC_BR_IMM[31], PC_BR_IMM[30], PC_BR_IMM[29], PC_BR_IMM[28], + PC_BR_IMM[27], PC_BR_IMM[26], PC_BR_IMM[25], PC_BR_IMM[24], + PC_BR_IMM[23], PC_BR_IMM[22], PC_BR_IMM[21], PC_BR_IMM[20], + PC_BR_IMM[19], PC_BR_IMM[18], PC_BR_IMM[17], PC_BR_IMM[16], + PC_BR_IMM[15], PC_BR_IMM[14], PC_BR_IMM[13], PC_BR_IMM[12], + PC_BR_IMM[11], PC_BR_IMM[10], PC_BR_IMM[9], PC_BR_IMM[8], PC_BR_IMM[7], + PC_BR_IMM[6], PC_BR_IMM[5], PC_BR_IMM[4], PC_BR_IMM[3], PC_BR_IMM[2], + PC_BR_IMM[1], PC_BR_IMM[0]}), .S({BRANCH[31], BRANCH[30], BRANCH[29], + BRANCH[28], BRANCH[27], BRANCH[26], BRANCH[25], BRANCH[24], BRANCH[23], + BRANCH[22], BRANCH[21], BRANCH[20], BRANCH[19], BRANCH[18], BRANCH[17], + BRANCH[16], BRANCH[15], BRANCH[14], BRANCH[13], BRANCH[12], BRANCH[11], + BRANCH[10], BRANCH[9], BRANCH[8], BRANCH[7], BRANCH[6], BRANCH[5], + BRANCH[4], BRANCH[3], BRANCH[2], BRANCH[1], BRANCH[0]})); + AND4B2 X1I757 (.I0(INSTRUCTION[5]), .I1(INSTRUCTION[4]), .I2 + (INSTRUCTION[3]), .I3(SPECIAL), .O(JMP2REG)); + FDE X1I765 (.C(CLK1), .CE(GLB_EN), .D(SPECIAL), .Q(SPECIAL_EXE)); + NULL25TO0 X1I874 (.I({PC[31], PC[30], PC[29], PC[28], PC[27], PC[26], + PC[25], PC[24], PC[23], PC[22], PC[21], PC[20], PC[19], PC[18], PC[17], + PC[16], PC[15], PC[14], PC[13], PC[12], PC[11], PC[10], PC[9], PC[8], + PC[7], PC[6], PC[5], PC[4], PC[3], PC[2], PC[1], PC[0]}), .NULL + (JUMPLONG), .O({LAST_PC_NULLED[31], LAST_PC_NULLED[30], + LAST_PC_NULLED[29], LAST_PC_NULLED[28], LAST_PC_NULLED[27], + LAST_PC_NULLED[26], LAST_PC_NULLED[25], LAST_PC_NULLED[24], + LAST_PC_NULLED[23], LAST_PC_NULLED[22], LAST_PC_NULLED[21], + LAST_PC_NULLED[20], LAST_PC_NULLED[19], LAST_PC_NULLED[18], + LAST_PC_NULLED[17], LAST_PC_NULLED[16], LAST_PC_NULLED[15], + LAST_PC_NULLED[14], LAST_PC_NULLED[13], LAST_PC_NULLED[12], + LAST_PC_NULLED[11], LAST_PC_NULLED[10], LAST_PC_NULLED[9], + LAST_PC_NULLED[8], LAST_PC_NULLED[7], LAST_PC_NULLED[6], + LAST_PC_NULLED[5], LAST_PC_NULLED[4], LAST_PC_NULLED[3], + LAST_PC_NULLED[2], LAST_PC_NULLED[1], LAST_PC_NULLED[0]})); + MUX3_1X32 X1I882 (.A({ALU_RES[31], ALU_RES[30], ALU_RES[29], ALU_RES[28], + ALU_RES[27], ALU_RES[26], ALU_RES[25], ALU_RES[24], ALU_RES[23], + ALU_RES[22], ALU_RES[21], ALU_RES[20], ALU_RES[19], ALU_RES[18], + ALU_RES[17], ALU_RES[16], ALU_RES[15], ALU_RES[14], ALU_RES[13], + ALU_RES[12], ALU_RES[11], ALU_RES[10], ALU_RES[9], ALU_RES[8], + ALU_RES[7], ALU_RES[6], ALU_RES[5], ALU_RES[4], ALU_RES[3], ALU_RES[2], + ALU_RES[1], ALU_RES[0]}), .B({SHIFT_SET, SHIFT_RES[31], SHIFT_RES[30], + SHIFT_RES[29], SHIFT_RES[28], SHIFT_RES[27], SHIFT_RES[26], + SHIFT_RES[25], SHIFT_RES[24], SHIFT_RES[23], SHIFT_RES[22], + SHIFT_RES[21], SHIFT_RES[20], SHIFT_RES[19], SHIFT_RES[18], + SHIFT_RES[17], SHIFT_RES[16], SHIFT_RES[15], SHIFT_RES[14], + SHIFT_RES[13], SHIFT_RES[12], SHIFT_RES[11], SHIFT_RES[10], SHIFT_RES[9] + , SHIFT_RES[8], SHIFT_RES[7], SHIFT_RES[6], SHIFT_RES[5], SHIFT_RES[4], + SHIFT_RES[3], SHIFT_RES[2], SHIFT_RES[1], SHIFT_RES[0]}), .C({ + SET_R31_EXE, PC_TO_PIPELINE[31], PC_TO_PIPELINE[30], PC_TO_PIPELINE[29] + , PC_TO_PIPELINE[28], PC_TO_PIPELINE[27], PC_TO_PIPELINE[26], + PC_TO_PIPELINE[25], PC_TO_PIPELINE[24], PC_TO_PIPELINE[23], + PC_TO_PIPELINE[22], PC_TO_PIPELINE[21], PC_TO_PIPELINE[20], + PC_TO_PIPELINE[19], PC_TO_PIPELINE[18], PC_TO_PIPELINE[17], + PC_TO_PIPELINE[16], PC_TO_PIPELINE[15], PC_TO_PIPELINE[14], + PC_TO_PIPELINE[13], PC_TO_PIPELINE[12], PC_TO_PIPELINE[11], + PC_TO_PIPELINE[10], PC_TO_PIPELINE[9], PC_TO_PIPELINE[8], + PC_TO_PIPELINE[7], PC_TO_PIPELINE[6], PC_TO_PIPELINE[5], + PC_TO_PIPELINE[4], PC_TO_PIPELINE[3], PC_TO_PIPELINE[2], + PC_TO_PIPELINE[1], PC_TO_PIPELINE[0]}), .S({EXE_RES[31], EXE_RES[30], + EXE_RES[29], EXE_RES[28], EXE_RES[27], EXE_RES[26], EXE_RES[25], + EXE_RES[24], EXE_RES[23], EXE_RES[22], EXE_RES[21], EXE_RES[20], + EXE_RES[19], EXE_RES[18], EXE_RES[17], EXE_RES[16], EXE_RES[15], + EXE_RES[14], EXE_RES[13], EXE_RES[12], EXE_RES[11], EXE_RES[10], + EXE_RES[9], EXE_RES[8], EXE_RES[7], EXE_RES[6], EXE_RES[5], EXE_RES[4], + EXE_RES[3], EXE_RES[2], EXE_RES[1], EXE_RES[0]})); + SHIFTER X1I888 (.ARITH(OP[0]), .I({B_EXE_INPUT[31], B_EXE_INPUT[30], + B_EXE_INPUT[29], B_EXE_INPUT[28], B_EXE_INPUT[27], B_EXE_INPUT[26], + B_EXE_INPUT[25], B_EXE_INPUT[24], B_EXE_INPUT[23], B_EXE_INPUT[22], + B_EXE_INPUT[21], B_EXE_INPUT[20], B_EXE_INPUT[19], B_EXE_INPUT[18], + B_EXE_INPUT[17], B_EXE_INPUT[16], B_EXE_INPUT[15], B_EXE_INPUT[14], + B_EXE_INPUT[13], B_EXE_INPUT[12], B_EXE_INPUT[11], B_EXE_INPUT[10], + B_EXE_INPUT[9], B_EXE_INPUT[8], B_EXE_INPUT[7], B_EXE_INPUT[6], + B_EXE_INPUT[5], B_EXE_INPUT[4], B_EXE_INPUT[3], B_EXE_INPUT[2], + B_EXE_INPUT[1], B_EXE_INPUT[0]}), .O({SHIFT_RES[31], SHIFT_RES[30], + SHIFT_RES[29], SHIFT_RES[28], SHIFT_RES[27], SHIFT_RES[26], + SHIFT_RES[25], SHIFT_RES[24], SHIFT_RES[23], SHIFT_RES[22], + SHIFT_RES[21], SHIFT_RES[20], SHIFT_RES[19], SHIFT_RES[18], + SHIFT_RES[17], SHIFT_RES[16], SHIFT_RES[15], SHIFT_RES[14], + SHIFT_RES[13], SHIFT_RES[12], SHIFT_RES[11], SHIFT_RES[10], SHIFT_RES[9] + , SHIFT_RES[8], SHIFT_RES[7], SHIFT_RES[6], SHIFT_RES[5], SHIFT_RES[4], + SHIFT_RES[3], SHIFT_RES[2], SHIFT_RES[1], SHIFT_RES[0]}), .RIGHT(OP[1]) + , .SHIFT({SHIFT[4], SHIFT[3], SHIFT[2], SHIFT[1], SHIFT[0]})); + +// WARNING - Component X1I882 has a vector with the same name as a pin: B +// WARNING - Component X1I514 has a vector with the same name as a pin: B +// WARNING - Component X1I444 has a vector with the same name as a pin: B +// WARNING - Component X1I314 has a vector with the same name as a pin: B +// WARNING - Component X1I882 has a vector with the same name as a pin: C +// WARNING - Component X1I514 has a vector with the same name as a pin: C +// WARNING - Component X1I444 has a vector with the same name as a pin: C +// WARNING - Component X1I314 has a vector with the same name as a pin: C +// WARNING - Component IGNORE_NO_LOAD3 has unconnected pins: 0 input, 6 output, 0 inout. +// WARNING - Component IGNORE_NO_LOAD1 has unconnected pins: 0 input, 11 output, 0 inout. +// WARNING - Component X1I5985 has unconnected pins: 0 input, 1 output, 0 inout. +// WARNING - Component IGNORE_NO_LOAD2 has unconnected pins: 0 input, 10 output, 0 inout. +// WARNING - Global net INSTRUCTION30,INSTRUCTION[27:26],BRANCH,INST_ADDR_ERROR is not defined in the .cfg file or no NETTYPE= attribute associated with it +endmodule // X1 Index: yellowstar/web_uploads/report.pdf =================================================================== --- yellowstar/web_uploads/report.pdf (nonexistent) +++ yellowstar/web_uploads/report.pdf (revision 6) @@ -0,0 +1,5104 @@ +%PDF-1.2 +%âãÏÓ +2 0 obj +<< +/D [1 0 R /XYZ null 944 null] +>> +endobj +3 0 obj +<< +/D [1 0 R /XYZ null 187 null] +>> +endobj +4 0 obj +<< +/D [1 0 R /XYZ null 899 null] +>> +endobj +5 0 obj +<< +/D [1 0 R /XYZ null 829 null] +>> +endobj +6 0 obj +<< +/D [1 0 R /XYZ null 786 null] +>> +endobj +7 0 obj +<< +/D [1 0 R /XYZ null 759 null] +>> +endobj +8 0 obj +<< +/D [1 0 R /XYZ null 670 null] +>> +endobj +9 0 obj +<< +/D [1 0 R /XYZ null 643 null] +>> +endobj +10 0 obj +<< +/D [1 0 R /XYZ null 414 null] +>> +endobj +11 0 obj +<< +/D [1 0 R /XYZ null 387 null] +>> +endobj +12 0 obj +<< +/CreationDate (D:191020213140816) +/Producer (Acrobat Distiller Command 3.01 for Solaris 2.3 and later \(SPARC\)) +/Creator (FrameMaker xm5.5.3L15a) +>> +endobj +13 0 obj +<< +/D [1 0 R /XYZ null null null] +>> +endobj +14 0 obj +<< +/D [1 0 R /XYZ null null null] +>> +endobj +15 0 obj +<< +/I << +/Title (A) +>> +/F 16 0 R +>> +endobj +17 0 obj +<< +/Length 2001 +/Filter /FlateDecode +>> +stream +H‰„WÛrÛÈ}çWô#˜¬`$!*o²c{µµ®¸–ÜÊVÉ~C2€a0 µÊ×çt÷àBÕ¦ü aî};ݧ™Ðqöæã6¡£Ÿ%TÒlA Ú¬’xs×Z;;`ùã,¥_°ùD‹x™Ñ3-ãMFŸèñë‚ŠY¶ºoSZËb=K—I¼è§Õl;{»›½ùRB»ÃlC"„¡Uʇv5dñ·Ëùßóì1º§OŸ·ôÛr±XP]æ­;·.·Þ»–\C¦¡Ÿ?ÞÏoÖ«Ím”,éƒÝ·Ó¾PºX¤ó›4IË(™Ýý2KW"Ÿt½‰—wt»^³lHý±˜ùîi–Åi–ÞÑM§kÚýs‰hl¼ù°R«’TDà³Zdq¶¦lÓ‹xŒÞL[YOoÛy²‰ì“(•dz#ë=‘ÁËLo$DM'Ç]qÉ»Ò5riðâ(¯¿Œòv'K¦¬É¨Ã=Ù¼£çy²ˆŒ§ÎQYŸ+[Û¦#C¹ãI'ç‚áÝÉtTzò]YUô\¶z¡‹·…(±`G$YvË®xŒ:W˜—y¶Žbú— +¬áµ$‹^èì:È(M5¾íõñgÛZHn<Þnm!×\cÃæÿ”Û@ËLBpLíÀ¨ + +u!¦‡žìYI×ð‹¢¼äÎÕ¸åζ5£áŠÉ±¸*:“4Rq"ñÉXÛ[ý¥u? +@惧ò, +W3£›¯./¯±®³_â×ðš«/ù)h"6µö¸9)8î «|vÕ9¬¶êƉÛ›îä +Ä…ÆçEò @à +L#Þ<—g ®ÅåøœšfwSÑéÅ)ѯδ¯Jîc +6ÈâÚ¿tµÚ?ih_·#Sh Ô/ «V¬P¬b³ +õ³¬k[”(’Ò1î­`äf€Âë¹ ‘§:ó”tsâWn@p +m †þU¹¸£­ ‰0¢ +ž@4 +Óî—D¤.Oèqj£ ¬ñÔHIÉ/RÐl#æë üú7^ʼnom›ì/ópZ­Ð*¤«wŸ>ódÝÿñ“®¾ßþãqûð÷Eúûëþ¤t3R1m- +k+Å7S]ìæL‡2Üchu(ÍA8àôüö!l5ý†ÔPìàIt.:î&L$Ùäc^ ´ñ~häTÂý…RÁ>°Öªç 2ð†ÐáÈ0El(öpÍØæ ¡”¿–YÇa[? ìÍt¸/»žŽî’pîKtvþfš[{ñ%rºqÍP—æP•ÇFËK¢ôˆÅŽ)µ{ÇŒ5ðC±ÑAJ^Wæ‡ÖÕánÏ’Ã×î‡2«Ñß©×ïÿÒùÜ"AûžöÖýИî_†nôì9#ÜÕAN¹Ûž¸pè­QL£¨íøwRQ2:ñCêéRŸ§i’Â㸠+£×Ýîõ¯½¦¸–1¥Ô£c^›4´-äue-™Öº :1¶áþ×ßcúQ%H±9º×O LäÊ@ÍT"™»Ä\s#8쀳}% ‰à«vŒmï#Í]¦ ©êÁû­ô»R~ŒH•bEòK·È_öÞÞL›&mZÀC“ª§ðÙi*ak(¬a®IÌœ'9æñIÛÃÇõÓ7¯Sr ¨Ÿ°™®HáÔk½P°VcêûÝì :Tz +endstream +endobj +18 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 19 0 R +/F4 20 0 R +>> +/ExtGState << +/GS1 21 0 R +>> +>> +endobj +24 0 obj +<< +/D [23 0 R /XYZ null 810 null] +>> +endobj +25 0 obj +<< +/D [23 0 R /XYZ null 784 null] +>> +endobj +26 0 obj +<< +/D [23 0 R /XYZ null 723 null] +>> +endobj +27 0 obj +<< +/D [23 0 R /XYZ null 696 null] +>> +endobj +28 0 obj +<< +/D [23 0 R /XYZ null 376 null] +>> +endobj +29 0 obj +<< +/D [23 0 R /XYZ null 880 null] +>> +endobj +30 0 obj +<< +/D [23 0 R /XYZ null 612 null] +>> +endobj +31 0 obj +<< +/D [23 0 R /XYZ null null null] +>> +endobj +32 0 obj +<< +/D [23 0 R /XYZ null 901 null] +>> +endobj +33 0 obj +<< +/D [23 0 R /XYZ null 901 null] +>> +endobj +34 0 obj +<< +/D [23 0 R /XYZ null 886 null] +>> +endobj +35 0 obj +<< +/D [23 0 R /XYZ null 886 null] +>> +endobj +36 0 obj +<< +/Dest [23 0 R /XYZ null 886 null] +/Type /Annot +/Subtype /Link +/Rect [243 745 336 758] +/Border [0 0 0] +>> +endobj +38 0 obj +<< +/Length 2107 +/Filter /FlateDecode +>> +stream +H‰ŒWKsÛ6¾ëWàHeÆ0žÙ›ÄnÚ&㱕é!í¦ ‰5%ª$eÕÿ¾»x”Ç9Øâ‹}~û 'ëÙùõ'ënÆIEfŒ0’)N³<þ´v¶‚××3A~ƒÃ£2%G"i–’ÏäÛߌ,g©2Ô¢ÝËíLHNY$ëÙÝìr1;¿„“Åj–§„&%i±kø[”øï8û–\ÏŸnîÈ­dŒ‘mU¶Í¾mJÛuMKš)väêæúb~¦Uf.É•½oEûDcb~&8g2ó¿¿Í¸p:á'(5©¤L¢ÖoɾÚÛºÚY +wO.üOÿ´¯Ê¢F‚%hˆ}j‡?=t¶óO…gúKÊt.tò8ç:±þ¨ë‹µõÇ_ÿçÎÊC_5;ÿ:ÚD‘ݦAQÇs„‘3Nyš²ø¦Wƒj}hñŽf Ǹì½ wrÊt&ýO:rj!qÆ‘hé7¶µ¤€¿þ8çt!z +”f Mˆ%—‡ž€W®Õ/ø,líÞ†®‡¶á_.4rÂiS“:ÈÜåI=t˜Ò‚øŒ½kÎnÐ4{h@.“Í3D—®”µ +³Æ.§áã–®5lK圥q'QLP-È™N©qË×;¨(ؼ(.]3¡5…©a$³†à9“9M3d½|7“"£Ù΃ô×ÏMJÅεÊiþƒsXô&³-ÕTeÄpN•Š+, ìc»p=é +7|“‚8M8Øi´ï!·S„ú…Žª¨†I$ÄÎÃtPä…‘s7Úã¹Ò¬6Žë´†¥õ:sÉ +D9Ï1XFðZC049c”)gJòÙc +ÅJ*u4!7D§0ÁÑW?ÄÑdujr4Ãs¦yý(¶¿#ăù ŒXÄQjªÃŽpõéúëíGôfì;øÏâ*uºËâŒSÁ‰2®Š§T΄P”åi¸ãÏ$œ€× `ló’)šóH×Í•Ð: ê% +¤S†Àè;è¨F|À(Ñ<ãO¦#ÃÀ¢¡poi©sL9Ø‘kšBV¥Á;#88ЊAVÁ,£,›œcþ'üÞ€zÖyïás‚¦y¼5jR‚õ*K]¡L!å¾Î0±)Zd‰”2pNJª$ÈÒ`ªÔ‘®š3oQÐ=ÐÊ(äh‹§•€¯-ü°ŠFD:ØÄl‡D¢‹1¡Q­ˆ„!1>1þ2åЄ9†Ó§#Ù9ýèѯrî|€"Ml +®ÂðÛï[òábnx²˜k Ÿbnu£À.\ºÄäöâ3–ËÔa–ë ‹4ÔîíÇkw +°x!'<6’˹ÔÉÅ—ß`Œ}êj~Zò¡X\ …Li–Åøj·©{Œªïö;lŽ€¸”íîËÝ"˜Ã4Ú‰ñŽDb}N«"æNd†Nqù.X ÖCm@ƒ• +,3Á*qÂ%Ð5Ð9 +æöYç/Ê45T˜ŽïjŠ,#P¦4 Å@‡T£æx5Ÿj’85FEX@/uMP7¥]RCJG] .'º”‚)£b/xMYä{Á”†úï6>é8á<V¬Gñ/_S¸Bü·Ïh¨Rc| +í+ži +FŒ†P3*2U¯ß)z=7ˆMå8Dýt\¼1’O總°BÌXÄ=”› +2Ü®ª5Sq¬º9 C..ÍãÄëªN§«¢ëk¡ +¶äísèNƇéüý'ïïH˜¯äü†“»÷_~â&ʸ"¸ß–ÿöM”Ò> +/Pattern << +/P1 40 0 R +>> +/ExtGState << +/GS1 21 0 R +>> +/ColorSpace << +/CS1 41 0 R +>> +>> +endobj +41 0 obj +[/Pattern /DeviceCMYK ] +endobj +43 0 obj +<< +/D [42 0 R /XYZ null 684 null] +>> +endobj +44 0 obj +<< +/D [42 0 R /XYZ null 657 null] +>> +endobj +45 0 obj +<< +/D [42 0 R /XYZ null 554 null] +>> +endobj +46 0 obj +<< +/D [42 0 R /XYZ null 527 null] +>> +endobj +47 0 obj +<< +/D [42 0 R /XYZ null 410 null] +>> +endobj +48 0 obj +<< +/D [42 0 R /XYZ null 383 null] +>> +endobj +49 0 obj +<< +/D [42 0 R /XYZ null 300 null] +>> +endobj +50 0 obj +<< +/D [42 0 R /XYZ null 266 null] +>> +endobj +51 0 obj +<< +/D [42 0 R /XYZ null 239 null] +>> +endobj +52 0 obj +<< +/D [42 0 R /XYZ null 876 null] +>> +endobj +53 0 obj +<< +/D [42 0 R /XYZ null null null] +>> +endobj +37 0 obj +<< +/P 23 0 R +/R [222 56 657 780] +/V 16 0 R +/N 54 0 R +>> +endobj +55 0 obj +<< +/Length 2150 +/Filter /FlateDecode +>> +stream +H‰„W[sÓH}ׯèG™Ú šÑýÑ\’ +¾1ÔV%û Ë[ ‹K’ ù÷ß™›$¼ËRE°Z3Ó·sº§Åiï½¼ºã´þïVÉc*Ç +TT´“£,Çë;y”íN¶åŠ§þ3á4tió]pÆ“$¥Í,»æXÕ²§¡jŽõ3µr%ßøÐÓi0æz9œê‘û®ÔȦChÝQö…¶ŽÊUœå‰ÿc¤R.kÉ´É——‘É6Lè‘ =s%&tÎ"¢Û•ünÅÿIöêç{%Ÿ¬ Ù?òsÁÒÜ(Ù¬2u>ð‘¡ÚÜd*êb,¶µ¤×·Ÿ±i(²A0%ÉvDlÇ¢üVì%•E]Ëv`óúo»•ˆ•oðK?[ýW‹Á§Aî˜yÜd/Ý–Ü/à˜ÞéÇÖ¬tG•Dûüèt ñæñËŸo>X‡ª<, v§zgDD¶E4ÏF:*‹ÃÙõ­”-Éb¨€ëVm8´•ew©)Z +uÉj‡¤!$lDÈÒ’ãIQ ƒ¸ï‹#\*êÙHq„+Ey 8È‘zÔ™1þ(hO=’Cëa6yÀ»Áè@Ú‘úSÛ*Ú‘§Zlp~/f{ƒaöì +MìW‰¿L8Ñœð~+Ç)ø^ +Õ¶ª«qzQOa˜êÔR8Xsþxè»Óþ`\©Ž²®ZP|óõ?Žá xLºM æȯøf >[0 øŠŸÒÛv#ÝŒÔYËèZ”tÕ.R© ç +ú±›³ˆŒ«öÕ^"…‡¢ß½V¦·ÑƒYÉzG·}‡l7®ž«b”´îûâùaEå¡: +Æ$mh¶Pw{Ô–­I8¼•´ë4™Úº+v ˜Šbd¤ ”~æï%ÚÁ8¤zÚC(¢¿ªIÿA_V ÷«~” +Ûô×ë/èÌØÏ&•7J_5XŸbåÓé¨,aóª“ïáþ`ý9ÖE ?l/3§¯Gœ:í@Ñûm5*.ÎF>­oPs‘ð«ÖüòÄünë®ü¦j=â¾m"úý kàgžqx`æq=˜_íy,tçÀCƒü˜'ÕÍSÝußÌÓéh~u7³GüŸ7ÃÃj".ÀEŽÝÎ5Uò*Hµ‹Z(‘ü¡RÖõ`Ûž~aÑÀàÔ´EQXiVª AW–¹k%kåá7•!’xÑû¢;4…{)éiè+ÛX~])"Ì~®”ö±ëÃóbÛåÿÒü‡©xÆâ›êNh‹öbÛ"«êÞ½’=î€÷E;µO¿hwô®“ô§l{ta—!PN˜Éàúî5 +´QW0ºVBK?­v ÕGqlé¦Mã½ßJi« D +¢¨0RüÛ´±ŠSßT‰Öj/zê+t5‚¢?ÊÝ@¿Z4/Õ+G³¿WêwbïÏ:¤½øŸ q„’bŽóEQÕç—zbPI*µþðY²U s$",N±Ä\„a^d™÷Sh¹ÊP'T|µ¡ù×ï6Ö ¶î$&ƒ«¼Û'\DÎY¾€FoyÊ0wXÎstT©õK,·Ìª–òTŸ †J +©[?¯¿4ew„œ,©w cn×̃¥ c°úOü±ëÖÿÉX”E +[~¿2ævMå÷“Œ¢Í}>—¸]v\¶¶5€Ç.þ_Ùr»LVš3]ŒÇ¦‹Ù†áÖÏZA„kô™òcå)ù¶Žæ¾cëÊ5ž³zK"”k2×›•g~¸üš˜ƒÚBÛRžQ‹‹òjguj¼6¨sPíkgÅÉ z´Œ}jÐV¶ðM¡cÆö¬Åù¢.{Ð$[Üñ"ÉV>7<ÉçëFŽ³˜es«=çû3E0Ýo“çdYkN>_w²ËžÛ?gíf®Ów‘f\ŠëT¸¶sï_^_}þô–0i^Vj´û®Z5ÉaÞÜÏ_©ôT7ü_€:þåC +endstream +endobj +56 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 19 0 R +/F4 20 0 R +>> +/ExtGState << +/GS1 21 0 R +>> +>> +endobj +58 0 obj +<< +/D [57 0 R /XYZ null 700 null] +>> +endobj +59 0 obj +<< +/D [57 0 R /XYZ null 673 null] +>> +endobj +60 0 obj +<< +/D [57 0 R /XYZ null 514 null] +>> +endobj +61 0 obj +<< +/D [57 0 R /XYZ null 487 null] +>> +endobj +62 0 obj +<< +/D [57 0 R /XYZ null 352 null] +>> +endobj +63 0 obj +<< +/D [57 0 R /XYZ null 295 null] +>> +endobj +64 0 obj +<< +/D [57 0 R /XYZ null 331 null] +>> +endobj +65 0 obj +<< +/D [57 0 R /XYZ null 319 null] +>> +endobj +66 0 obj +<< +/D [57 0 R /XYZ null 279 null] +>> +endobj +67 0 obj +<< +/D [57 0 R /XYZ null 279 null] +>> +endobj +68 0 obj +<< +/D [57 0 R /XYZ null 279 null] +>> +endobj +69 0 obj +<< +/D [57 0 R /XYZ null 263 null] +>> +endobj +70 0 obj +<< +/D [57 0 R /XYZ null 263 null] +>> +endobj +71 0 obj +<< +/D [57 0 R /XYZ null 263 null] +>> +endobj +72 0 obj +<< +/D [57 0 R /XYZ null 247 null] +>> +endobj +73 0 obj +<< +/D [57 0 R /XYZ null 247 null] +>> +endobj +74 0 obj +<< +/D [57 0 R /XYZ null 247 null] +>> +endobj +75 0 obj +<< +/D [57 0 R /XYZ null 231 null] +>> +endobj +76 0 obj +<< +/D [57 0 R /XYZ null 231 null] +>> +endobj +77 0 obj +<< +/D [57 0 R /XYZ null 231 null] +>> +endobj +78 0 obj +<< +/D [57 0 R /XYZ null 818 null] +>> +endobj +79 0 obj +<< +/D [57 0 R /XYZ null null null] +>> +endobj +54 0 obj +<< +/P 42 0 R +/R [219 56 654 780] +/V 37 0 R +/N 80 0 R +>> +endobj +81 0 obj +<< +/Length 2117 +/Filter /FlateDecode +>> +stream +H‰ŒWKsÛ8¾ëWôL­ðMVíäŒí±ËÙxdmÕTâ9P",1áCKRÑxýt£A‘rìÍ$h ÝøúkÀ…íìýõƒ ÛnæB3 +’À•I:|Z={Âáë™·(ü +JúÁ—IáËŸ +òYÄ2ö 4ƒÕÌó]©†n9{˜]¬fï¯Ý×hæžs>p* _ß fî0Øx °ÏÚ~€•!ÆσŸÕß$Ü0Ýf+hyÑêMÔ12Å®mÛÜb|É£åTË£¸]¢MbCž–Ä…9X`󮨿ᴠÇÄâÎÎmu–ã\9Z!Jn(Р׋ÎÄèî“QþÛ D÷2˜4åÄÙä4ýPöÁh«ÂÑb_hŒôå•”ïE~¢“²ß—{ceƒ…Õ„µæ¯-ù)X°~† +íå»Á-’7¬œ½˜€Â0tˆ«Öð¶î>Ñ7E¿ÝðwZó$ÏY”%ËÌžxì,BV•;N3¤œ8[Gte™õÇþ8Œ”<Ÿˆêt¤d@Òý"ÎC™¿¤ŒÂÏèÓÒ‘>}@ôÖœ-?áO?ðOü¹:¥MÝp6é†1ζñŠK¼BaD Ñ­†‚lV– #Qš¢[ß©P½"G§à×/€XÔÿ3¡.3ÄýT?>¯?Ŧ|wK¯Â)ûÁ€téDJ¬0}zƒÃ'Ý£7¹!õöĵ‹“­îGKÓ´°FI…½Ѿڮg%Õž¹I›™îÛë›­æ¤eDåÜßi¦ëÿ™¾+Lùà&y÷Ëà™ä1¾*að7I5· ûJtD’ÏVÚðXÙ4ßxÄÜ^p¤tuXxhz/1;àë6}×ÔF²üôb¹É4„gUç’+ÿTÖ %.žù»Ù5MÇ5lTˆÛ×t@ÜþQßÍR±¶³—êdÃÄê[Åñj×Ûx¬Qa¥mgß0™O“™ÁDäX"}á!¯m‘‰ ¼8x^ÖâFB÷3Á`]ÔÙô6eª•„+ÇÔ_š9\°õ+à§%¶$Op=Ú¼l~&›ÓÁŠq}ùÙ”3{Á†Û©¶ÃRAF×ps¹Ç äNA³0å1í-/¡V-™^ZRL/ +]‘ŒR|"%ÒO-½8©‹‹»Kpå°ïM!±§ˆ°!¼ +_M>ÌñJH¯ñr6MdŠoÃÈÈÃEÿLãæýãñã•zBO!¶Äó(ZÇM^:†è.MêÒâPúøHôdÀëàp¦dªý'ºN¤;wé¡Z\ñÊ‹Ëßy”*%\g$I$þ·xñ¯K+T¯ïh7«Ï8#ÀÆ8#ŠT3ÎÀF©—¤'©2ÒËîþnâ³À‹eŒåCyÄÿÕ R*oè—³‹w3¶8œb0¢$¥g¬Ÿx2ÅcÁ¯L$­ÙÓ»™‡2ˆ Âû»BhŽ}îÆR¹4!ð=é†i<&‰L·–†A(Ã`F í`”ún"ãø­µ^¨È»7Ö>Ì.VÓRER¡A@rĪBÇñxçæ²AK +ÎQs%=W%øÐðC…7V'LmsßWøXøAŠ‡…Y‰;EþH`\)>Q† +Hƃ‚ˆÑŠâÑ›©£¯ˆ/YtòrtÑ•A0z‰Ž± ÝÄœ‘7ä¡{òpŒˆï'ÒuÍÜÄå¹/]µˆΗ·à&hÑ{{Ac&Lô +7¢kÜT•Î ä/Á3•Ê4ý?= SÄ‹åšÛ—ža^§x*Mü½×ͱf³^ÈäÜ숙I#™¬161$Õ©X¥±À¦zqe¹1ôeˆVb_&f¿âêæúßËKðå0!k¤O÷ùÎîîoÊiK© +endstream +endobj +82 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 19 0 R +/F4 20 0 R +>> +/ExtGState << +/GS1 21 0 R +>> +>> +endobj +84 0 obj +<< +/D [83 0 R /XYZ null 787 null] +>> +endobj +85 0 obj +<< +/D [83 0 R /XYZ null 760 null] +>> +endobj +86 0 obj +<< +/D [83 0 R /XYZ null 629 null] +>> +endobj +87 0 obj +<< +/D [83 0 R /XYZ null 602 null] +>> +endobj +88 0 obj +<< +/D [83 0 R /XYZ null 472 null] +>> +endobj +89 0 obj +<< +/D [83 0 R /XYZ null 446 null] +>> +endobj +90 0 obj +<< +/D [83 0 R /XYZ null 295 null] +>> +endobj +91 0 obj +<< +/D [83 0 R /XYZ null 268 null] +>> +endobj +92 0 obj +<< +/D [83 0 R /XYZ null 256 null] +>> +endobj +93 0 obj +<< +/D [83 0 R /XYZ null 244 null] +>> +endobj +94 0 obj +<< +/D [83 0 R /XYZ null 232 null] +>> +endobj +95 0 obj +<< +/D [83 0 R /XYZ null 220 null] +>> +endobj +96 0 obj +<< +/D [83 0 R /XYZ null 835 null] +>> +endobj +97 0 obj +<< +/D [83 0 R /XYZ null 835 null] +>> +endobj +98 0 obj +<< +/D [83 0 R /XYZ null 835 null] +>> +endobj +99 0 obj +<< +/D [83 0 R /XYZ null 819 null] +>> +endobj +100 0 obj +<< +/D [83 0 R /XYZ null 819 null] +>> +endobj +101 0 obj +<< +/D [83 0 R /XYZ null 819 null] +>> +endobj +102 0 obj +<< +/D [83 0 R /XYZ null null null] +>> +endobj +103 0 obj +<< +/D [83 0 R /XYZ null 302 null] +>> +endobj +104 0 obj +<< +/D [83 0 R /XYZ null 302 null] +>> +endobj +105 0 obj +<< +/Dest [83 0 R /XYZ null 302 null] +/Type /Annot +/Subtype /Link +/Rect [282 200 375 213] +/Border [0 0 0] +>> +endobj +80 0 obj +<< +/P 57 0 R +/R [214 56 648 780] +/V 54 0 R +/N 106 0 R +>> +endobj +107 0 obj +<< +/Length 1801 +/Filter /FlateDecode +>> +stream +H‰„WÙrÛÆ}çWô# +aì"«î‹dKºrɉJbÊU±ò0†ä$X,¡ù÷÷ôÌ€¤äÆe +`OïËéa@ûŇû—€öí" E Ÿ|ZÅ·ZF.v ß/BúŒÃßÉ÷¢”Ny«”¾Ð·ß|Êi|å]…”hb¹£Àó‡¯Åâeq³Y|¸ ) Ín±"m„,S2Ó¦„=>›ŒÿœßœkúòðôBÏ‘ïûTª¬©Mɶ­ª+Ý=Ý_»Ë$^]9ADwrÛô¢9Sèû¡» ƒÀœÄýmó¶cc;ˆµq<¬õæÓ5›wB/&ú"˺9»›ßGƒÐÈ„™ åd¾9–F‰#2ö쫪íš>ëT]iJìˆFGYÑç27dUjw°ÇËî|”æpW7¥èWÖБ¥LthÈRΊñ®uª<*Cç²gt<ÕC®&ÓÂx‘h¼ NüÁ¹FS ‘aT^1ó°‹ÿU +›]£²®8Œ¢ctëPÙ‚Ù|È8WÎ_˜—Þp¯Œ[HÓy÷²’(èxPEÝÖǃF²Ú¥µD“ëááþ„Ê–C:óÛ±n[µ-òòIíHŒÐðjpȘŸu‘€: ¬¸Ò°õ½›æ*-?0GÍy:Ô°r©ÄhPá3T°Vflë]§{UÏRÍšeÓÍ‹„”ðn:ÁœŠÎ •¾éJµÖËÑ–n·7-Áf¶¨æíAä5ÃþÉŒ¶Y«Q›²s^óÈ·ïa‹HÊY?‘ ÓKÐÓ@Š³Í'U IàLïh¥AE0ú'¼)fCˆ +†ý|Ù`˜;/ º™:|¬P8×ÞW.Š"EMMå´oYßñ +CŸ>òQ]ÁÍþ˜ & ÓB^×»KÑÓ7E÷Þ¯ÚKÓoe&†êþ]°ª„ìTÎmßò„ :3®'•‹ìæzð#~Û|×lá°¢Ñs9ÖlÎ*Y&ëìèΣ›³þÞ©RNÆrN”òn . Xë»ìÝa_ïǧ_ .; R!ŠvÜÂx˜r˜£‹†a¨¬äÜÿâ‡(ôHŽ›™i0…j¸}ìßUÄÝg’ +щ²I‹îƱ3 ´lÍÑk¥E¡S„pó&̽°ë +5‹¶?¼I£n´™àñ¼˜Ã\bÆr®œ¦s'â%tvƒÛŽýi¤™0ø„ÜñÆ7ˆ%觟Ÿ€ÕŸj>Âuv +ôÁ¦7µè2ñ R¿åæØXod¼rnÿìqQìÎCÆQÙÁdˆ{Î5ŸåŸ=®EÁÊ/Ú òE‚…/ÂÏ\þ©å_€û4S2Õþ/ºn7àþL>|<Ñ"ŽR/ŒéÊÜ-ËE­¹ö{±¸ùañ?7”¬ž +endstream +endobj +108 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 19 0 R +/F4 20 0 R +/F6 109 0 R +>> +/ExtGState << +/GS1 21 0 R +>> +>> +endobj +111 0 obj +<< +/D [110 0 R /XYZ null 901 null] +>> +endobj +112 0 obj +<< +/D [110 0 R /XYZ null 889 null] +>> +endobj +113 0 obj +<< +/D [110 0 R /XYZ null 877 null] +>> +endobj +114 0 obj +<< +/D [110 0 R /XYZ null 865 null] +>> +endobj +115 0 obj +<< +/D [110 0 R /XYZ null 853 null] +>> +endobj +116 0 obj +<< +/D [110 0 R /XYZ null 841 null] +>> +endobj +117 0 obj +<< +/D [110 0 R /XYZ null 823 null] +>> +endobj +118 0 obj +<< +/D [110 0 R /XYZ null 797 null] +>> +endobj +119 0 obj +<< +/D [110 0 R /XYZ null 675 null] +>> +endobj +120 0 obj +<< +/D [110 0 R /XYZ null 647 null] +>> +endobj +121 0 obj +<< +/D [110 0 R /XYZ null 635 null] +>> +endobj +122 0 obj +<< +/D [110 0 R /XYZ null 623 null] +>> +endobj +123 0 obj +<< +/D [110 0 R /XYZ null 611 null] +>> +endobj +124 0 obj +<< +/D [110 0 R /XYZ null 599 null] +>> +endobj +125 0 obj +<< +/D [110 0 R /XYZ null 587 null] +>> +endobj +126 0 obj +<< +/D [110 0 R /XYZ null 575 null] +>> +endobj +127 0 obj +<< +/D [110 0 R /XYZ null 563 null] +>> +endobj +128 0 obj +<< +/D [110 0 R /XYZ null 551 null] +>> +endobj +129 0 obj +<< +/D [110 0 R /XYZ null 539 null] +>> +endobj +130 0 obj +<< +/D [110 0 R /XYZ null 527 null] +>> +endobj +131 0 obj +<< +/D [110 0 R /XYZ null 515 null] +>> +endobj +132 0 obj +<< +/D [110 0 R /XYZ null 489 null] +>> +endobj +133 0 obj +<< +/D [110 0 R /XYZ null 461 null] +>> +endobj +134 0 obj +<< +/D [110 0 R /XYZ null 386 null] +>> +endobj +135 0 obj +<< +/D [110 0 R /XYZ null 359 null] +>> +endobj +136 0 obj +<< +/D [110 0 R /XYZ null null null] +>> +endobj +137 0 obj +<< +/D [110 0 R /XYZ null 681 null] +>> +endobj +138 0 obj +<< +/D [110 0 R /XYZ null 681 null] +>> +endobj +139 0 obj +<< +/Dest [110 0 R /XYZ null 681 null] +/Type /Annot +/Subtype /Link +/Rect [392 593 482 606] +/Border [0 0 0] +>> +endobj +106 0 obj +<< +/P 83 0 R +/R [222 56 657 780] +/V 80 0 R +/N 140 0 R +>> +endobj +141 0 obj +<< +/Length 1678 +/Filter /FlateDecode +>> +stream +H‰„WMsÛ8 ½ûWà(í4ª¾l'3{Iš&ÓNº›Iœé̦=Ðm³•DHÕõ¿_€ ,9mvI$@àáI`;{{û˜ÀÖÌP0‹!†ó<‰Î/†¡“³ +~¾¥ð7¿Ae ØC/à<¡œ-òe´Laî>Ö³4K¢xXV³ÇÙÕjöö&…V›Ù98%à…ò”„V5êØâoUПýì9¸„Oîá!‹ãjUtºít!ÑèD7÷·—áÙL’@CAÛ‡¢¢Ox+ªî+ (Õh‹+QÍu{ +^µ~ÚÁ‰g.Zè™ç€ü VÓÕ ëXUKPœ*ÑXVñ#L¨z~î$ °Ã£º©Ó7£Ì¨fðrŠµî-i +¸ +ñfåüƒ&uk ‹þP +ïw +#+ÈÔM_½òd–è?ž ݈²C«üjÃc«QëJF¼¼QÛ¾£óŸÒ@‡qà¯jÅ–öò ÞK³Óa:ö†—ô^VŽ P•ìxÕ7…nJE¶‰ª:ŒÖ²IªÙâ“þúûãm÷ÒCä.\΃Ϩ{@Î/!¤û"XáE‡uˆBÄúƒîW›Ó4N§@©%ã…Â?A‘ÞŒHE !§§PéZŽº(<„ÐQiE!ÊÁèZrö.¶²2„w¡KI†2*N<55!òäâ³7‰™\bH‘ù–Hq´¸pÜróáöéá=,(eŒ¬×ˆHùSPŽrZ¿FOó8;¥§t •zâ=G[øKã_î>#U=$o K¿ó/á°±9%+”q¿ô5Ѽªâ¨ÿU=¿1úÿuŽ]°;ƒ;²<÷}V]}ø„•!Lάnðâžð2‡)}ÅÉY²ôN~> Üb ”…*‰/Ò¶,¬Q3$ļì Îãa¬MLÄ”ŽY‘pÄ¥#1gÈ f] ÄÝ@ˆÈ +§¤F†ÁcUœV¦Æt•ÝÛ€¨-H¬ÿ%Kó;em%]m ­èðÛ<âå*<‘Upž"•VÌ ¼å´¼Ü /Ž ¯¬æqí¯m+̯’çºv]òþ`#/‰’ýTY?zIô‚î,’ü„H5Ôâ{˜Ä³‚óÝ^Ù§$ÝåJŸn$ÕÌæZ5¿¤iÎñχø§Ø‚`#BI–E À½je¥yRqAMšÌ‡ »P5¦“øÖ²/ä‹Ø3V0B™QÛF)¬©DÅGn-t×Ébòþv° é>fG夔Æ*QñR5<ê®t®ÍS~ÚJË® x‡µd™iãdJ×u¸)ßRMpAGYa^\3?˜PP¨–ö† + ò°ì*]|Ç£x§(@ø~GÐôÔ pö¯¥ÊccÂ@ Ê£›³éÅ0Z ®"³7TÒÇêÁêŒ%öu˜:éR`% +ê3°Ù {dÑŸqJ ¾‡ +àåÝÓ´øì5Â4™4œ ¡–¢1|­q/Ñ]MoÄ‘QЕÎI†^°ëi1¶‚ž†NN3N-J×4VÎóø‘3žSM2¼OÆă1nÓaw¬O ¿Úù Xu®>ÝÆ×òbÓ߇äÆ{­0f?š>¶\ÔpÄC«Å g +ÜœÄÃ;‹À7=«açhYæ!ï¾aÉÓãp³5¹{h1xuìOyi¼%b‹}Þ¤1ôቇð˜b‡œ|l¢‰ÓÊkîì +uötôßÉý;b“Ñ?¢dT0AÅ´‡i;¹‘ïÛ‰¶•(¡L‹4€ø[Nàå“ä‚Ü‹9‘¢·ÞÀQå)ÄOíF¶'ºfYSÎ +^BËvŠaÒŠÏ;:¢-]ŒZ(õeÞøÑqN +²Ð;ŸxÃïûP]¼`!ü@U°ã˜Ê½»ŠxvuàÑ1ÍQÊx¹®¯iÑÕÖ~íšlNð÷«Ù¿ ÐŽXË +endstream +endobj +142 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 19 0 R +/F4 20 0 R +/F6 109 0 R +>> +/ExtGState << +/GS1 21 0 R +>> +>> +endobj +144 0 obj +<< +/D [143 0 R /XYZ null 353 null] +>> +endobj +145 0 obj +<< +/D [143 0 R /XYZ null 292 null] +>> +endobj +146 0 obj +<< +/D [143 0 R /XYZ null 265 null] +>> +endobj +147 0 obj +<< +/D [143 0 R /XYZ null 887 null] +>> +endobj +148 0 obj +<< +/D [143 0 R /XYZ null 669 null] +>> +endobj +149 0 obj +<< +/D [143 0 R /XYZ null null null] +>> +endobj +150 0 obj +<< +/D [143 0 R /XYZ null 894 null] +>> +endobj +151 0 obj +<< +/D [143 0 R /XYZ null 894 null] +>> +endobj +153 0 obj +<< +/Dest [152 0 R /XYZ null 794 null] +/Type /Annot +/Subtype /Link +/Rect [236 103 329 116] +/Border [0 0 0] +>> +endobj +154 0 obj +<< +/Dest [143 0 R /XYZ null 894 null] +/Type /Annot +/Subtype /Link +/Rect [544 759 637 772] +/Border [0 0 0] +>> +endobj +140 0 obj +<< +/P 110 0 R +/R [231 51 665 774] +/V 106 0 R +/N 155 0 R +>> +endobj +156 0 obj +<< +/Length 2439 +/Filter /FlateDecode +>> +stream +H‰¤WIsÛ¸¾ëWàH¥Ê0±p;:‹]N½¼ÊØJÍ!™MÑ'4©!©xüïß׸˜²-½ÊÁèF7º¿^ Øfq~u+ئ]V°…Ï|kÁã¤ÿiòÅ=–¯’}ÆæßÌç*dLñ8d_Ø÷¿|¶^„:â‘dY|XH%¸ß“åâvñ~µ8¿”L°Õý"fF sLZÓê:6ø[eôïqñÝ»`_®¿Þ²åû>{(²¦Þ5u–·mÝ°ºbiÅ.¿^],ÏGžPì2¿köióĤïËå™ÂW^´ükõy!¤Ñ‰§4 +ý@ëwï®ÌYW³¬®Ú®ÙgKY[<ì°º+vyYTØÞ¦û¡TصøÎÛ^ùg_4ùC^aé‡wYlöM¥:ñ"²n—nzòÇ’#|v–p!¶ú¸ðVÛ¼ÉYÑBnØcÑmq:Öz½œÝ5i•mÙ¾*º^m‹v_Bu}O±.o‰¨–«¿Ià" #RôÝûµ”—–ûÜ0ÃHx›¢íò¦åì¢ì¶õ~³e8›×m[84yº6g“HØ‹Àík£F3m=±‡´Ë¶æ’«wPÙVëë¢+à[s¿¢2G®ó¬^ç,3ÒéÛ»žÀT–ìÎ,0X:Ëï›úa0¦·Tx—V?ÉÛפàjì7¢Võ_¹ýX§]J_¡G'Î6ïëæq)|/mÖEµ±k;œ×r+r}? Kدôž¼|Ÿg]¾ž1=³Ø¬Õhy]›Š¼šW¡“3Mîö +‡Lr£ÝÜáT(üUÔ{·ÒÕ–w·°ßCÒÒ.ÔUÏ]´ökf¦µ¤Ï,ž22r<ƒÓ„WïË5«êŽ‚·ßÁÏùð]ƾW/}OË Â)”-Ú©BàËö[×óG ¤5nÓòy’„-”à·±zšCQdšÌ¦lîòðùz6ƒFmg³û¸6o;æ&ïØ%ùšM³«*ŸXº”Â¥\Q¦d*‡1ǹ­ª€­™Rïâ?߬¸K`ñ0J¤Íà÷O„ +5mm¿?HÏÖ¡Tõ`ÓÞcSt¹]»K³ŸvÑœlÉ¿ük7:w9Øî§ögpµÝ/:»l°º²§X¢w¼³¤¶¿ûÖɦ}í›Ö¤C ™ +»®áFÂP•ÃµˆÜÖ¹Ì9¡ˆ™ÞeÑ=‘ Ë:¥LuªúFéFaL«ül’dû¶|Z†g ñù¥¶HhÛtß„Ž¹¯©-xŠKÆnrgã{*0ÍÐÄ:Š‚«Èv”Õ¬PÙ+¤¦­PÏÀõö>vsñ…Ý•uö%Ý”.òDÅL]ýwWYaOTìÎè§Þµãµ&m'ð½dÒv@Æh;ÓÓS´ÒHm­nÈ€¶fM]V¬aS‘!E³zWä†{šñ¦é˜ˆ Yѽ~AÂ6“ õèvøúùÝ© Ó|ÌÛ°9¤¿-÷›‚ò} pÊê©jJÝ4ëlÝlërOi9¯îæ,ç¬ÃšÛWïØáŠuå>†lÁZF“…ƒ{Û¯m [f{ûœÜ>Ÿ\CÁ§½CašYþi…Yj³Ú§ù) +‡JBž„˜™ê +E=%™ÄLD,L×I?y×c9"‚3 +ÔFš' …‚›QÆ»¤re¸`+ŒWFˆ˜Ç±azoû¸Î0ÉàAjÆ*Ô65o&®;r×Ì,¶`“dÀe¨&Aí[9ª`´{Üôc„¨ãZe&e>L¦/9Býd…”ŒÍ•‡ÒÞŸ$]f’þôiöä4v.” x¢Xäk82D¾*v¦4÷yUŽy¯T1ätWšÝDQ|^Õ¡ÀÅ^Ù ”oFÊ7ÝèÛßÆÅg2¸uZQxLÕ¸¼¾úvó‰EœÝÚñ“ÎeBèó=×'{|ý3ŽØÝF‹GPë‡\xýÉ* B™ð(Ù0ÆS>ÀðÃ(Ü„¿aÂuÈéó(¡YÞÒ2ŠèJåâý»Åù¼>Ü2wvþU°Ûÿ=*zk´(_q\Ž>EÁ1Q§—E\–>IÁQ§ <.KŸ¤àˆ¨U ý€Â5p9úÇD• '\–>IÁQ§ B1›@ÁÑ')8"j~DÕràrô) +Ž‰:‘™@ÁÑ')8"êDŸ\Ó’'ÿ¦ =<ôN`àèSŽ?&ê r…jÂe铽E¹¿2%¿OpczÌVÕ±f;d¼²ëJo?°M;-âÉM‡!ßi6ˆD  ë¯õZT×H3´>²ùy¯+¥HòØþÖkšõŒ +CÚ6?öá‰nL0—•6SèØ‘Ñ´íȧ6äq¸Õ4[(qiïmZ³{¥À‹Il;ö¤W»šé¢2ï³®½²+|¼¶Û—P0vm)ÕÈf=ƒmÒ6_Þ¼b +G¤™@€¼ %M7U±ïàô"8âˆGÓx&Do€ÃÞA%Ð8?ü¼ç$”:fà0“׋àâmp¨—‚SÁчßÄá`ÌrùüâfŸ/oºØ#Êú®E)¥0$ÙÕQÔ•·qómd Ha‚¢T×' #ц6‹W‘A™ +zz¾Œþ +˜aõ)Àèù¡9~(U1à#4 +£ÿ'0â$Q¯b#DŽÊÄø)>6ü6S¶Mà—7û4xq³wjXtˆ +t3ò;=,BŸÚ¹8Žh4ÃôŠ’ +´%…÷ȧÈ)Í»NÆ”üå¢ýÝ0ŠËÉ3Ç!:‰©b +‚áT¿PÐÀbÓÀŒ8J…Ö58H –™þù™õ×2oۜৄ †R«C +6¤5½DˆV³(Tqhîõ»'<¿˜i|¸ œEf|ñIL%’+$`¬LMÁ‘ +ÉEäQ…÷tÏê„«ñ¸~¦·ŸÏxó¡òùåæǯŒaöê˜?szzàFï®~þ›?üzzàF/Ñaö2•¸éž_ÑÀÿÐB#¿£þ™üíâ;îj7îCÂó(⪒pçåõÕ·›O,æìúa×,EìÕKá{¿è_¾f?” +Gzé{¬íÒMÎvÅ./‹*7Íõú (— 9 +endstream +endobj +157 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 19 0 R +/F4 20 0 R +>> +/Pattern << +/P1 40 0 R +>> +/ExtGState << +/GS1 21 0 R +>> +/ColorSpace << +/CS1 41 0 R +>> +>> +endobj +158 0 obj +<< +/D [152 0 R /XYZ null 464 null] +>> +endobj +159 0 obj +<< +/D [152 0 R /XYZ null 437 null] +>> +endobj +160 0 obj +<< +/D [152 0 R /XYZ null 278 null] +>> +endobj +161 0 obj +<< +/D [152 0 R /XYZ null 251 null] +>> +endobj +162 0 obj +<< +/D [152 0 R /XYZ null 788 null] +>> +endobj +163 0 obj +<< +/D [152 0 R /XYZ null null null] +>> +endobj +164 0 obj +<< +/D [152 0 R /XYZ null 817 null] +>> +endobj +165 0 obj +<< +/D [152 0 R /XYZ null 817 null] +>> +endobj +166 0 obj +<< +/D [152 0 R /XYZ null 794 null] +>> +endobj +167 0 obj +<< +/D [152 0 R /XYZ null 794 null] +>> +endobj +155 0 obj +<< +/P 143 0 R +/R [222 56 657 780] +/V 140 0 R +/N 168 0 R +>> +endobj +169 0 obj +<< +/Length 4533 +/Filter /FlateDecode +>> +stream +H‰ÔWËvÜ6Ý÷W`Éα_³“ÇI&²3RÏÄçØ^Pl¶š ›l“lËÊ×Ï-¼H¶dÍl³°›(Ü*Ü* ˆÝ­~|{±»a±š­8ã,SQ˜åV; ¿] ö3&g<” »g2ÌvÅ>~æl»JT¦‚Åzð°2 +¹ƒÍêfõr³úñ`ÛìVÓN˜5R‚Œ6ø¸Ã¿MIÿݯ>—ìê§_oص䜳C]öݱïÊjºžu-+Zöæ×·—ë‹XeiIö¦ºíOEÿÀçb}!¢ˆË [Þü¼Š„ö‰ë4M$ýÀëÇ *Ê=+›®üƒ•ë(ʦbu;vl¼_GQб㾪!d?µlÜW쾯NJÝX°/šëv¬ ¥‰YJ&}ELwõ0V=+¶ÛaëH8»ˆÂ(IR¶yßc‡@… +4¥ùtCõ`~‡ª—3·Ý¸7_×—WvˆÂB6{Kµ-ÆbIF~ƪ5 Ó¿2½Á|VÛ»ÊÑ­3X×U;œ°7ÚÉæÄOX=°¶Z‹8øJ›Æ†­ BüYõÝLÁª-n¡WS·ql«‹¡jªr¬¶¬ÞMv“7+$UÈ6ZêbËŽ]?Ö(ŠÎ¬›’a=ê–#¬[ÌœŽÇ¦†¯QÇ4y¨Ìèi-]B“³„VÛõÝÁx<õ=…ºÆþTR0:¼n{MÑN(dè©â`×õæÃä­vôm4ˆêË|ù-…_î‰ù$ºº½{qÆd³/}ü6ÅXî+ëº;C½Õ¶jZ4Ï—¹-Ú?Â)v’|¦mN/ù1ËzlØÚT#i§ó=tìš?[#E»e÷uÓ°¢iŠ‡Áù + }õåT4Ž*\o~GQ¦DÊœiåδŒòP¥t¦JÆ.ù·Y`ûΣ& r´ÓÌ‘‘* EúCk&ãàPlíåÚ|‘¬RMwW—EcìNm=ÚÙvë>Œ]­îÕX—fœLC³Êû…f§f–žôÑ*cZÌÏÕ;`E}lŒüZÖ­Ö’êq[ +uüu-’ hNú„¹ãeΣˆÈ ANphÝÒZmÁ¤`·°BíSö°c~˽>Å­)œb4Ý™bª²C8u{ÐïÞ_ZûƒÔ£!Mèšè%:üÎ\WO>ôTw4´38&ëǦkôë8Lcý~O‹¤œzšbìf_ë¾ÿl_‹x2ëkSÏ.ÈÁðø,|9Ñ…L7æþA%”ÅiЫ׊Ûô·Äñn‘éªq,³ç€.ÔA‹†öc»Æý××[òîÒ]7…ÖH›é61“*}$POõpaâߙ݌¦:Í,U¨NI‰C{DN(‚Û5Î|~¥WTºE¿Ž²à®2Øú…vözƒÇñÝJàAÌK!™Ìv!2©È?¬¾¬D¢B¥˜ŒñÀÃt̙Ȣ0¡ùßX‹—žÏaŒ—ó*ÊÒrœ§a"ñ\Îy +‡Sæ„­ý9öö2Ra¬˜ŠU˜ŸDp1/ÒPÎçefóy·>¹žr¹ÞÍ#þÇöô¾ÿ—yáǦ".IÒ­œi_*'.ûÌ~z÷ªa;“E‡)Œ[……q˜§¨$”)Pæ`q((aª(?‰ð³i¡EÄZ®<”¤_(Â$ó¬©ui'm8vá,Ör¥2­HŒR­`ÄIq‹›UŒŒæ³ù¥}óh½Å>v½Ïàù¼äUL œÿ‚jÑâfÂÙ™ý9¶ö7fÄ JdlþC1¿„÷„Fu´JGcqæSYâïÍ0©$Þfrš¡Ì¦…iZ²µ8c¤Á­4YZ +ÈÔú´“6»pm‰½ýµãÿëë^±l¶ø3jœt×ýö÷_þiûƒLêuq*íÉJrê'7~±Šù¼Á4Ÿkòœ/×<­Ïóåzƒý|ÂÓÅz‹1ŸÇúä8~Å%msâ‡PIB©PÆš_i›•3Â9O-F–\ä£e9!'‹©ˆ‘(›E` ܦiìw•’¤ÆgâñL5E9æ +~b~‘…æ1ÿB%«Ê,"X¸˜s*ÚµÝQÐ_on×—+¯‹·°ºf^W ž'nÏI6W:EÃ…ƒ;M¡ÕB’£Ò’žéæFpÇgðérªoÂÖ‡÷ú¸šSE—'X¨•R5¿¿Žm1+ÁgÅñ¸X”È—Å´°ŸÍÛbõó¶X•J̼~(`ç1õ¸y¬ÂGÁfÒ‹·°pÑJ”z–j¹bSxƒjkÂÚWL ‹B\µ3 g©n +~$ QçnyÂ#T1./Kïpé·Gü:|iÏrfn%‹õ³}moqs†g|¸Eñ¡äÒõÇb†‡UÆœÖþOövûÔþÜ-mðrÇÈWB1h¹¹ÛqÉÔÜðŽËàg¸`À-WtÆ…í/â2ø.‘ù2ç\|—ÁÏqq×Í/å#‹¸ ~†+Š|\êŒ+‘K.ƒŸäÒ¯w•xí_}”ÑßøgGh“ï þ¿9õ¼ý9¶ö7f$6#˜à$¥ßÒyZSse¤œØtÕ].Ën¡¯Ïëç±Ó÷\ÿ³üøð¬ÞÿWx*É|x/§ð.Ð`Ò™ +2MØæÕ*¸:}cî~”xµÅ³"wø»gÐ(º ÉÝÍKǕ뇟~¨ÎîZ‹Ÿâr búG5éjzô(Î|”–lj}8:ûs,Ü5$7²¨cûÊTxfØW)Ý‘?¼RÆ`êà‹à-ŸÖò}·{8>¤S¾—K>»žÛ÷Ÿãçö}é°­qoŽ­ýÑ<û/÷Uó[GÃïý+rFêc¾w÷˜@‹EH (Hœª¨@Å Úÿ_Øã{÷=z +9¼ìÏöØÇcûXðÞ+¤Æ¦Š.Æ+Ý/•÷¸ÊÁ³­­'GqÇ"@¶$'VéksG ¶Ûb|áõGq%è|%Éò¿ Mùßý½–ÿ¹¥Kùß%¦|e|9ÿ'׎ò?¯Áä?ãóÀÅäû—ÿ²;s +Hä)__Î×¼5 ÄQþÀ²>ÂWôÁ¹’ÿ¹­&ÿkàò9ßwXä%'™p”ÿ8@µÙáak[¨€«¼ÇY +:ÛBBº÷ÍäÆAsÊ‹òlë wÈeK¶Ópƒï,TÞc}Œ:e“Ç(6ê>‹ëÓÌêõ©UÌO±Ê{¬O3›ã§yg.ö’.-cÁÙvz®WØ +g$ïñô¼uÊÖ)‰ E(%ðøÒéÄ€'©Ûóîúí¨¼Çº½NIº=¨ +­ìOO÷ǧ¡XO‹å=žN÷×O +]j$2ì{%ßÛQ»^–~AkŽôB–eé}áóÀUn•÷8Ê‹Ú)D 7ƒâÀ²©WÙa‹ðùÀ¶Õm×O¶ÐŽmÅb÷‹Ý×°muÛõ“-$\°E1y>ˆá²Ú}x,ò#†@p1”«7öÕpS?…?bL|Ù—ðÇy_b¬üͮ߄O¾¾ÛËhiïÚ.îÏÁÆÙ®±`Æ"m¨"ošGõf4“‚¹yôÍ¥o>ˆ¢Íä’N)NYœSs‹í]7·‡9Þ\'˜{¬IÒL OIµqÍy› +d'Ô«5£·ex&U›à‚å"ܤSHyíóÆmFÒÏ_ÁWÒ¯¨_¡Ï)P—fÌ×p¼±µ…V΀„$ÒlHáÐóÂ.¤Hˆ‡÷´äÅÚ"™|»M»~r ñØ—bòEt)³¾‡üùàH|m˜ü‘#ÚNùØÕqB䊨>Èà»Í:¹¦Íú>Ì6ŒvýpµÖ Õg´òäÍhåSmö8¸êíüqõ™Ì-vrlK黫ÂÖŽªOö½ÍgNxº*+·("ïqÑ–…Î _R|JÌ2Üí‹ÏŸ;->wZ|î®;~P#7¶Åß"ïñ ¨ä™ †Ó¶^nÍhu AÅ{ã]…Ö­@†îpëgPK¯ø„Ï;¾à%Û§šðE~ajøŒÏNþˆ›$vêl‚å´B£ ®€jï>qå*è DV)Ʀœ¬+uµO¯'|¶teD[¢je›Ä#oxÕäéÓ‹uýî庎ïMOß;G(ºIñYp±Ò/#\ÛªxÏ÷ë3`HÏWz2L™‹â³bè„Û,ï±È?¥¥à•­ôs|™WŒjKýràe~|#U¦&d嶞â\e*ìŽÈ{,òD>žZbr§ˆ²Ýé\{ŸëfyUþ(Q(h(BlUìußÊÌÞŸÎf/{ìÞV·7taªG¼Vë™ðá­N3ŸðàoÁ®'¬{Ÿô÷X +ýŒUË{,ò;¦˜NG„‡3¤|8Ãx³Êw8xcHIÇÆFdüN¼q«Üït#Š5Fana1af<Ãféx§Ï‘ º úHÞc•Ÿ"”ãÈ踿>ìûû£Æ²¬ûûÂo¾Þ—ÿ»û!òûB_˜’°6ûrÐBÆåyÄ®q#x£«ò³¼n¯S’mä´vH“Ú#uŽñ¨k$ïñ\ç¸ötŠ3¶œ6‰ò³B°¡Î&×Õpìl¹¤tðô*è{ÖÁ-8c)£®e;¶†L^ÙÚ©©^ +V…Û]’¥³¿O}o/zÿƒð/8ðCéíQä<ìG21 ,Z1yPy=/è“ÊëvªËRmüë`)[¥ÉUNÂã!ÝVjþUÞã*“«¤,_6Š`/'£È­E »)ÔQvïjM>+–Bä=VyÆ0.&-hT!|ÞN…®¯¡À‚ +ÐÁÛà|Ë›Œ)¤‹ð] XWôº¢õ‹ð5]AýJNô—Æ/ÂWt€ø•.h‰_„¯èŠYý*NW«VáC]±_Œ¦±ÿö·§äàÃW…-ü—ÂÐÑEáOV!{X›á+»­M"÷øÍ»œ¶1Æ’¶Ñ¦]ÐÁµò«Ì÷@b™m^Cýé·Rå=ÎrK;e%J±ý®ÆUŒI\Ř`Q.òcHiÇÆ$æ§!06BºÌ;««4:5L¿6TÕçB¼óUÂvûcN¿æô 5®”^£À5[™&QÂPà\`óîÑÇØóu½Ñ§ü^*§õý‘˜ù„uýà{ÿvüvbv窧mw©â¨X \”SX˜VAø†åÞ +7b+¡Ve˜ñtaêMü܉Qæ’?¼p¸Ú»—êúÝËu=c<¥SZRŸ$]SëÅ +">R|˜ï³Ê{ÌòDYˆ²`-«ôsôä'è½2iK\å޼Ûúõ[˜Yn~þýU ]þ%h»j½iè%Pøí÷ßýrÿæf;ÝÜøãã§Ïþ¹¹{ÿ÷_7ŸžþüðüþóÇ'¾óÿ +0Žæ• +endstream +endobj +170 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 19 0 R +/F4 20 0 R +/F5 171 0 R +>> +/ExtGState << +/GS1 21 0 R +>> +>> +endobj +173 0 obj +<< +/D [172 0 R /XYZ null 280 null] +>> +endobj +174 0 obj +<< +/D [172 0 R /XYZ null 253 null] +>> +endobj +175 0 obj +<< +/D [172 0 R /XYZ null 679 null] +>> +endobj +176 0 obj +<< +/D [172 0 R /XYZ null null null] +>> +endobj +168 0 obj +<< +/P 152 0 R +/R [222 56 657 780] +/V 155 0 R +/N 177 0 R +>> +endobj +178 0 obj +<< +/Length 30282 +/Filter /FlateDecode +>> +stream +H‰äWËrÛÈÝó+zINÙ0ú‰ÆÒ.GOÅɌŪ¤Êžlàà(Ê×çÜîÐíXö2YHDÜwß8Û¯^¼¹æl߯8«Ù*e)³Š'6ºjµüf%Ø/xù‰¥‰4ìžÉÄöŽ}ø=e·+£²$L;ð°’'éxlV׫WÛÕ‹+Á8ÛîV–9%,)ADÛtìñ·-éßýêÃú%{÷ö×kö^¦iÊuÙµ§®-«¾o;ÖYqdW¿¾y¹y®•ÍÖ\²«ê¦;Ýi*6Ïç©\ç›ß·¿¬¸p:ñ#¤³)32I%iý°îëÛª«nÙr '“•wõ)aÛåëv“®Ù¾Xמ·l¸«{;nšêêÝùx¬šçÃébý]½ªŽÝo8_=;÷ÕmâÔ§ì9O¸1Û¾†Â«ºë‡æ²*öçFèuÑœ+6´^»y`Ðq¬6ܬ÷ÅËê£õ¯ñ¤tîêýÝ3ïªã(ËL²n*§zûyè,»eû¶ó<ÙßÁø¾êjíŽiv87C}jœÒÑ¿ªëYU”wð{(†ú¸'» +v[ïÈâ"vXq@P†YÑÇ5&ž©gö77 .A¤ëWUY tàkØéÈ?Mþµºªo›? ¬zÏ8Ñ6UÑþ±¯÷Çú£”¦,Žº©ÃC»{¤ã£ÊùèW¬§˜ã½ŽŒ‡T\Œ ¥ÓÈÊ| ªÇ‘‚¹0PßTŽuSûK AÆ©ígt5ȦYk›¤\×DzƒN2\jœèW­ûSE9äž·Î+DOè\6¥G£zÿÆ[íŸ}`Àv<nª.€íâ¥Z—m×Uåà)Om_u{Œ FìPôŸ'·)Óɱò<°öì#x>¢¨)ñNE7PžÑ‹ [›uÂþZ!¡Ý +õNâ¬esÓC{`¸ãž‘f—ó1ˤphOŽ,®ÛCñyÃÓµ¿˜ÛŠî—z@ X1ë’‚j6] yI*Üaƒ€Àûz¸ —2‹ªbª;Ê'ªÏ1¤¯hbë„Qïz†k= ÏpŽ¹\=£öú²@ùVÕ̱KØ˨˜ÿþ~³ýä=£öáò _£gŠñ¬Æâƒ72€­'.šP@ÃSÕ´T7÷þX‰Ø¢!ú'wî©=6^‹kÛ}$;Wìx ã=…÷À£¸àùVî +B¹õFá÷TôÉÖ¡…yøŸðס£sK{¢Ü…7žu5¾ÉtCÀOëmà{ºmÿäîÆ?†ˆûƒ¯Ið,“w‡ªíCÖ°¦>Ò½``±›¦-?»:p3¢¥{m_l)=»¿«Ñ}ƒ›j¸¯|›ŸÕøÒ¾“¨pãÉ7 ¦¡¼q³!:Snþ»êZ?ê€5í¾.‘¡!ën6èŽ(§]H?ïö\ùr¨†ºG‘“Xýq¦$oÉÇ:?uÕóÑÂúx‚ظòÉ0t|r£å|¬c=MHµ>yæÒ=²Àa óý¬}q¥üšÁ•Ÿùjœù\êD¹Mc-ÍØ»êÐvT+Ójr±'ðÔ&Ö¯ dñÁ±°âö¶÷ä<Ô—çÆ9‰N0ŸÉ®m(#ë~zCáfìh»>õáPÝÖ4e>QkmêÏ”'í:oý’ñòõkD³ºsI©íÙBlçtêQ'míà;Q1¸µeVsjë£*-3(+ß® +Gstý`'XpºŠ}Å*2ÚÐqÜK"{H ï¬Ù®þX…(j•Ó¶¥¸ILΞK«!i±ü;bí܃Ny’sÐežÎXÜíŸ?­~sÒLÂsPÙ$ãdŠ6QIpÙQ^Jkj¢¥ÆŽ +¦4É­ÑþZ“”ËÌ=–‡Ïò†á:x’å´µZ‹%6³ÒLˆæ*8‘뙹„ÁJ+s&3â´+›># ¡…ÙH r2G9–OH!pXª×%2r]#V¿=9 + ~‘ám–éð}Qnw×¾@D’kÄX…2{ª“%•+W\×?¿½Ú¦TW.¾®:„Ié<JEa‘Ù=¥m"ñ12‡iDæ0)“&ižÇ\—ÈÄuíRê›qq ækqùZ8”A¦Â¤)ê{¡‘ÞfÄn¨ð¡5ECùO­0‰Îø‚çQã÷ÙczaŸ C×"•û¾ûþ훟·O…Ìy’ç‹ +H”ø@ˆ5* €D”CžÊ\—ÈÈõÄhCŠ”ÿ¾hÈ!X,Ò”f†Ñçê€Æš70Ò +f‹ÒXMrËb.x%c1ƒ$׉Ö&îÖ¹M„ˆ»õõW<´èaÆ8aÜú ÇGÌS®Û"Ç9w–¦N' ¥±K€Áê-¬E¨­ëÔ†Çg\E¹šˆ²–9 ´LÁ‹¯WR¡¥òs›Jt˜X©M”õVÜ”°9Yé•êèèã@F„t +6 ðÛÕ¬!Öé/iûÔž3ýè"S%4 ·dÔâ–’Òë–à¹Lx:úKgžÀÞé\:©Bæ +nm8KÆEÖOȱ‰€¶lí|&£Y#Åh6‘®í‘c圢R$™ðy-$å„4+™¢útæZÕ*NÚ€Ä4ØÁ@ñ˜ç’bÒãÎÍ…ÞÿB¡d"¹ü¢­“?—4!DIŸ¥ÏÍ‘ðË0âÉŒpgƒo'#›Ï7î’r¦àH0IÏ^‡ç¡<…—@õG:ü¹\ÍVŒ³Ý^ÂÒò›­õÖñWÿ¯ŽOí,ªšeEÏsIšDh³ ñH4—æuq,ñi]üÖ\Â>hð)ùs‰¼å*L°]Z5"ÄXšø £Bÿ8ଠƒIÒgK>&·Mèx0EZÃ`V•fó(‚V•Êè ­&Gi•zM¤Õ +ÔQG¬ÕßÓ´Ncg^§&ä‰1 D£)Ø&èN±ÉN3À鎦D8G£)¢psƳy4ÍÖOȳ‰‡1Ï&wæ‘VŽfÓD1Ú-|=ò,ŠYÔË—Ý=Š™2Â4i|„RúpÐð‚ÇÉo'7„Iå“ûåß^?%¹ñ©˜b}U +Iå?4E! ™e2?˜ŒˆÏéZ΄ ­Pö³l@Š.nÔáÏqÈ C»vàhì„4Zÿ14qy¤¹@’›‹@M +ûâÈ/™bn—NhÊ#Š,ǵðYBt&à˜í¾!g ú?ÜWKŽå8Ü÷)ê†þŸ40½*`07hÌîÕf DJ”íî”z™›B)Ra™ñ,Fð +êZõç÷7è•÷îZÁ†—¿’¿tñïWòø¸.%'I½QÉÙ8xZÖý‹½'_ÕyÀÿfÏ8‹•±­Å5·±‡ßù¹‡‘Ï£Š÷=œfáì2‘jËLeyÀÆ™˜x­RÙØÁ™kAÙŒ|ýe;H‹rÿAòvªêàÝ‘ª +™j‰7 +IÇnÔáÛl#||ÏÆÍØÍk­ý6VÇnFTì&–["5#ŸòëñäϽ}¨Ä<ú ÇcõÃt`nX¨_wŠJÔÄÉUg-|›4Ÿ¤Cß*~¨‘„x­²–ì$%Oë~†ÊZ@B©3kQ{«NÑ×*oó½ûÖ:ösõ·+|7]»ÂÇçnþ¢?w>w`ìð¸úÙø¹C€yíô–~ŽÚó|J¹†-²GÓCdßšPÈzŠBü*±ðÙ(&ã“ ~¬ÿlO¥Ïbì u°¼?ú©vy{A¦dÂBÙi<“×ãÔ¾¦« ï%;ä½Z<­×Êþü-†Ú¦L‹_Ð;ÊVÑ• “Æ@>@2¾ $´œH{*~ï|&€+PÊÊí“ÑÄNˆÍ9ò¿ÿûokC/×$bÍx¼Md=Ï¥ÿþã?ÿúúž$LWmÄÂÐr ¨2weïò‚c0{ZXfu¥íÂPZBð¼ÖÖj4>ÛFðÛjÔr|®cjM½iün¤¨Þ¾HÁˆ*gØPµŒ()À*±.¬'"¬])ˆ`Ò¡…¸Ü•°ûJ8K)+%™8‹G'§”䣤¥\ÖÖ¦B8T¦ֈ›>2¤ÀÅô~‘‚U‚CW+‹Œ()Ðglõ ë‰kW +"¸Óûá<åÛ¥ðR‹ÞdµŒ¨‚i +VIÁˆ’"¸+Ø¥ðDX»R0áPŠ€´€[Õ¤RĈág‘‚UBD5ºU¢¤ˆóÒÂz"ÂÚ•‚ç$Ö+ã7hRÄ)`Ó«¢J€?U·HÁˆ’ö¾ÚÅ 2X»R0áP +äëºþž¤hÃá"#ªXš K¯`DIVYê~ÂÙ‚åøz„#ÏNš„ ³²E Áˆ*¡æ»0¢„«æ¥/¼ ùÐ?ˆ€¦u&…G!†Ý¤(ûRx¸•÷Z +Af Þb˺i +òQˆ¿¹Å"¬M)ˆð«Ja3&?¶Òz ¹Õ₨°7Ö¤¥`DIAlÒÂz"ÂÚ•‚ÇÄ{{EÉWQÓíVÿD•àëÍ?QRÀ€W·xAkW +"„ã +-Y´8›>Þ'AT +Ñß D¥9ðJzþÌ>Áßd.‡Áµ+q5}¢q#j%Q5ÀÑVÿD)Öb/@9óF ÙêL )þÏJDM_üÍ@Q5š¼V‚¥ +‹]¼!ÂÚÕ‚ç÷£Tzkq5}ÍwaDÕP:ÿÒ6QZÔû¼ñ†kW Âñ + x%oÙLíFØŒøðñåzÅ@÷#F2.7ä´b› ªumý®e Ÿ‰s͹¯ã ÁÅFHnÛ]Î:­#ªÑÀ«V‚¥„Ï—IKÝ/ˆ°v• BÙvQy¬NVn#e²8©V+Áˆª Ô ?®¢Jpír¡€PvE ýaÛ2DdÂ`8T¹xÉ"¤p³ AT‰ R7KA” ).ñ\ cWÒù¥@ £,ßU؈–¬µñ\µ +Œ¨ +ª½7JF” +0š[[|Aìa£$‚?þ§)·‘¦ºžšrÕÝAY!kŸä£|ëŠoH:ë“`¶36 ááÙ³c¸í,å©)}1Q8 2Q Ñ¥Üaí‰o“ve ýù´Izñ"Û…ÛSym’‚¨|X›$JXŒ-vá<‘pÔ&Ûþãká¢lä‰Ëm$©#æ"“Û4üRȧí1¶Vק²˜0›ä|‚ùÊ•…õD„õÕ”¦_Kh›voF +ØAóYÁoàú'ñsÃ6‡h-àj)Q%Р䭖‚%W-LÖÖ®L8“Â|TÜ$~n˜§HAq4-… ³gñÉ… ¤ä£º(na=amJÑu÷† )lÀº;ÇÏ +R F§•è€*À…+¥EF”hS¡¬¬'"¬]!˜p(„«èË=VþôB Žæ …è€*À#¥dÝ'QB QÅ5ë‰ Ö® ”Ýásè€2"Ôk:l$‰¡CôW‰ºc +¢*€AÔº(ÁˆR/O™N³žˆ°v• f†C)b¾jîñòçF”R –®æ!ˆ*!¡‡ZÝ1QR€UÝRø "¬])ˆoGF*±K±'†dU%k)Q%{3A”ä¾ai /ˆ=3FpÇæå6q(Œ*˜EFTST›ÎFÙŒ(!à½7£xA„µ+òéõðO3,EÙ—Â[³Z³o*:¸B)}Ÿu|AkSˆF€ +a!Ÿ$«z Œj±TÎ߬C%oM ë‰ø3ë B9½š]áXu1=U\”`D•àóÍ;QRõ.Nñ†ä3ïh„ó˲-ç*{1}¤9cÑ‚Uìl5A”Ñ߬â +qgæÑáÔ<|,èGì£ö dzòªÅ=Q5$šœÖ‚¥Ùïâoˆ°vµ ©°Ñeð8H™ž¬JëÐÖê틹Y‡ Jš3ÓÊz"æÌ:!¥S +~Fv{2}½M ¨j¸[#JóÒ_phDð§D€‡’óv%v2¦ WŒèÌ9·Z~ý+ÝÉ2Ô`áK’šjÄŠmL䣂½na=a}¥ Õ5BÜ”"V‹&áð%6Q»1E ðkX”`DUPé1Q+ÁˆRìbüÂz"ÂÚU¢¾kC +|ÕvÜ”ÉZ8“.“¬ÒBYƒû?çÕ²cËmÿHÐû± `À6 Ç€Evùûÿ딺E‰êÓ"Ïb0˜ÂPKÕdõâ‡qAȆdÓ\Þ¢>ŠrÑ\•âÂÃ’%ôå› ‰Í$.¼Åʲq1Vƒk&¸Ê¹ãÂã"a«ü™QR.z@’¬ÉEwe –Äi!4(ÎÅ@X +¿Cã\ „qðæ±mQŸEI¹èêoÄÃ!ú4 –—˜Mâ"V“ÝÆÅ@X +±˜œxç$„q›ÙšÌ @1R&z@‘ÎÉLy +/qšDDöB+!»Ç!„‘ûÿ†-êqºr¨{,YÍD…Äh}`Å­W „•PÒc†¨À Þ'Æ’t3¤_ÅZõ÷‡èižz‰Õ$.úÈÚgÈ@X +˜ƒ˜œ‹0.úÞ'Æ BQR.àô_Hw‰uÌS/ñ›ƒ‹ÐGVὂUCÀÿÇû&!?’ã +¡(!Wö9ÁY¨iÌS¯pœ¡¬m†Âjpõ1Ca\ô)œxÐ0c¤L 6é2™ðàÏŽµÔ+gèËVÎÄ@X +Á?&!Œ Ìàm`¼^7A®€¬ý>fiñq0!ñ›>!€o!Ùa!Ã+²-Äy¬ho!.^-‰m!™;ÇŒúD¤[HhI·…d à=ßBþü×?ü÷ßýý÷ï¿þö‰Á RæB2IY ³¢`-$™ëÇŒúD¤ ‘¢ZH&+l!Ùh‘ØAËÚMˆ¶›ŒrØn2H`»ÉDæ&2£>én2hÑí&D ßM6Z$Öƒh™kʤe­)TÎZSˆ„µ¦²–ŠúDÄk +Ñ¢ZS&-lMÙh‘¸¢en,“–µ±P9kc!ÖÆ2‘¹ŸÌ¨ODº±-ªeÒÂ6–‰!!Zæò2iYË •³–"a-/¡]e}Òå…HQ-/“”µ¼lœHŒ q2÷˜ÉÉÚc¨šµÇk™ÈÜZfÔ'"ÝcˆÍ3Ia{ÌƊĤ+ÓÆOV–§j–'–Ÿˆ{6×Dj㉕Ÿ´0¿ÑRå´,GO´0G?ÊaŽ~ÀýDò£¹¾!RG?hÑ9z¢…;ú–¦ ešûIË2÷TÎ2÷DÂ2÷„L/OA€ØÜ)*s?Iaæ~w, +'·Œþde}ªg}â`ý‰Ä½¹¾R£O¬¨Œþd…ý…‘ ×Ç»ie ¬žÚžŸÐ@+}û ŸÈŒ’ò2”¼4|»o +×)œ\(OƒK«§¤GÇ%„ñRºÝXxA’®ãö)y©øÏô²9…• ×Üx«'_Çp^ÂxÉÏíç +¡()/=@ß]r11¦^^.À0¿u—°zb~øB/p€»KyC²Î·\êö’‚±/× Ü\*h‰Ø¨¬)®/€ i­LäÇ…¸Ø—Ñfjj×ÿà&y?ðÖÁŠ󉬠#[€Ôó§TL­y£qîg”øfl¬œ’°ò|5®…E ‹’“àxÈ0C„„ôo¥™Œ`pÕð2‚¼`0Fb0>oŒ „U¡cÇÀb$âi|Üb> +’r‚€  >{÷ƈ`(FpR¨ž32VKÊ&ùÌÀb$UâVÿ BARFF€’D§ôÒ`½` Njz¶’°jj4•Srÿ½©ùÙH^#%äþ%}Ã*/« œÁúW aµ´jZá_Í&#¡·ãÆB>€"%×°j…ô]©Ï¸OFÄÃ&`€î•VFóÖY XŒ¸„³Ýó‰]o½ªÔ®MN°*µV_8ÉbNzSÏs2VGo±ì³!`q‚ µwÒ7„‚¤œô€(µö“llÐÊ 'E`ÕþÎ}â"5àeÞ¹oÿæ‰\Áë®9ÛÚm¡‘ìž3e€·m[Ê9§ÄÝ¢»›ç/’Å. Ä—̽ÉbœF<ù~ž³¼»ínH0p®„iJ´—å%Þˆ Dpn¥ÿ#b•¤žî#^9Jù¼GÈ‚-ªèùB¯³¢ÜõòŸçÌòBÜuÇ`Ú{vø‡Œ^ÝE[Æ>êÿ'zÃ;Ew©r_œræÔzüV ÕµdbÉ[Æ9ft¹EµP]ƒaº·Å¡TBÎRŸJ!k¥êÞ2–­È™°µT]À»ìB(åóZLbsZdÑû/„ÞgLNõ圳T1‡395Ta„Jݤ:3§µ`ÌD½V«C£J[ʤŒ0ÔE¯ÕŒ½,ÕÔê@ŽZ… 13Z«¥ ´M«iMuz©",r¡Î|Ï;1¤ië¸Pçë/„gÓLŠé圣P,tˆõ ¡únÆÜ6ýrî©·«I?ý-ê¬Ûôˆ %îf«~ú;g¢åM•sS…m®1¨ç¿Íø½ÍÿfØ^?ÿm5%p­®”Ïk1õÝ«Ó"‹0‘ù>ë8ÐR_Î97ÕëwûF«øˆöùOÈ™ÓZM ú¦êû׿B)!œô…V«Ç¸/\«9kýBïTKÆ!›R"(Ñ]nR­ÔÞéܦԙòy-†Ôôÿëùbãß—úÿ㜳R[Ÿ_)5%“ËÆé@Îœ¦†Û½RDÖòF)1‹‡oT)5a-H|ürV*6ØVšZ«é¶0A‘Þ¤¬—jrøö¸RgÂ祂êBõ\©óùB¯³¢0Ä}z9ç¬Ôìmå¥FÌÁ´)u gFcºF¨Z©oï=O9AJüôBÅï¹Noà,Sü^\#Ó?Ý6™ä\a¨°…A¯SPØSs„R>¯Å¥ŒÏ• u¾þ"g“wþ圳P#¼rs_5¤çFEÈ‘Ó­ñÍ«…R·èyKy#甩;wýFRߟ¸T 9j5$Xœ¨n©¡¿ˆ¯¼ÈŠÄÕôR +èsqlG™Ÿ·bÈÅ(ï©ëýBϳÎið}oç¥ÐSsÉßH5ã›8¥9SZ¢I­ê¥š“A’-åRâïôRÍåáS 9KµxSÆ0ÖH5»‡S%DÐ +>Ž¤×jŽ§ºR>¯ÅbNu `!ô>뜧Ú^Î9kf¹Á¨ÔjƒÅÛç?#§Ñ†=ViµSlØRÞÈù[ŸÛzÏs©ÞÀQ©èSØ'Ô;UÀæàÊ6¬"(f<ëjhçp°R>¯Å(6®Ôùü ¡×YQδæ_Î9*5Zðaã7J­Á8·)u gNkëGê•Ú÷Ô­©Þ€ !>n_õ:­Îð}êþûÜO+¯^¤h6oít ‚ú¸Ó‹´TSÂÖNgÊçµR£iak§óå'B/³Žƒ(þå˜s7…¼ÃWÛTðù±Mr¦G¤¢—¨Ç~k¦9gôÅÔšô"õqߦp–©o—©ÓêϲoS„JÄÆc›^§àpߦVÊçµⱻ孙Î×_½ÎŠrÆ +k°Ÿsj(<}#Ôhan7¡äÌiÄuÊJÅéâ¦ÔRzóÅÐÕÔ´ u g¥ÆxÝL«T¼G²ÛˆˆàóGgôQ¯Ô»P·ej¦|^‹¯E ´nËÔ|þE½Î:§OµôrÎY©±KÚ}£TçŸu gNñÛÏC¥T ™ØmîD²×ÝôZuöaPoà¬T—LÛ›F©°aƒ:A‰«Œ×+Õ–§A)Ÿ×â]6ÂXV®Ôùü™¯³ÎÉOƒ:Î9+¿Ó7þÔ·ú𧄜)µÝ»ë7)ß°Ö¶¥¼‘cJÃî‹W Õc=‰¶0¥r–*v)kÕ6Õ7÷°©„Š„ÐjQKÕ÷g³©+åóZi›º°zŸ…·/í圳TñµøZEk‚SË3iG¢‰ì?a4ålðàøÇb¢|‘šù°€ùkö|ãoA¾€‰-Ÿý©V“=ÓLBeßýQ3©¢­¤ºiF ÒU!´é«ðþ[P¡…VåMØbœÇg‡JCííf!”òy)†ÌŽ1™¢—'`>Í:¥˜ÂË)?UhÊ2É—”«ÓtSJAœø\ýÀgpXM‘Z+Ñ`{; ,áý÷9!¦ËZ…®L +=`R(À…ÎöDßü£ÍüŸó*9’%…¡÷¶¢,È`àƸÐ×öÿ—*ÚX=‡¨§¾ôZÎ4ùtÊ +~ Q-½¦n–fi‰þ¡eQ|#Y†î!DK¹J1s^è}>+\hé¢0R2ýAŒ]_Ž!Z豊N΢]D¾Âˆw[E'½Ž¥äÏ!Zâc]&ŸNYNC +Bµòʘ•YOÂc%{€bn¥þ 8n‹¨ÐûlâTjçðDì‰ <…þÀú™ŒÜxâüxì¡ÂÙóaHñ{è¤?ˆJç—RäûÅ݆¼š|:e8¸<‹³T ?Zš¥…ž{¨hÙ49œ5ÿ /ÊfizŸOj(ô Jˆ9”ù¹Œs ”XÍ­ +gP*ègù ™º£ ÐD.è … [ÿT“O§ ùŒd¨^R™¥¤^ñÎ%ûZü•)þŸ©AƒÙ˜„Þ§3§«–xŽÏ„^ï½N~`Î_¡Ñ1>ÆV¸]IÂÙã3ÇËÅcxâˆÕÀSèîNøåÛ9<‘@—³…§š|:e±†tf2ðÔº+C +³”@}kÿP²‡g.,€gˆt¿Ò9ém:CÄEWË1Ðçs€–lWP!÷æÊA§ð,ôXA'gÏŠVý1>±mÙtÒDˆêR8(Ìà­¨š|:e8Õ]©˜¿ +¯Œ|_AC‰XAÿ¥dÏšqÇÕ¿à“Ò•“i BïÓ ÓÅŸH›·YA…üÀgëøD +¸;J(ŸÂÙã–yžâë–ÝA'ýA„8#r>Ç'2èr²øT“O§ Vo;è*¼2Ü} +|‹ÝvЩdO|®ýåD +®àÒ1ýSè}:=Ú‹”ù¹7¿¨¶c|:ºÝú§pöøtíÊòEœàÓñbô]>žãÓ¡­Õjñ©&ŸNY¢C>M¦fá•!•YOBOÈ»’=>}Ds>€'__‘ +Vg4^þØù‚¬±MÆÏWÂçì€?yè<h aƈ+Ó¤ /™&_c‚‹!‚Q¤‘%|K%WåüZ¸”ãåá‹Ç ‰Mía\¢xüÃ]ínS= '¾:ð:Ý|Uú/òUb0ÜZžQÄaùF©?pWéfÔ_ÞµÎ!TžiÂfÁ*o­*&£¢ÎôZ +höAL`¬ÆFÓ(îFæ$‰³ ŠƒÉ7^²À £¡þì“„ÙU¶•¡¿¿4UF¢§²Óõ¥É~wŠ3‘on Ž…Ñ-ªÙŒ‰•åÊ4J UBu­(gV`¹Ê2 ì4TBƒå7iecß_š®õÏfw£½fºß½B*²X¯„Ãäï’ú¤M®§KP99V&õ¼X™ÁLÄFcoÂÞ÷©]“ó;¾<šcºÍúÏà*f?DbÛp“Àeá CLg:v:»¢4RùâôK"zœ—#øÌå°ªAdÏ/B§åÅHÒƒ¦ÀoÚJ +ÄÍ¥ë=PÉ¥A8I—õ<ƒf'§tešìN¢O5‰3¦6’}ã&g’®™(´z“‰N~­Téÿ#“ìD{­\¿;…<¤tójp~¾báa¸µØÏ&48j£éÇÊ”ËWºÉ Ë`ÀÌ÷-Ë=òšr~W$ŽgÄ£ÏÑѦq¬t=éÁSƒX…˜ŽéN®¿!_®€.ÚOWö¤z¦ñõS!$ê/0úøë\&CÿL‡ó ObŸ»¾akª†\&‡Ð¡½*(ý´\0]˜6»8IãôÊÙ·Ž²È ¥`¼°_3TV›V.ùý¥¹ZÿT2_+×ïnà-Z·ãG·7œ9=pz|eTÎÔÕTê‹×䙀/¦µ*#–ÁÆ4…À²ýû²[‹WÎïìH +àoD¤-ñ'‡Úõã©sÚäÐ+.e¡ó )4(ÍÀ‚FðäXÂ*#†ØëT>ûp³Éñ'¾ Ðü=;ÙWzéPêóÒ÷˜íR-€®µM›ÑN*3ÎÜ”#ù_Ž²ˆD##qÊ/ZX™š½’\©„ä²Óþ¥Ù~÷ ¹ W­_Âùy›•æ„Ðé9Û™Á—žŠ¯*­ž‰Óä°Äd§0O}rèV™©gɨ´p×AÁ'a› ‡^ÓÁù}Ró…×S‚ÞŸšiÂH 4ø`V×ÉÙ®®lÎ# ãÕ•kù?çÕ®+InCóùŠûF£ô–œyvŽ g³ÉFþ|R⣫îl«+¸¸ài±DRä!ÙéÚ’«Œ®S,:®‰l£«˜óYÒRÕÑ•]˜»æJwåš±‹BQ¢·k!¶åZ[Ô´¶> µØÆÓ™‰üø’hä.ݱŸå²°_ÂÀË +×Îœ´ü™±†;3Ö¸˜FƒTWøßÙ/#7î…ü4—HŒ“&BzƒFÓÀ§òä£VØ.B† ý#ô8rYr›2EmÉß¡hw·•Î,oðÝxeË[þn·x,ùû˜;Áe¹}h̯–q<†·l!°,cPe4N©éÏ`gÊáéÌDˆž.[øû˜S3rýàÀ1ðóK…Gw2;ÄþFŽåŠÔç‡Bð>&BX—ä*rd9†¨2„F{ÄæNŒGí3CÜtªN¦fZ")w)ŽSå }òHóˆ‚LÖOëðmûEZ‚ôŠÆ ˺´N¤iyzÐþ± ¿ZJGÄ4%Ú”ÔUúìpÁ˜ò÷/.;1ÃIrÿÐx_íB0J{²k"nrì°¯UÏs qûˆž9kù3”UùéÌDÜÎÒ1Köæw–…¼ÞY°PáïÆÒ‚5³äfK ËE—³ +êKvK‹žX£>\/4GÊ2â‘üÒbWÊÎ@%+ ¼![M¶oöÁÚp{Ͷµ@î¥û·µLÑ--ë·´àLãê(k Å’mgqš\ q¾Da˜ûÆ´hÉèý)SA–#HGgmÍier6…O¾ãÎ`àÈ<Ó–2çCA~šm÷€ÁåˆÜÇ(ÿö-…¿ÿ~‘fÛà-ÀÝ»—÷æ^ÔøÚ¯û×R7B¾R9fê5Š4Ú€þ¤}‘¶ZÄ—Z,ÝûÛ×+õ¥âj÷¥^<ù“+é)Q÷!ÉsºþmÛU}ÇÂŽ¹˜/Ä¿~{p©ÎY..8¯.¥h’ÚÒ $ +wÁÐü÷Ë× +mN"s†¥ÉD¼Ég7ò‚¨Ö®ÙKá³ñ<…™€TW˜“÷ˆ0.Yÿøç¿âÂÿÒ)ûÄ<‘ùÄ<‘‚+kñeþ "Z¯¼%…u HëÍ7:›˜Æ…Ác·£"AŒŠ––£¢ÓwÜ¥"ú"&”×TDé–s»IEj›P‘ÞûŠŠäÞ[Tt`çLž‰ðŠˆÈº‚çm"ÒwR"Š`Rš¡^Рîx‡ˆì•ˆô¥•ˆ™Äc:gy“ˆXaÜ%"K%"M%"5YhÇŒ¼ »D¤f¿EDtúÀq†"‹#Tç,ïr$0äg$Ðì6gtƒÔ<½â{=6G¯†HK3­+âIùÅÅi,^wLƒg-m˜}*u{-~E¬Ô¯tp¦Œ—ÅI~T-~,ˆ!߈¶&ˆÆVDIÑ9ËÛ "‘ž2½“ iI‹ôD4®ªuEv#í„"ý‰ÙŸõüð>Ïõ»?Ua¬O++f³ÊÛŒ®¬‚ؘ8•Ü”øü;a3¢rÀ«±¸7ÝœÅ0åÚ—#âºöÖˆˆö’k÷#¢ /GÄž1Æ÷×U}%]WúˆáÌFŸ^™uŒ›#â‰Ü-äŽí°j÷Lþ—öðª(H!¥»¢&€Î’#:zˆÁ: ª‰d{<£ß[|€½ïnª.'Ö¦êrbmªŠè^ªZWdwS%éCïoªµyýë·PÈë_ÉëP7¼ÎÖ¿ÙâUŸvÝ‘NaZWdsôb…XnŽ^ç:¢ï¡D¹]he)but­µs=¾¬,(DÛ»Þ½Ì$!ëœÆ£ó('äkȤgÓ9Ë›tÍ +éöpî_VBO˪!XÕº"»¡vò“ÙkËl +µ©¡VDB+:gy;ÔbòO§ÜO*9©:“:’÷•Â–9f͆!8…tq8œ>ÍMç¥NKÚˆ'Ú–!r)©h*ô¹‘L'[÷Rl™ÂÒb©†“ätñrßÇE_書6‚¸.VG`=_G‘„‚„&×Ö5­›œ AÇí¨N°#°Ó­‹}pÏÛ Ü +t‡óm°¡&± B¦MY¯5‹Ó®½ˆ¡ š‚ô +Ròô&H ´› y”yâ´¬ÁÁ 0P#+gï€G–¦w@È=åØj€´YB« t@q:`'óÕ; ›­V +½†ß¹’,ï€÷aQ%6å%,¨6Þw¼~î‰Ag×:zÔ:CŸËHª7º€µtÐzòZ5ád‘Òlj[ii•=ŽÝÃ&H½°ÜºÈ…åv “ß$†D5 +¦Ç˜ÌZsZ3í$:M•ŠÍm9‚W”gí‘u*wœh«¹èß °øJÚǨäÈ¢˜Ç²UÒP‡<µ€”$i–º ‘ê‡0©ú’È<˜$–(}“½[|³Rùï\Ic]¬Ö÷aQ‹'º…%ªO|UÑXÇâ°èÎuC¢ÎdVG@§f”^ÅP]ÔÙj«»³ ò˜\õźkCBý»`ùئÂÇ ¥$¨ArW¹°œqíTF‰À3v +´€Y9–„V°Óê’ŸBE+ .¼¢<Ç.á åºè ++¡ËnB‘Á»‚@·i¶ºÀdªCvA†dzPÛ€Öß%M²x +;² 2šã‰æ6HÏ“½òdî†D´ýYot6,¦ü@¦Ç %¢b{¤=R‘Ç$¦íf?ÓåÐÏ+ûÄÙL¾Ù@ZV$]XYd‰:"¢BX +oò{Ã5Ý‘Ô”Xž¼+!…ý&ã*Ñ£ÕUÇ2Q1KÝÕ[#ÙM(‚Ý—æ¼Z@àR@諪GZ¹ŒÚ%É:‡!Rüçïož -nKe»Ý+!òû›•*hp)ƒÌž ©×Ëa¥Ï˜æ{_ו^¨ÓàíF…°ôgÐýXqëäùÚÏîNË–Q|˜ÒŠ‹?#ûm»"†Åßd]æ¶?|ñGc´¸øŸ²¹¼"Ëfã»°¡Håí×- eøî5®–¸cÀ|Ö[€°ú‹—°ú綒–+Y-^Œ-úê~ßµ$9ûêU’E¢Ú=;+¯Yi +4rV‘‡¨"®“Ü“Ô‚Ž"ÐA{_ࡼt¯kŠÞ=(±n$üßÍ« +\$“ò«ávÑ +™ffEâ×·ã9½ÜaÞƒÃ-?wˆ…hõMèoé‡sb•ÁgCº|[ô꜆<öˆÔ +ÝÇIÌÚ7èL‚øz¦à–_H^ŒÛßo¯Tžðò¡ïŽFTpD]~* ØÉrãƒ×BéÉ 'ãVªÌÐÏV,9,œØ(B\\y\pšq]òu?çÿ½r~êÂú¤ÔÓºä³z†7é)†jëVÒ¸Ÿº+(q9ÍOkñÆÏg-Þø¹°r§qš h!ýù…+ï#åA­Ÿ9¢.?dWGµƒ7@NÆÐZ•¿0òœŸ‹ž_õø™3Žky9U~ZÎøö8ÃÏœrw‚ªü‚CŠ¬ŸehÎ4PS`¨"OšsÁÜ™gššEi!Ã-?ÏJ‘Öi†æ„U𘗈¨ËÏA„²;Ö%TJ^;·B‘ö/¬<¥(=¬ŽÞNQ”ªW¦U“væ5 ù`$ÉÖH.ÒAq»´ëعÙ7÷ˆ~D©üU`öí,Ø‘®cèß?þÿö÷§Œm{b³£ +oÔÝ÷~þÛoÿþï/¿~ÿþ¯üó?åõš ˜H75$dƒ5jöP’-{EFÂùÜäè7¯„ô±Ÿ,&u­é‹‚¼ÔV¸ úoŽäOò«I–Ýú:Åœ ‚û"SñÈ|†L…BÆsûþ¶’Elœ.©9.eud@ Ñ 1xÇ•d +2±é¤\w†|v 2ìq«þ° HÈ…ò^з ’ÆÄ´„#&áÿ¶EˆÐ’$¼ÆâR€†vk20˜ý°&xT:ך´Ýš˜Ñ–jbæJJÎ×o5a⥥Ÿwæ‡5¹p&ü¬&vä^jâËvMtšâšè(ÃÉ“2Ùš¡5iß7ÊÃN»5î§{ÇNykMê皇Çlö÷íçÈG33/Øà^kýk‡ŸÖϾš=Õbã’‘Z”±ÐR bŒ†r/ckAŒ©¶MsqñzgØk·áoŸ«\‹‚šæXökç~‘ZŒ94[ bŒ†T®Vƒ­1¦©ÁvQþÀ°×n-†ÃöÑÁ¥ÈñÂ_0+±}«Ä +Õ·FTã{g_v¨U•N6á +¥™ÞW¦]5¦Åëa¯Ogèpy:„í{%^˜Ósîó5ù熰2^¸ÝV‚£€úÜTB:_t˜_t?0ìµ[ 8TZ?¬Z_çÔñçO¦¯Ž7O³• Æ( .7•¾¶iÞÅìõΈ×n%†þÝV"'lÁH•ØÙ£/Þ ¯ÊØÇ[¥œ= +ó2 þœv¿^Äëa¯O:‡nºÛ¯×PP§q]&ïÿç)€¹DÜô4@üúgôuÿÚëÚ°1CÃßSïQÉaqʼ„‰~ü71Þõù$u8à ½b$à €¤~pï¨TDft„ù„³0/e¼GKvÊòözgÄk#ï>vZc¬Oë?´Ql“6—VÓ–bÛ$gµß˜Ÿ¤=ÊÍiÏ„?5V¼ÖÆFõ³Ê¼iÿ¾ÛX%`þ+à Ÿ“GññJÎ v‘WŽa¨·{§?­ya^†©~_c·¡­,ãg‹•168`óœëæ*„Ÿ-VÆØŒd*ËøÙbeŒK×~_³ûÒñ¿/„±3€×z0~¶X™÷3á¥÷ŽLÔüXÐé˜m"®¼ÔŒ +3ƦǫÞO±!æõƛگ¼,3‰G‹…0xÒÄ‹ól³2Æ&ŽÚD»1Ï6+clðÛò1Ï6+cl0Ç5·‡˜g›•1ÿçxx5»3Ï6+clô¢ÿ6˜=Û¬Œ±Éá[™y¶YcƒÊ÷°ô21Ï6+clBüVgfžmVÆØàÕò­ÎÄ<Û¬ŒÚøž¿í?fžmVÆØŒù?g»1Ï6+clÌ™±2OçJƨWÇklL +yžèÊÔT¾ŽØÁq ˜%æߋƦß1röÔßàÂà|Á¸) Äû’þþ‹fÀœ!û¯~œöŽý·ÿGÑÂ`¬qÙ[U`2<²æ|œƒTUüsfƒG£*ã,(^Tú¢e#hT‰e(þ«†ýþ=Jônÿ%Z˜ñà¡W3åJ9©*à–½%¨ªø;çÌþ‚g£*;(‰*@W‹˜Ð¨Íyú¯öû÷(Ñ»ý{”haâømV^w!5U\’%¨ªø;çÌþ‚g£ +L(MTű°– Q%šóô_5ì÷ïQ¢wû÷(ÑÂøzå­*ß.‡Œ¬ l†¢‰T}å„ÙYð\ÞHãJI¾\u—å'4’Ä@žþ«€ýæ=Gñn瞣X¯TŠ•¦ç¬’\Âo” UçœÙßàÁ¨ráêt{Ü „+W¶UbÀ²ÿªa¿s½Û¼G‰f&´ŽƒÙˆDUM¡;<5%$CÑ$ß)cv70XE¡µ«Ê;t <-eu‚*H +8;r_²ßnÜCÔnvì!j…¨ùòÕÞƒ)EoP Žk‰8‘Ê¡¯œ-;쫽=BMWir{ šY~B#H 8=ö_ì·ë9Šw[öŨ.ÕJ*ÏŪ’€[–±„¡Šâïœ3û žŒ*0©Ê¸> Ó}>‘ÑÄŸ5áTW<—ßmÛsï¶í9Š…Iåª%XI©^!•\R—Uç„Ù_ðŒ`$ 5ˆ¤”±pÒQb 9OÿUÃ~ç%z·y-L WÁ´bTÅxùbÆà\‚%¨ªø;çÌþ‚g£ +ŒoYTEnULhT‰æ<ýW +ûý{”èÝþ=J´0¾á©Ö­*0=wUå;~5(AUÅß9gö7xD0ª|Ås±‹*ÀÜ´l*1à ÙÕ°ß¿G‰ÞíߣD ã0(—dU©9©*‡Q9{ JPUñwΙý +Œ*ñ‚L¢ +0Væ UbÀ²ÿªa¿½Û¿G‰fÆw‡‡œéSJU¾£E††¢J¾SÎâoðˆ ª|ëxLVV5`h2v1TUj@Šÿªa»Ï½Ù¿g‰¦fœÐÞªªg´WUÀ-Ë“‘¡ªâïœ3û žŒ*0©yQèš– Q%šóô_5ì÷ïQ¢wû÷(Ñ%ÄËõ¯X;Žq©0ÑÜåjü*“r7Nð§¹à±ÖôÖ9ølV L!¦3®§/Y¡6Ä*ƒðð ,ÄB²ž ,">ö?ô™(G3ª@´ÒTU)˜8²F$lT‰å,+(!Œª’®ÖšªNÝTŽ°Q%”$/°ˆØîß³DoöïY¢™Èþ +ÝU jóª*LM#6ªÄ‚r–‡î­ªŒëÃyUœ\41&6ªÄ‚’äÛý{–èÍþ=K4±bàÈFˆ\³ªîÕD$lT‰å,+(!ŒªX®|ß¼B¹|7•#lT‰%É ,"¶û÷,Ñ›ý{–h&àÖ쥂H—Õk©°Ñ$”1/Àð^ß(» +ÂoïÁ˜Ø Iøö·éo7î!j7;öµŒ]»*œTëWhf€.foLhäðwÊVÜÏõ0ÑEä°™°Ab!)Gs™H„Ív=Hñfˤ˜ˆÐžlU%…žñjÔ}8pª‘±ŠR‹™³®Àx†PUƒq½~é +ØÉ&Â@ªˆ¿J¾ÓuI·g‘»×°ÇÈe¢¹+¶`ô€hU§ôÐ0—®Ñ=bAÙÊ +ŠG£§ö«Ý×­œzÒ„&±˜IÊ‹ˆíž=Kôfçž%š‰Rð@KFˆÚ’ª*õòM‡ÆF•XPβ‚â@92“ñH̪ +89³# UbAIò‹ˆíþ=Kôfÿž%š‰ð@ëFˆ\»ªîµhDÂF•XPβ‚â¨ÊDÜÎTŽ°Q%”$/°ˆøùÕ®$×qCóýŠ í@·úý­²-2‘«è­’ó-%®YúÿÀht=³ä\2%\â ¯> +àžæïs%}’¿Ï•ôb;œ³S@’@¶¹53UD6Y- +‰yYPÙ¹mªÄzdo¦ +dïÍTÙdµ4$Èi`Kâ4Ÿ+é“ü}®¤'€?µ“UHø‚,šäÒŒG‘MVKCb^¦<\˜¬€DW4+ØîÎTNd“ÕÒXQ[§ùû\IŸäïs%=×ÑŸ½ÉÊ;th³ÕCNµ©G‘MVKCb^D&+ ÞyÍʵ£Âöò!²Éji¬¨‡-‰Óü}®¤Oò÷¹’À÷Œº¬YÐj^YùŽ¹ªÇ)kVª1bV *“ ÍÊ÷„JÝêIN½CÖ¬TC‚œ¶$Îò÷É’>Çß'Kz +ë2Ôß-P[Ó¬ZÀ7gV"›¬–†Ä¼,¨z³Y5‡Ê¦YANÞC6Y- + rØ’8ÍßçJú$Ÿ+é\a1׋/?D¤e‘ÜÂ…ä˜;Ë8’+,Õ)‘‡§°~-‚62™‡þBÊQú:7í²šâp¸Ÿ +3¼y~Oà!yŸ0ãÌ}ÂŒs¢£àS2sJ‘A‘+z¤GØübsèXÔpU  +ÏÌž¹Gæ¡GüÂìò:€Ð1›Æ¿üÎwõóë ¶{9N·„"†r$J©’ã×÷—¿|Žþ¯¯ÿ}ùÇë7Ýåx +)ÐXÕÒ äª*«V7ÕƒJq(Ĩ°G8Ð)‘™ÈÌ;Ärø~¡rWß.ɧ#–ËOê22üíò¿3LÐ(ñõT!S‚J(áh±/dèx”2ÖŠè¦3èv%¤Ž ð¿žÃBÆý}p/¥éµ²bJã^þó¯/á1õªJÂI|œ¶Â!Ôé¹\Hnø² dz´r†Qj-ŠÐU%֕䆤ý…|1I.½Ê‰˜7§EHG +H® ;Å£S•HžMLNÁµ§ô93]l>ˈ¢ÆqM‰ث¤ÀÚ¦3qMÑ× +]S<²ÏJ%½ÿ܆]Hø2KQ¤7¶Ø{˜¿’”¼ÄÙ/ßß➈kž!$]Ô"ÉÑx2l¬ˆ¦ÆŒ$¦»É 'Z:œ#2R›!§XxhÝàz XpäYeÈÇ:‚ ù”a'S“É ¹Þz¿;E:d¾Rãk1HéL-R¦ÒXUeJš–8«áùA-¨L>Ɖx\ÁÐ<Š!¿½hScF9-ìy<Ü…ž7ñ+Ñó&N/ÀÓ»¡á˜ïß®aW2·®u晇1 µÔBû“[OÈ’žk?r.v÷v„`ð×'n¯ËœÉè'ß18[Áòäù /iî#F&QM[°‘”ì›Ö¼DÌ€ß8µHd,(Bb±žì¢lN“†œT%´Na²SZÃUN<“ÉÆDàù¨8D/7^Ç5Á” úzÜuXÈÕè€äÕo:‘{â]cÄB:‰™F±4”?t¢ìÌÙÀâ]ò[ +±o¾5‘ÛcµÑ/dÕl!$^Ô¦‘›ÊdcÆ55fÜY“¼gfk¶¦ã6PMÅèùûfU¹Žú8ø¡¦cyÍòcVSoÊwkú·XÝÃáBXëÇ;§žZ[…Têû­™BÊ£XAßñý²,HzˇȶXk5cfW³‰4Úãå}+ !×;äýβê$‡ØRLÙ'bu°õ¼é D¯&9tªZÍåLäáõ$‡¡ãû\O§ +0Ùëd?9b½•ÁTs9”õúy>9ìè9¯Ë™²¹òà¶ËD/gœ2uàz ¼ß™5·×G²Bˆººm`b¦A÷¼›W#Èãwƒtrÿ‘a€Þƒm,ï«Ó#“V­ !ÚY€D\ê: paŽ§‰ º@ø0Ã@J'Ði«ŸN9†)Âg-v°Ï £€|ò›ÏqG@J¶m^û +;ÓÛèbFÄbFb).é(`ßY›²Èf +në,'ýDVÅæ l™d¹©S‘Í$X3l‡Þ/·‰½½DGM¸~µ‡ëïe£Rì'®wÏÀ|þ¬‡qoeér½;õ-Ûo}Ý6Ì÷»Sæs §Blv9RCÓåœî d]œE6ËùÒÕ{YP™|˜å·SSÓåœn˸¢YÍçïâ:¾'qþ[ì¹²>û!ö\Y‡‚…¸ÔKòX¥ ÷1ú’B{˜È•u`§gŸ” Ò$¤;¤ ¹¡ÕS*­Y1%É~e, +iµM¾¢bå… tz–Kê³^yóYQÊôˆ¹ÒÞŸ´›« Ÿ‡àBà—¡*ä|Y.6ŸE¢ÈÜ^ Mܼ«ÑA`®n:w}]¡Ð%EÌßÌ¡”€à±ú·ÜÄ..§:Ì̸ä7¶JëÅÒ 9y‘ýexõ[ôÑ’Í3„”‹Ú$9¯C†×Ô˜qc¹¤{»ÉÌÔ,g,-ÅrVÃëÜ0Í¥3ÃëŒ-!FKlA3;·ãLj¢ñ–؈K®9oV¦Í1ZfÃyf’ ³sçmg1Aú ³Sá%9 +I™ §œÞá³Klö”Øä3µEìÝeA¸Þ-i1Ħ¸ŠU€¡µbh +ÇžvÄIöl&²¡õÔ˜e9)­M삘zÉBBWZ³ÜԫȆÖKcÆ^H—v“™©XL¸è­] bh+ý­] bhA‹\,­yLkÃ6õ´ŽÈ²%KkÄî¥ó1icÆ +ked²ZÃyôAiÛØL'­!·^ ­Sa%9­MyLN«¡9"YZ“OW”ÖäS£ˆÆeAô°ÑZCkŽkëé‚bH ¯I+MyÍ® +ÃD6¼ž“£,Wåµ ~"Z°y† ¼žòò:dÃë¥1ãÆG +Ýڞ؛.Û9r˜fÙäz‡X|–Tó} À$¢œ·*‚èÇŒêÌéCˆ¿±RCf €F"ÒDû +™Ðå»S¾!.Ô²ºGæ©GÛ¹=€Q€dj–ÿø·Üu¢ÝoÉ'óø¹šà¨lÑ0˜â;¿AC^²°Ñž9*)q8‰/8±[¿_,è½úýbA»zƒÂ= –X‹‘×B ×—OQî ãU…LG^“ÖR†ŽªI†l$av‡]FÚNƒNuÆÁ¤&ìS AéƒåZ•c9ž])dzKü\“Çh–Fùºé +øÜl4 +DŽÁCop*<m´çöš(Á~·7œã¸nÞ/øUÙÀ©-Ⲍ¬"9qd6À92|æÈX¢ùÍoàçjƒ#³©¨\8²úì G†ÏKt/é +ç8¶kùë¾YË_/pgÜaéÝ9-¤Ž¼2ˆØ =¢ýi‘4d)3 Bn<Ýi±5d%ã=ÜuÁ-üaŸI†VÒ¨Uý‰*A–¤U‰(<»½ ó8­ªÍÏ-Þœ%´)â +ü\m4†X•L“ho€¯ ¿l£=ÿñÆ^ z‰o8DZÑÇ_5ðË>þª#b30N'#«Ýæ-GVŸ³%£ý‘ã¯N³:>7VE¼ç°ê³öQXhÏK°Óí +ç ¶ ù‹Eݪغ¨ÀP°0ÁL>ê +nˆ¸XoŠüþ×·¾ÿù˜ GU†&ðpdùX׈õÖ/`a† A¥o + ±aּآ~ Só]¿Ëïo¿~³Ç&iÏõX‚O•¢yZ+ /ýþùö7ý¯¿ÿÏÛoßú…œ>fˆu NÔƒWàþëÈëh…É*èëZôúˆ¨šüí†Åz’‚ÇÂbG®-¯’= þ¢oXÌI…V…IJaÑ(ã[Œ¿˜;&‰h ôäÚdõ-¦fÒÞ1 ¥“âÉdC6LB…—fÑmXôf¿—µã“Ê®lÔœ:zKÖ· 7lzè‰`¤ÍŽlØ„ÙáL·éïØôÀñÊÉfC6lfe` +5›wr ŠÑø“͆\Û æ ÍæN¡Í`¡Ø´Ù‘ +›á ¶ÍæN£Í b:}ÏŽlØÌðŒ¹Ý™d³Àz;}ÏŽüÄ&ĵc£…ùÜFÐG¹aô’MÒdG6LÂâêÝù‘ʆx2Ù +“E…Ô3›î˜,*åSÑväÚdrÊ„žØxÃ$èÁí)MvdÃd…6h?ªçO%Û‘k“u"›>öîL žíhòr¶“Išíwæ/0²xµÀÈ"/°;ã‡×4™¼ZÓhR¬é;Ó‡¹š¼ä"d’¸ÈÇ.â€K—–WÜ¢ +y ¯¼ +¹N#æLBZÝÏ ²6‡5˜Ž°ÓµGCÙR€mbÉýÛÄTÍ$8³¤jˆ\R +“Àc{ŸÚj’Ã4àšÂÀ­bónh +´Ç‰vdÃ$T€÷¹¡ÉÀûOt´#&¡Îm¹Ï +á‚+.œL6äÚ¤ìƒù9µÓ[ëºvúb—µs‹˜ÃÚē͆lØ¢¦C“`Wúp²Ùk›ˆZÊ÷É¡©k’“‘ +›0¾ˆxߘÎ&Gõé{vdÃ&5"Þ7Ƴ)Z™S˜ +¸¶X€¦étŸšâT ùd²!— ÁàiÙߦ†¦$©<™lȆI¨´hîSC­U2²f¹^{Ú)ëPC +ûêlñ6 ·²ˆ¡;)Ÿ,6äڤѰ[Ë}bhàÕ'–Ö‘ +“ÀÒR¸O y°“É«ÁN&y°ßb†¼¾ÈäÕúB“b}Ýb†¼¤Ñäå’&“¼¤o1C""dñ‚ˆA&"wˆ¡‰0$ƒÄA mQÚgI'„µ®ˆ!ÌJ‡GÞ&1ô¡òüÓCÏ'HL¯ŸÝŸ¦gb¯Þ`j„—@²r6H¥ ˆ¢ââ}•%7 +[Bƒz!oÌû_?Þ~½R·0?*¯¿¥z +3ÌFF^„¸Ú\ìiÍk]ú +‚Å5ˆ¼9\ ¾ã÷‘&vÛE§*³^7‰\`¶%·^ñ‰Ð‡Ÿb΄(¯œªˆ…Ü@„E€kµ%ë]ÚR"¡m~ ~mB@wÒµA}r0} +!,!%FDÓžùïPÌ.[LG„ˆëMIÁtDˆè£E0aU*IFÓ!k5fNGXÄA‡Õ–óðÝ +ÔmXÀ‚÷.8) …Žˆïu9é€ÐнF&¥#,í}”Iéˆqʘ$“ÒÑå8iER:"D€¼G#“Ò!ba Y™•ŽH%IZ{‘D„H€['rZ°o|iA„E€å:cEZ"¾ML "BÄÀŒ "-ˆ°HJ*Ù$Ò‚ˆñ +¢¬"ÐÂ•Ë Âó™%~ +Kã‹weŒJÐÅìî >’(*:’Æ8we<|Ã"²3fd`SDSÄwXÈ‚ÇŒ¯ûV*< h½\¢8Äøƒâ!6~â]™¨\Ì¢0X=²Ê§ )^&CÕîIÀx±ETº¯„¼>º¸ÒûÑ5–þ¦ˆ>¶÷ËØ©²wñ +p8HLZÊÐ{z±ó 2‚çO’~~Œ£eS¤U:Ï#K«G¾æhÚà57Ο]øBÙˆ©…ÕÇ÷OY¼7ƹ»+Óª§5V³~Ú ÄúÇM±+Ó +ƒ÷˸N¸ƒyÁ|[I‚§ö0ŸG ™aíðLáE´’AIâÄtpÎ2ãB–+ºŸX@|xÌóKZiðžÇa!.&\ôx0 +›O¢ + f #‘É#j³’A ¤"“ב¥ ~ÌPÏd¬!¯‰žmÊ`y©9œh bu+™Žøú¾S‹vd%s¦¥"ËÈSgöJ_åôµ;²©­è;"»+D‚ŠÉÈ9Б• •p´PÉvDqôìÉôBïÈk¢Éb&q^É 30¹ :²”! +k¥mOó ðâíd!> ‘¼F…Ä@ì?'Ã+\yx PGAâÐ åªM‘ÞßxˆQ[fÝ9|9ÅpnÊ`[Òá8Þ‰¢-ér\É Uö’·!²’Á‚ÄÚcÚP_vd)Òš{';³# êŸ:Å¡ÎìÈZl;³#K¬i葨­ì–Ž¬e:}ݸ(uKGV2¬QP÷ðÐFÙ½Œä΄YkFPëÛÛïoÿ}ƒa˜cx×ðÏÀ)•SÿûÇgS(®)@оƼ;HwyÿëÇÛ¯ÚÂÏ¥“¡¤‘֌ֶ۠øÐmêr’º‡¬+ÖšÔÚu»*Àvê6öÊID°ïXkFPkÛmPðÝÆ©Èѧ"8—XgFºÒ®ÏU¾Þ³}ƹ¸òq®™±æ8¶Ýn +OÝÆq´ê¾)ûCfçþÜvÚ¨ç>C'‰¡‚ ›”&€tv}® +&v§…µ`ïxœbábˆZ‘ÎŒ Ò®ÓU^?Î4rcÖ<¯–θP†·ÜÕ ÷ÂCŸéþ éþ`É9kÍjíº]Rxì6^+'§ì™ÃØv:»þ©Ót{r +ã}ÊaàeÇNNiíºÝžæOË•“sÑŒ1²mPpñq¶ñÐZMŒ9ÿcn穲mPx¾Íá±ù4E:"ܶ[8äxžÔÚØ àžo™æÀçÒÉyïŒ[edÛm§Rzœm•Ž§lwD¸­ lßSnjíº]Òc‚m¯Ó$éˆpÛD}”N.ÔÚu»*øǬ¯;ð¹tr®ö±’ç@¶ÝŽÇú´HÚçþ\–Äœÿ1·sÙlIQñi˜”O2Ùˆ¼R”Ö’ç­ÔÚôúPð—$üwÚìy-{tì¿ñ=7’Ýžf»åís™Û9ÑÉ9ÿÛÙÎ ò”þ™œ¥Ël#"‹Ä¨âÓÉÉ !­]·«B|ºÛÑÏ¥“sµ•<²mPÐOY«ÉFÙNÙnˆp;{•ò©jmg/Itàséä\6cIÌl»íU¶ÝNI%{*’Ž¼–ùs;¾gßíªð˜I™äL“Û +y-ßsÃí¦ðpnç¬÷tHvD ÀReO¼iFHkwnW…ÿ‘_õ<–åD4Ÿ_ñB@ëÚ.‘@€ -¬„ˆF$¨;áÿœrÝrÙÝ=ë·©7™ÝS·êT×þ8®?÷$‰™ÏÙ†üm#W£E^‘ÃÖrÌøf?.›èrÀòÂ̱vBn uD¦r‰R').´:"¯ŠfšŒÅ˜?Ïîˆ?—µz_…^Éã”-þÌŽ¨Åù(ÒÈë©ï^žŸE´êÉ?W/¸ô¾’ŸùsáÇj÷'viS$…ü`Œë´ãЇÿVÞð·þ× aÎÀD&Ã܃+4ráO•ÆpÁש‡ào_l +ÍÐ)•aÕñm÷³+üû·::ZÌ€^—ƒÿÏú$r=}Ê#¿ýÜý‡ +WÑF›ÇÀÁ¨tØR}ô£(þÖ'ÊkÆU¬~ üG²;.îΕÚâ<¼®± þöÅúk†Žw,ãowÝira]Â.‰{²xܽüº±Hp+ž° ¬(ã@}W¤™# ¤)#¸ÌCÅÒƒ+,âñ¨3† v¦‚¥‡L¡6·0¬:öëöXá»|¬p‚L°·¡&W.v¡Éݯ3Æc«ãÔ°ÛpÒçf˜9î¨6exW½ŸK®°Hðía ü"óSÁ2§L¡6·0¬:ökùXỵ|¬ðˆÿ«µÈ“­[º)PÒƒ!ž5æ¥ÀÜZ›Ý"É/ ží˜Ö ùN—@凛VE¼˜ýeÀ>ÀÈÐùîúeþ½y?MïγŸ¦—b½ëŠƒžY¤¹‹7p_äÀl«s\1s”û’ÐŒ"k0(–R¡ï%C +mê!Xæ”),Cç†UÇþð=Vøîð=V8ïpcqÃΤîò§H†½¦pÝË6~šql†Áá›kWµ à¾ánƒÜAò{n&Ëw)Gã2ñwÈ#ê÷wï.§`¿Š”¼[¿J¦”]Ƴ/”äÂÈ°¡…a±_ÂgªÞ-á3Uw¬ðÚñ¼cUS–(†ƒV¥vL- +̸N®4e19w½!y^Ž@p…Ÿ—£<¸âÔ@°44CT†EÁ~ùž§x·tÏS3 1lFÈü6äÝh‘„fí3,thÎ}ÆdŠÌ¯Oûž]LaªW,¤@#WŒN]ŽÞä|@lf©_5lW7‹÷LÑtEyè¥âÈ÷éiìp€}…I¾[â¦j†™#ÈórdxW½Ÿ s®ÐHv-ä‡1d—0§õ,sÊš¡S*êcú+|w+<Ö$/¿ ñ™§ñŽ`PSm×”€áÍ›aæ þØœ¼bi!¡RƒàrWt(3Ê÷wYªW û£øDÍ»“øDͧÜGº\ôýe:E*o2`Yð[Çw|5ÃÌQÄro2e0Ì=¤¢G¨¹$CSsÄ›L{ÜXæìSL☔aÕ±?ˆ¾;ˆNÓTø”„W´¿Møgì7 +—¬àƒÃ86Ãàð +WIµ `ÞoJ P:HþˆE¾K9ž¦¥L +ó:‚fØÐáˆýB>SõnŸ©šà2rXäpÜ9°¬Áâ!{ +p&ø“”\éG0s$¸£p¤¸H•uM‘aªsq%0gu!¦Ž +ÈØiúžq¿„©Þ0w‰d<$£dGÙø;âlíÖñ´x°_Àç)Þ­Ýóãø‚gi~¾Vá"óú÷äYÁ“×6tgx'âùwÝYªŸ}×¥š®ˆQ° +P Ͳ¦HÁÁØWtE­ðÓW@­aæ`oS†wÕû‰Á0÷àŠ;E…_´ÊS±7–9û#ãžr0¬:ö«øXá»…|¬pŠ¢aN<*©¿X§Hæ9…ƒŸð€WðN½Š}/÷Zn˜HD0~’ !€<rt,CÊ–!#*Ã*b¿ÏT½[ÅgªV¿ì¾@íÛiŽB†Ÿq…¿ +¹Âaøí»Øy«W,Ìðs„¨<äP³Íò[‚Í,õ«†§ßug‰~òiw–h*äbÅãñÊØT·åIà¢eÍ7Ô2¦–fŽè®+MAöÙ`0Ì=¸B#É%’Âœç}6z–2…fè”Ê°êØŸÂÇ +ßÄÇ +§]%æŽ`DÞ–HãW(0ïƒ+±‘¨!ž 3jóšÑÇV‚¹ƒä÷€w­Éw)÷맂eHA3tDeXEìò™ªw«øLÕê‘}CF +“×÷ÜÂðúŒ±;Ô†ßÐœþø.6ÞÊK3ú¡F€_<§©ƒ`3ú–aC Ã*âé§ÝaªŸ|צ:Öäje‘p>ósuŽTì3ÆT¹kv¡D`Âv0Ìä’_¼an!!W›dt­R§‚eJ™A3tF%XUì=ñ¡²w®øPÙúÒóàªÔ¦çªGn„ßз"ã‚D_“ŠíÁjò5ÅÒÃ^¬‰ùa°B9L-ÛƒuÎб;Áªb*{w*›‚wë'¸ÃÙϺ¦Hm°$á’•_âµãØ ƒÃ7×®jÀ¼Ù”À ‰éÑ@qÍËw)/Ç©`RGÐ ñfx'b¿ˆÏT½[Ãgª¦”]®|iÝèO‘ÂCKM€UEIØ€}ßflÁ+OtÏ© †C¿0,‚y¯ú0†ËÏ9z–9e +ÍÐ)•aÕ±_ÅÇ +ß-äc…SÁ9]á[Jqï·)R]àK£D9Âs¤Ž E1sDw]iÊrk ÅÒƒ+,’ô†ìjjSÁÒC¦Ð ›[Vûµ|¬ðÝZ>V8ÿÅÿò%àÕoá•ßœlűèC+Žâãåí˯þö»þõúõË¿| +²ÌI +ôxùƒ|úWô¿½þÍßåóWLbß=º_ñ¾$x~ +¿üF@¼sì3}^•æª|礵aû¼Ô_s­÷ +ðñ;4´¦;ë½èüê²T×µ…eÜ°Œ>Ž¾3nXÆ +Ëïò‚–ÑÂ2ZXF‹×‡öñþ+'ï2–—†ËçCuî?¾üÔÆK„C8”GôXêo¼„㸤:"¯S„p´÷ȨúѪÍQÇ·ÄŒ•\‹°HýŠøßúñɾI>ƒe¡`{¤±q~üû?~øá/úó‹m“pìTz„‚ò +,;ãǃ¼öˆGËP0)垃qò¼Z 8Je©ùÑ¢Ý3ä†kÑ•’îâwIü{TTa—âÉóî«%7n†îçsWKËHŠlZ i»/ `º)rô‘%y<í¬ >ó/Š¤Vy‘ÂÝ—ôϘ¹è8\C¨ÌS@`Îxæq“K¹!ç¡¥7,¤ÖˆJme…ÐõH`žíÞ¬tW$Ýá!HUCY¹ÛŸ _¨&Ü Ez„`Ï„"çqpg^H­•Ú™ 0%|0xfLEò ½d#¾€æ{n½•'ŽÑc´Òm™Ñ–£Çô>Ë_LGœBêò•õÄ^œW¶Ç.Dæ4èšÔå¨þ©‚…ÿà·¨ïàa5ó±áŸ3±!çwGvÉSĦë£ÓçÝí¦Ù¥Ó[˜e`Û*2†W[ý²Û*òeQ®à+=tnBÞµÞ¨ž3*>³Ýïû£­Á™‚º”pkü9ÁÚŸ +#š’Ò¤¶. ¸Ä™.É„ +X•t¹à%9â¶Ç8ˉ³QHÑQûAVœå[ñ}n4 +ÌᕃÒn8  +0hH¢Áƨ%IˆIÈ4ç +)w…ƒ°¢!òIÈdê´xIIS„ÿM}Q©×lbÜ–4ÚD¥ÄÁf•h6•î6Q›UA³Y- 6õxa—x¼‚ŒE‘'ïâ¢(ÖˆJí- +À°ùxQÈjÒj×¹² +7ïÙ»!q5¦¶!}ÐíuU•ÉÓ:% +µ˜Ì´TÚhkP­4•2R^:B—!—™k„T—×åt šh ÁŸâèÑ?›p>x‡ÎŒ×ÙÀâÈ!7ò45¸öYЖj &„½"ônc£FjñYY”¾ýÞîiÔöyAÄÄ1FïH “˜Ê¹0em#O‡©ÿ“óƒt§I¿‚Éd…£æÉÚÐ +y:¨ýþ[¼Sé¥ÿà7ðœ!Æi¶´à*b±¾zŒÏóåùu–á(Vjf–CÿQ„¶`”ÆtÀ&9ËިȚgåNçÑZQ¯ºLÃÂçñ „S +Ú‡~6¬#.Î +ˆO#¢MaÐÐiM¦"5ÝMC=Žf£WóB9ÔKÕ°Œã´Õ0n7ð^w»+Â7`Yˆ‰Û˜ê$:‘)Ý#ëâw× ´Øè‘â†ÈB |׺ +¡{d£ûí†Èºµ|{ï¬åÛ ¼ÎãO÷hÖÇŸÏk$|stxœzz»¸Ëùþáë¯wø7_^ŸßžþO/Oÿ¼½žê¨þ/ÀÄðb! +endstream +endobj +179 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 19 0 R +/F4 20 0 R +/F5 171 0 R +>> +/ExtGState << +/GS1 21 0 R +>> +>> +endobj +181 0 obj +<< +/D [180 0 R /XYZ null 524 null] +>> +endobj +182 0 obj +<< +/D [180 0 R /XYZ null 497 null] +>> +endobj +183 0 obj +<< +/D [180 0 R /XYZ null null null] +>> +endobj +177 0 obj +<< +/P 172 0 R +/R [225 56 660 780] +/V 168 0 R +/N 184 0 R +>> +endobj +185 0 obj +<< +/Length 2516 +/Filter /FlateDecode +>> +stream +H‰|WKsÛ8¾ëWàHÍ&Œ¨¥=ÙI6©I•+ÑÖ2s€HHBL\´ãýõû5ºùœ™ƒÍý:ÍÞ|øš©S;Ë”U³…Z¨Ý:Kwoû7³#–?Ì–ê6¿«EºÊÕ“Z¥»\}Vßþ\¨r–¯·év©6qñ2[®²tÑÿ¬f_g¿îgoÞ/U¦öÇÙNE!J­—thŒþöý{š}KîÔç÷_Õ—Õb±P[x×xW˜¶u^¹ZéZ½¿ÿp7½Yï¶I¶RïÍÁwÚ?«åb±œ¿^.òÅ*Éó?÷ŸfÙ2 +ÅG¤nó} ö[R9]¦¸°\$÷ól“è¶5%ÿßìmΆ.æâü3/¶AŸ “¶•ógYh]ç ¡çoiÚ`k¬«yÁw²m0rD×å@]–6˪‹Ö,Ôë,Íò|«öÿ„þº ¯ëuòÞú6TÏü£rîÁÖ'þ¡ƒ|鳂öÎ&mÝߢiùËZ€̦Ӳ¹Zò÷`…ñ“-…!› ¼°Ð Hùý/P¹vêðŒjMeŠ *[›–‚Šóô98íKõåî³Òêéì*£žæYG–ê¬[pwÉ€Ré€CÁ^LªîªjÑÛGÑíG>Ùp¾q4b‘'? +ÓŒñqGþ~ýkcÊ!’ƒWW’š?öášÏ +Ú$šÌ³–Žšï϶U£kXz†yä”þØÄ~J[Øôî¢$>yž¼RúbjÚ@Ô“·!8TW ×MYµ]Ó8ÚœWU™Mï}N5Ó¦ê#*°,-9KY„ jÝÄ÷‡L\&ò¯ÊS’ìëÄÛÓ9®­^„ +·Ï¶8Ë9t0LK¥­“Çù%[u†pd•ò[< —dSÜ5èËš¬°¡Û¢6ILj¬Ø:^Zõ±U¹ù2OžbÍbÝÉ6óÒ¦=mo.ñŽëŸûÂbQÆi_rúÆ«›2¢Þl[+02ª4AÛŠ4ªKûCÝ¥j?ß!‚óE‚H‘þP„¨˜[¥=ÒÂ¥ZI¥!Ò£°#„Žÿ±ZåÀÎwŒkÙsB¥_ÂbÈY¤³$+yåúÇj¹fË‘ÈGÒ›Âd°¼åU:gh†Œ¦jŠ—ªÔAgNŠ–“µŽˆ±DUxnLLe +Ä "°ŠJ<|V@³¶·J°—uˆò }Ô”áo©JQbZîr|‡Q +S;E‚ÝØ'FOð»ƒéÑ'ÓÞ0\ÞI=”ÕLHã”Oîû+—‰,ŠIËäuV¹ÚÄüQ­½ØJ{®ìÄl’”ÒhOÈNÆÊ É#ÄPRä/㫆°ÚIn~ß´Òž)B8n'?kC£Öë«Æ#½¬÷>µ¥¾qÛ1rväÎ=×)#&Pk¯§ +K ¯Ü¾s¨ÔÓR„q³£ô‹E¯¨ÁÇk[{@å´öT+îB¬–˜k$Y8»r”Ëx²ƒ!pg_m¡l,?þA¢˜bàÚ¡3!æ,å庆M†ÀÿqŽ.‚¤?<« iÄV<ÒðCa æaìãõÕÑ ®šƒ › àB‘¬ŠÊ³9ì¦ÃÀÔã\Ä2Ý y›ðd€H̬²gê»ß9 + +,S +f‹Aõ(0UŸÑpœLåBf¸“¼$˜Ûç-r\BùM$uŒ¨¿´Öýe…P3Š.šàŸ>V7óO™oÞ¯ù±—­ùáµî^«íÛ4K¯d•æJýêu*@ +ĵÕf|¬ý¦ã4¸\&ƒ?ègÖ×YrïÝÉë z纚"vº.ŠÍ;\ðetÄn„VÚ8{#KÃl_WØcp!Cë!œ·Ætp-â}ÿîë>$+œŠ™á‚8Ty2žÄ£ŠÑ\è8ç…ºk#ØÔÞuá +Fþ¾ÿ0Šîûy³5…c„܈ž¶B)ÈxÙòWóçJò ߟ@EÊ›ûsúØ#´\fÏéýDC±üî.ž…sñÍ5ÈÞ¿ãw‹Jåeý+Í^è%Ac²¼Ñ-ûŽ0X…Î#—Ô~¨+N*)œÁƒ´6<ãy%²¥B¥¢ôŽRÓ«•“¼´} 1Hò±CË zÐõË•m#Óg¤ ½n0&1”Å,Õ³ôîaBv +?¤¨î±"^‘½< +DX*:š óª­â¼ÇwúÑúF˜£³ñé0ðe+Õhæ%›Öb4 qY?Ð>À0Gü‹Iž'ìðEïð¸Í$7eÈo ™‘_öÈ_@¦®R¦%¯@aÖF«&z32$ÊÄŠ u3nMŠ1¿žƒÇæêM¯$Pªçínd°™®ÜÉQÇ~&úÙÞ÷†úHoû ÔVŸxÿ… šIlSqÖÅø¢‚¼Šyö*‰ŸÎ†2‰Êèí0ÔQ§—¸5(G‹ÚÏ1×£»ðmÃá]B Ã[C¾¦¼³ïü¿~p,tUt• àtgÒPð|"°Š©Øùø¸DÝK³ÊrzjÝ ½ñ‰x¹˜Ò‚c:¾1èAŸ·„“×ݘÞ/2â‹*ãMáˆ'wtN¿l;}AÝ^”7Õ6ùÞ]š”ÉýtMŽQ]ëä–fvK/畃3®Do“¦Ò…‘WÎN`œÖú¤qp!Äw h~6®ÇÍûw¼Ð fŒäœÞ®aâè:/ ñ²M™”š£¶.\9\ç’†5²[rs¤ËÏüõ&v [DXe“lÉ(òœ¯™ä+8jW8 ¼Ó6ðDÊô~àÒ[ +z™ËgJ^j`7ˆžX-¤À±PwUÅÙ˜%•Ñó ./%ŒKuõ|¥;Ò±Qä#ÊÆv„yW•”¬,w„ŸŒ}³™›> +/ExtGState << +/GS1 21 0 R +>> +>> +endobj +188 0 obj +<< +/D [187 0 R /XYZ null 627 null] +>> +endobj +189 0 obj +<< +/D [187 0 R /XYZ null 600 null] +>> +endobj +190 0 obj +<< +/D [187 0 R /XYZ null 392 null] +>> +endobj +191 0 obj +<< +/D [187 0 R /XYZ null 364 null] +>> +endobj +192 0 obj +<< +/D [187 0 R /XYZ null 900 null] +>> +endobj +193 0 obj +<< +/D [187 0 R /XYZ null null null] +>> +endobj +194 0 obj +<< +/D [187 0 R /XYZ null 907 null] +>> +endobj +195 0 obj +<< +/D [187 0 R /XYZ null 907 null] +>> +endobj +184 0 obj +<< +/P 180 0 R +/R [222 56 657 780] +/V 177 0 R +/N 196 0 R +>> +endobj +197 0 obj +<< +/Length 4868 +/Filter /FlateDecode +>> +stream +H‰¤WÛrÛ8}×Wà‘šsÉ}s®ë¬“xl¥¶¦²©MÑ'©!©x¼_¿§¤,ÇRjl’GÝ@£ûô‚­f¿½½lÕÍ+ÙŒ3Î’PøI:<ÚbvøíL²wøñOÆý@±{ø‰bïÙç/œ-g*ŒýX²Hƒ›™ „χÏjv3{±˜ýöF2Áw³„éM˜ +% -6Øc…¿ENÿîgŸ½söþâê†]œs¶)ó¶Ù¶M^t]Ó²¦fYÍÞ\½=ŸŸEa{"`oŠÛv—µLr.çg’+xBÌ¿,ÞaóÐl.B½;Ãö öhÿÏ^àÇŒ½™ËÈkÚû¬]–õÊj[Ó…4ÚÒiGʉÑ^¬ ¶,º¾¬³¾„m1Ê[•]_´¬Þmnñ(;¶ëvYU=0üyo«2/{|vÛ"/ÿªX²²f=+ë®ow9-æk;8;¾P*f‹WØðbü½ÃyEêõ묧·Ä»m³:_›÷¬^š—ª¬¿ +‚…ڢߵµ³å²…{ÍuQ,­lcu6—ÜûNf{«¤ÞäØò$fήM_üƒ»‚¬“Ê,‰ç5¼Ho±w^Uj°hk^Ëýó‘ž9ä—yÖ]ò‘u@2+¹gÛ“’æxy$ç8Ï°Nˆõ +2‚½Îòõ4`lWçM½,é]‡û®èóuÑéÀö÷s!½†M½ÔLv2d€AWÐ3´–jÀme€»¶Ù<’Ù ‚Fn³ú›o¤ƒÔþ™ñË¡š9­Ý¸3ÏmÖu°ÎY‹€ oîkv_öëÇôeYÕÔ+nËmpP_V¬ìá…Ìyæ¾-û‚Ýfù7ÖõÙªðÙé6i‹¿v0)Ò5»6/ö<8ɱ¼Ùl³b­Îš;½üsé9ñü-…îÍ™JmzÛìVë½#øìâeˆ–y°;lØ&CœéÕäðÞ.ä~öÖ̪ÔMÜWv9*ŽŽw˜Ú”Å 9±È쇉lDäµkÜÆÞI„ +6ܳULcÛ¬_ÛU;óÜ쪾ÜV¦™„àžÛÙ7/ý¾R¹Ù6íÄ[}V# ™úÀãñöM`d¤–8¿üd‚ËúìÛ\±ß¶eƒÐÃd…ÉÍVK7 Ê :î¹)6Mû@9Ê=½–}mÌ3ë̳·ß+Sxøé܃º}k‹¼¨íÏÎxß|/qC¨¬oÚae[‰à®¢/rû^ÞÑSü ü~êü‘ðŠÎ¿À¥³Êb•>Þ6äîY‘ng„å°-Þ®¹ùÍäc€z=Gû>±5è$¦”HãüDļæY•ïª¬„¦ÃçÈTx^‡»a·H§´È‚%˜ÿ@ *2Óg"¡ÿmãÏN°2-T +-Tbtˆ„i¡!cçËïÚà:Dzzp1ÏL+x¾%#®%£«oº‚U±A˜;ê­æíP6–‚,cãt‘gÛì¶*(§Û]]{µ%y³,ü1ž‹µq>x‡œ¨ +ónÉÛÙzÊ=·®ù¼Ÿ#½!M¤”<_¯sT|)/˾7K ï¦ÏZ`o~>ï +Ü»}·MY[°´»ÙêO”´gsÆ*投.…(lMóm« ÅñúNÕªhË»|»zY´ÕÉ™µ@Ä¡–ŽÇŠ”ç³óª_S…œö/šÓ0{H×æCç’_©,”âv'Å u~ãv¤3Ð}YÓƒVt¼¼+2²®„QNVrÅòu¹Õm„Ýí@‡'ÇIÞ}If‘¸1SÇÔu‡ùÄDNV•i 㩈ýeW;ô)§~ö+35 + «QŸè0¿b·g§µP'bïï õc0jv·íÙÔhVÚ|š(˜;.êµµ¼-o‘0·§@2y†ª¯³¿0G~°86S+ý4agRq? iÂÿ7«1ÿ¯œ\$(‡BžÐtÂSè›À/³ßõrJûëˆrÊ‚©—úR +ëqº/ø‘¸,@‡cËT˜dõ¹0oùfvc¤˜mã$Å] ¡ D¬B_ÆS°A!1v‡t³pÊO@SÕ(ä~˜Æ,J_F!vˆ"Øî¾+÷­|•{‡È sgü~ê9µ:n:Z] V†<À5*L´k_`)}MŠLaÃ!D¤•C?É"#C¦àäÀܘ¼Ëó›Å׫—_?|º¼|ýês þÁ¿euÌdHˆ¥¸ÂE!bè‘i©/©&Hb®p£Ò0èÜhj<»+n.Z>JX$á±üçœgí‰#ÜÖÄ?ûíâƒéô‡À ñ¼½Z>IŒ¼dð=çdk[𔞴»¤©57ïÔOYíxá¬v¼pˆ¥Á¨tœÆ‹Ñfà kóO:zB‹Á­#1Ä1aP:N¥†5ÙPã ‹‘ÇÓ7L¤ŸÆ¡>gèyÇC澸þzñþý‰™+#”â$ʯR¡0¢}P9ÅFpŠ‡Ó9DœÒóÇÕò”¹$ÅLr°ŽŸX†ÂOèÄ€ˆLÁºøp³¸þôrqññÃgrfd‚ *›¡ŸJI!¡„0æ?ãqÅñ Ç/Nôt$‰.'|¡ÛÌ6EÐá,-ͦTïïbˆÓµ›#çŒà2‰÷Îùq<ç™D»@Øμ¡$[¼:Õ¢Áó£¬c2€Ø–TNç•BÌé +ÕIE!¦ +êÅ!ÕZô©&H¬97Õ:D­#ˆä+>þ ?vo@‹ƒF!ž‰èÇÅÍ7/Ï//¯^žÈ¨ Á …©D Åf‚¥›Ku€@óX*“©LøDj$A¤èá,vH5AP“S1U:ç]§Åiä#¤Jµ²ÿŸñ +¢ƒ•MÀ‹××ן®ÇÆ1¼Å‘žw’ `dtÆ#„GLGH‚QF‚x" +&“‰ð'@5ƒ{FCä4‘¼äÑOzL*°‘"7™ñçÿzýâúüÃËw̨"¤ÔÙˆcR!ë1ÐHåd&n}¤E";hŽiî§e2R +@åÅÒ'žè"NéX›8~ÐâˆàOz Wš@½{%¯_¿uî:é¶òŒa YL9ˆÎªˆÜ‘J4éj‚$ºXT­Cdк1Xh1 ÷hY*y4g>ç4MPNj©B•#ѱ*ŽÈP9G­Cä´Zªåe0ÖÒÀçnx;:¶)t,!¨c€Í 0‹H‡T‰qíá©AŒÖ!2j±šäC#ŽŒµö"rÔh™ ¢ÅD9uõ€TÄÝYÖ!2hÉ ’—©‘c€P”'úšj[ŠÉ´òyèò®¥¨`ôë sˆŒJÇŒ†J"¥]­g4î­½˜grIIä#q·­×ŠHuöÜUù]Æ¢”$”ê7t©®Jo®ÀJoF˜ÌÕ«GÆè]jRؽeý«<圅Ê\€Z~ìÓ#£™¹ý¯Rn¨Íå(Ìf@xÌ.0Jl.ÆLì±…ÕhîS²¯~¼~¸{|óáávPöŠ‡`ðIëc‘2ZÈxí zÑ•= +Ú©d2#¢äÐ T}Ç^=Â^+ëÙc '{=(7¬Æ€ÈÕetº”ËëŸô†k€Púè2ÒéÛÙc$(üžj½zD¼F/öÁo¾‰ãÒU¼½¾x¼ºY_,ȹvÕE_›]ˆYCó{š’¢¯yÈ1ë.›cÊVºÓ¡øä2żŒéµB(ŒèQ§tP–YŒ<6€”–7•n{·í¨][µkò/h¬- +x¾ƒ™LD4$V]pøW›»¼ã„Jž+À‘›8[ÐÑùéûÐ|ChX{äÑ#ÙgM˜ÂZkýÌöæ +q)ŽêO˜æÄXlçtKÅãô›–“m¬Ñ ^’*Þ$¦ž)üÎ@M5Л×ÌN?Ù|(Q²Öçòƒ±çÔ$9àM‰ISZa=úýR*ÖׯÍ@¯D°àt32ŽgȳEƒ +H8iÎâIo>éÖkh€Qö‰x´É,µ]ÜÞ#E|7:‹ÑÃ’f”¨ÐÐÞÛ¨!âæÝQÔ£Qåze¾»úE5@LdÎÉ}°N®wÆŠ·"¬Š«WŒíµGö˜›jRîWr–û•œ‘ Ù©3&s½”0ÞS«½ÃÖ–œ1Ç°·`ë +´=á¼QçÍ¥Ù==#]ÑRüöËoãÏn"’IUUV€zRBqn_´GÄéî|»‘4A¡Éµºš¤A;´q–µ–Ä7ý‘,+ˆÕDI‰ÄX4¦EÊï471 ZŒ[mb&ø½Â²žÛ&r$¨Å¯ßF-ÈzXg ˆ¶éƒY­ Âpp¢9/ˆ7…y!l¢.›e,Sâîb\ü&µ•…<¯·2É}êFÆoVõ uZ› /HûîX§öêöZ.ñdïC¶wq[Sª ÀVé]›tAš¤-H?Ù6Å3{ +&MörcªÁ8‡}ü¡×yÿðI?ª¿G„ƒ°B°°B° ÒoÅ«G[0ÙÇð¡GUdŽ:ŽL“\Xa?aV97¥°tY¯ÑnL +DtØ°sè SŒiVùýŒÁÈóaÑãæÍŽ)>M~›àâsúèvM"9Tã’ö’ÔN§ñÝ \{ Œ„¶Ž#§`Ÿµá {_bl­Lyg,>e,TÈH{r<ñ”2,N >+“9ÛƒíÛ2Æ }ûz Ðj¸Ä4ƒ)ÒÉôÞùÜæ¼^ßdÁpdK­€¢ä)Þ P3‹“,êo”јàÄŽvPô+›žŠæ¨X€C€JŸ)NkmeN+l2÷¸IãøïÀKl_1!—ƒ –8‹yo1ç)»0Ó á”|>ÁÞ¢¼cc`ö¶*ñçÏ€AÃWäÀ?`S“ù“86à ùŽÏð´v_ÿÉ3ÿ_ßyiài‹!w‘‡÷¯?ܼ]oô´ÖÔ×Ð6èF´?WÏÐ$XôFEÎQy + +kÇÄe:³QŽsLÙ$èÚÀQ!…f“Oz9Õâ@±9©Á¤1fý¤fš!1ýÑÂ3¬Èù¨ó„ŽM*ià$PÜ$\qj領¿±—,•§¿sh®Ld³\«ÈfAX$W¯“ÍÉÞ,©æßDèi¯ƒjs.H“3¯T§`Ÿ±ŒÉ£ýe×J +F6*Axª^=2¶QqÎ/Z¨„è’³0]rDv>ñê‘Á-ìqÁ IŸY¨4žÅµ ¸Emy¡2c •f ´óQÈy× Ð&Ñ,ôL3A¹Wç\n`ë1„ ÔÚ€Œ¬Ä4¡.ÒÄ»\gÜ~Æu5UÞ­¹áš®^=2VåÉÞÎRæƦvú˜ý¥z´0 +ÐÆ—Îx{uwuÿóÕåý‡ÛÑžyÂÖæÌ•¿‚[{Fw¬_93ÙûZtÐÃ|õÌX4ÖMjÍs9ôÍÕ§ûÇ£“Éú†ôæ·v4šH‰+À¡¾´ÿêÓ#ì´|Üd¯KÌTÕ„S6ŽæÝ+•r¦™,ßùi;4=Â>c'{,d ax7DKŨo3îâ÷9V¯Ë:ÙÓx(Yo[hg¬XZv¶¨_’Æ;¡o2rÄ;‡ +ϧ³WT¯µ¤aM¶÷\¨jrÞµZ²F ®^=2Féšu¦tÎz­€¡°ö30Y°¹€‡kWêêsÓ¬úQFˆW +²×a±Y-yã‚æШ~¬@Â[´0;Ot È.p$ŸWBÆlîfî3½S$ì1e#¢¸oäëíf”ÏCýŒX”(—jß#Ùe9Ûd +%k$¨Õ>øñÛÍohâ‡XÓeàPˆÕ¾ÿ9„ÆÁöÆ»$4“¦]#:±Ç8Ó)if¨9"`§¨>+÷Lö¶ÄðÜ•Áí Ùnà±TŸYªOZkìÕ#£ÕÇdÎÕw¦ÑŒÜ4s™ï™ÉÌßÂ]ñè‘!6Ë-g6¿(Ý–ÍLƒJgF˜½Õ«GÆøÌI Ÿ·$]»']‡¯H-9öê‘Ñ"ÌÄXHúœ„Ô´ÚÆ] Þ›¼ŠÜ¾º¹üaT@‚È® +€ªÅxü?³’8´_ﲊ1¦À¯cz‰Ï”;ìzبöjÊšj69ìÝæ°¬âjXÖcaÍV½zdLÅ%{­^¦âŽ¥qó^¢;i|*žOÄõŠP®Ö›S-™$Ï»æÂyÌ `ñ®Æ7Ù£`¡¥ üˆ–,¡*Ò¼p”LñHfN> +/ExtGState << +/GS1 21 0 R +>> +>> +endobj +202 0 obj +<< +/D [201 0 R /XYZ null 906 null] +>> +endobj +203 0 obj +<< +/D [201 0 R /XYZ null 879 null] +>> +endobj +204 0 obj +<< +/D [201 0 R /XYZ null 762 null] +>> +endobj +205 0 obj +<< +/D [201 0 R /XYZ null 735 null] +>> +endobj +206 0 obj +<< +/D [201 0 R /XYZ null 464 null] +>> +endobj +207 0 obj +<< +/D [201 0 R /XYZ null 437 null] +>> +endobj +208 0 obj +<< +/D [201 0 R /XYZ null null null] +>> +endobj +196 0 obj +<< +/P 187 0 R +/R [222 56 657 780] +/V 184 0 R +/N 209 0 R +>> +endobj +210 0 obj +<< +/Length 2226 +/Filter /FlateDecode +>> +stream +H‰„WKsãF¾ëWàHmÅ +zعyÆ™©ÉÆÙ©XIÕÖ8‡Ù’S$«›ÅûëóhR”íÉ$¢_è„v“ï?>$´ó“„JšÄÓõ<™]ßôg'[Lœ¤ôÿ¤x–-éDÙìzI÷ô嘊Ér¾š­RZÈäa’fÉ,î‡Õäaòn=ùþCJ ­·“k!6ÍSÞ´>@Æ¿uΧɗè–î?}~ _³8ŽéPæ®i]“[ïGMM¦¦Ÿ?ÞN¯óëU”dôÁnÜѸgJã8^¥ñ2΢$þ±þ Âç*<™‹t|‚øÕ2™-oX~4Ÿ%DïM¾·ÓõŸg}“T¤Ã‘lÁù­÷–WîÊÚTªï M÷¦ î4M’¨¡œyûXõ²ö;æ]‰›È4& 2T˜ÎˆÆ1]%³d¹\ÑúrdÏŒÞ5ÝžŒ³Ô9SûTÝQ×PGoÝt±ˆft¡Ta}¹«EmstôTVÍæ¹³T”Îæ[ÿ "¦mmÃÍçgqX\(߶lmUÖáé·úž[(3º[{ŒÒ¬õª #Óe´õ¶£Í3@¶bûçU“?Q.y’W6ô`azâ¤'sÃ.BßD¼ql•V}b`«`f˜³RF?9c"sïO×»™’ŠuL(ëke‡‰í±êùDN^"Øš ºp*ÅM æúù7÷òiŒ!Tw5Ü<Ž*k¦ÉMÏ(ŽÂt +2V2@µàºÂ^ÒƒP܇ ¦4\˜£˜c¦³Ÿ¶%ŒãPZ®-n¤Lâa£íj [×”2ºr5ò9à„Š"ùº|ϵZs Ì4Ó˜X,§ÛýŽŽ†¥¼q¶M] 2"N"e(á#5(`“âÇ)'ž3%.¤9Ö‹dõe#çŸÏ›Ãû‹!ËÙ}²éÖa3f¬l€ÌAQ´¹³Ý€¡L¶¾uQâl%V¿Dü—õ….‡¦(-€H…×@ÀÚ9s8X –Z3èÛ#½åÈg¬ÒøîUáÃh\®û*r®‰Òq¤(uœºÔ÷J³è:rС¼è”V|¡šÓÍe°<Œ1þ‚Ë\æg½ÙŠ¨ûs©û°ŒŒ2É`<6œXƉ¿ +ùò/ñÀíç;zŒnÕøR¼Z“[út‡; +b‚5X…òÈ@ß5’T<µD¨:øt§ßúxØèýâ>#/Î Õ•c2|u:ÅIº˜G3À– `s{D£ÚÖ¶´®á¹o4--Wì%$†Tâ£ßKÆ0ʆšý ++’8,”ï!8µKw?Ð/MÝwßÑbèÖ戔63·­à=\’&*‘;î™Õ€'¥`Âp{qUÈq¶Öãô»³œè±%0³Ä©Úe +n[ xëàì,4_øj sZì~%¨…E¡“¿#wdUYÌtâ?u`¡Ÿûûß”0ïo÷‚óò2z1¹ˆ‡w1(EÅÙ›!³02 “ÊSçìÄ I8çÂ6fgëp°Ñ¯o-*S8×7`áuŠ£ï¢JŸ£‹ýRà4:šÓ¾z³Éz7J8\¸˜aW÷áj½u賡¡©‹ÿ×ïeÉMè÷¾ áËðpDG6M€Ú•ùpþ[ +_z“]<"ß~;z}+âò[¾$ƒTE]Ž-äQ—ŸO­ßËhT×tA`wo¸— +5£þÎÖÖíX'ØZŒƒE4—k^-Vœ¢»=r £Ú²dãÊjœiUº{úxvÂLI½Ëá½ê×+~(=êÀÂk¡«Q¸\l5LÒlx%¼Œ y¬t'‹°»ˆ¢±úý´1õ“>FnéwjëýÚ:G~èÇý_ã• º¾‹.leÐp-€ª1Ÿ ÑíØò¾Cé.Ö ¦Ì¹A­*FN4xȈ±Ó6ˆ%©ú,3„T§=©ö»i‰DÚÐKŒ£ Ú·­­ý¨¡‘=Aah´³}U“®`t6¤¨ñ•BK|¹aèg€¯6ïªç7p“¸Ux.Ñ ¨ D ¶l=§³É÷¸Òäë;¥Áa¼C’•©g4VêõaR?pœà•Ù•£@¨zóGÔ!RdƱwÊ_æÿ •¾ñ†lcŸÎyÿù7µ g÷fxòF¶©áƒEÉ2!bƒDÄJæGÞYX8¶Mn¿rÑâ&¦‡Uë[q­ +¼Á·÷áоŽßU ¬9 °)]Hûú’: ÞãÓ€ïJ;H¼–ú.×Yv'lé}m×½rXè€ËH±þÇõäoÚ#G· +endstream +endobj +211 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 19 0 R +/F4 20 0 R +>> +/ExtGState << +/GS1 21 0 R +>> +>> +endobj +213 0 obj +<< +/D [212 0 R /XYZ null 906 null] +>> +endobj +214 0 obj +<< +/D [212 0 R /XYZ null 879 null] +>> +endobj +215 0 obj +<< +/D [212 0 R /XYZ null 819 null] +>> +endobj +216 0 obj +<< +/D [212 0 R /XYZ null 793 null] +>> +endobj +217 0 obj +<< +/D [212 0 R /XYZ null 532 null] +>> +endobj +218 0 obj +<< +/D [212 0 R /XYZ null 644 null] +>> +endobj +219 0 obj +<< +/D [212 0 R /XYZ null 623 null] +>> +endobj +220 0 obj +<< +/D [212 0 R /XYZ null 623 null] +>> +endobj +221 0 obj +<< +/D [212 0 R /XYZ null 623 null] +>> +endobj +222 0 obj +<< +/D [212 0 R /XYZ null 607 null] +>> +endobj +223 0 obj +<< +/D [212 0 R /XYZ null 607 null] +>> +endobj +224 0 obj +<< +/D [212 0 R /XYZ null 607 null] +>> +endobj +225 0 obj +<< +/D [212 0 R /XYZ null 591 null] +>> +endobj +226 0 obj +<< +/D [212 0 R /XYZ null 591 null] +>> +endobj +227 0 obj +<< +/D [212 0 R /XYZ null 591 null] +>> +endobj +228 0 obj +<< +/D [212 0 R /XYZ null 575 null] +>> +endobj +229 0 obj +<< +/D [212 0 R /XYZ null 575 null] +>> +endobj +230 0 obj +<< +/D [212 0 R /XYZ null 575 null] +>> +endobj +231 0 obj +<< +/D [212 0 R /XYZ null 559 null] +>> +endobj +232 0 obj +<< +/D [212 0 R /XYZ null 559 null] +>> +endobj +233 0 obj +<< +/D [212 0 R /XYZ null 559 null] +>> +endobj +234 0 obj +<< +/D [212 0 R /XYZ null 453 null] +>> +endobj +235 0 obj +<< +/D [212 0 R /XYZ null 432 null] +>> +endobj +236 0 obj +<< +/D [212 0 R /XYZ null 420 null] +>> +endobj +237 0 obj +<< +/D [212 0 R /XYZ null 404 null] +>> +endobj +238 0 obj +<< +/D [212 0 R /XYZ null 404 null] +>> +endobj +239 0 obj +<< +/D [212 0 R /XYZ null 388 null] +>> +endobj +240 0 obj +<< +/D [212 0 R /XYZ null 388 null] +>> +endobj +241 0 obj +<< +/D [212 0 R /XYZ null 372 null] +>> +endobj +242 0 obj +<< +/D [212 0 R /XYZ null 372 null] +>> +endobj +243 0 obj +<< +/D [212 0 R /XYZ null 356 null] +>> +endobj +244 0 obj +<< +/D [212 0 R /XYZ null 356 null] +>> +endobj +245 0 obj +<< +/D [212 0 R /XYZ null 340 null] +>> +endobj +246 0 obj +<< +/D [212 0 R /XYZ null 340 null] +>> +endobj +247 0 obj +<< +/D [212 0 R /XYZ null 324 null] +>> +endobj +248 0 obj +<< +/D [212 0 R /XYZ null 324 null] +>> +endobj +249 0 obj +<< +/D [212 0 R /XYZ null 308 null] +>> +endobj +250 0 obj +<< +/D [212 0 R /XYZ null 308 null] +>> +endobj +251 0 obj +<< +/D [212 0 R /XYZ null 292 null] +>> +endobj +252 0 obj +<< +/D [212 0 R /XYZ null 292 null] +>> +endobj +253 0 obj +<< +/D [212 0 R /XYZ null 276 null] +>> +endobj +254 0 obj +<< +/D [212 0 R /XYZ null 276 null] +>> +endobj +255 0 obj +<< +/D [212 0 R /XYZ null 260 null] +>> +endobj +256 0 obj +<< +/D [212 0 R /XYZ null 260 null] +>> +endobj +257 0 obj +<< +/D [212 0 R /XYZ null 244 null] +>> +endobj +258 0 obj +<< +/D [212 0 R /XYZ null 244 null] +>> +endobj +259 0 obj +<< +/D [212 0 R /XYZ null 228 null] +>> +endobj +260 0 obj +<< +/D [212 0 R /XYZ null 228 null] +>> +endobj +261 0 obj +<< +/D [212 0 R /XYZ null null null] +>> +endobj +209 0 obj +<< +/P 201 0 R +/R [222 56 657 780] +/V 196 0 R +/N 262 0 R +>> +endobj +263 0 obj +<< +/Length 1582 +/Filter /FlateDecode +>> +stream +H‰ŒWßs›8~ç¯ØGèœ Àøá’Ôé¸mÚLìtæ®éÙV‹Áƒäº¾¿þv%aÀmo®3©õcµ»úöÛ]Âƹz³ a#8Å¡ŸÍºŸ–;k\~ãDð7¿B೎Àü,…{øü%€ÒIã©? Ñ‹;'b¡tÓÊY:7+çê.‚Vk'm¬P‘Ðj‡66ø·*è¿£óÙ½†ûÅÃY°EÛìÛ¦àR6-45ä5Ü=¼¹ö&IœMÝÁiy{‚("oiÀpÝû²z‹Æcc<Œµuü±æ§iè§3²ÿÙýàÍxaæv¦þæf +ÏîíCðìY}ö2adôEg},ñFúÜÛè¥!ÉkQ+ÞÖyÅ`ÿ¸Å–’—°Æ•¢©UÛT•¨7°ã»¦=y«¯ˆÍ$ôÃ4Âê5z¼Ëë|Ãw¼V÷ÂÄýQð½MM–JÍŽC£¶3Ë>à%`›ã>H^ñ‚V¡Yc˜½0u7B¢sRßqõ + +¿ò–ÿÚ1T +/|›{Qè~Í¡%U´x¾™—¤®?ŽÞ!òS{ÝA»µ dÚÃ^ÑMÏkñþÊ+Ô.U®RûœXŸ »¦æ}Ä‹ƒØí›VåµßÎÒ1:L€HîE©ûVy›WÚç ¸ŸKyUZ +Å¡myxçEt¤æÕÕ“DeÏâÙ”žæí,—a®AÞÝ><‘¿¢†o^™³èrÉýy´€$f¡kÍÐ$p{ˆôÞ¼Î_*n¶žÝÅÍš +cÛŒFÈza&†1†fEtê¥9Š7u@K3nꛋ5-ÆnQq.ÍMÔfti‚]˜`‰Ø›º±˜{¨•¨ÎÊÌ ¿j‘fMre¸‘º¹@×ôtaí絑%Gï=ê–¤=šZíÒLŒSHÌQ¡}±jÌæ71âÄwiv.`1ü0f¸~ŽŽ‰*{k²¶f󽎢]úZƒ…3[Iž¾;¤Ñ©‹€KP +&7+ ŽBmAgô€RHò©Ó9…îÚfó<<'U{0…ãØ +â¶Éx“?„Š¥¾vE +2Å.Ì\Wè œP­1Îïeˆç{—Å^’¸& +ÏðÂ}.¿aö-îMòQªêÌæb³U½Ís¢.…‰eyÈ«êÔ!Õ“sêÊÉÆCà^N&"T éHÚùù®äìÍüSWl•Ħª1×<—¢Ð]4IÕò Ë1VÜ¡ÁÅÆ7ÒxÀ‹ˆJ(íϱŠ[Çô¡AïÁj¶X#cH¦Õe“¡Í=É#)÷ +âVoFL÷.‹] eƒ´¨EŽµDÉ„äP›Höxv‘¢zéhêH¸yAg‰¡zCWü5µXQ . ¸ ¬Š>Ìœ’ÁHbDZ¢öÈØb…ƒU$Ö£T$ø ±lc§»"R†’·úÒCºjvíƒ"qÜæÊ ªe{ž¥ƒÉÀ˜N4ºFnééýÓnôéyKÙn{ÎH¥Þ­»>`Uÿ0 +ÌC&€(N||»ú§ßE+o¸×7ïçùKŸ¼ÙÌ°Ô<|ø0ݬM·œ÷Ád³(r‘û"äÈþXÃñSJ³.5G¹.ìÉlš¹o’†éÔ +~¼¬ ôÿ +rêý +–)¤Â³Œe(—Ñ‹QËMBŠtw2ž¼?TJì«ÓÕë®ðW$Ågy¦5ÄS6Z9køh:i2‹Xo4ûÉhŒ‘žXœÂ »m/ó/"ù+d]Ú8çidt|0„= Î:>ä;þ{˜Ñ×8Ë°%u¥­oUç[†V†Æä„u‘Ûh4W2z¨CÕä%P‰tŽ5WŶ×Àþ[ƒD’ñ^:¶Ò×e‰Õ |ÛÚ‚ÿc+ùýé ;™•\ždí¡ß˜Ù›–çßX  ׉¿­IÏrèÊ@4´¢Ã¯„§® öb‘»ÆN»Ýq% +øhߨÔGê¹Ç<³ò#z§šÞØŸYÿ…¥KbU5Äû#,UÞÂò·¡:ÙOœù +©‚_|>}ì9q<óñ,¦¯úÂÎ+çæ•gÌg3`‰Ø¹ùW€Úí!  +endstream +endobj +264 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 19 0 R +/F4 20 0 R +>> +/ExtGState << +/GS1 21 0 R +>> +>> +endobj +266 0 obj +<< +/D [265 0 R /XYZ null 907 null] +>> +endobj +267 0 obj +<< +/D [265 0 R /XYZ null 763 null] +>> +endobj +268 0 obj +<< +/D [265 0 R /XYZ null 737 null] +>> +endobj +269 0 obj +<< +/D [265 0 R /XYZ null 536 null] +>> +endobj +270 0 obj +<< +/D [265 0 R /XYZ null 509 null] +>> +endobj +271 0 obj +<< +/D [265 0 R /XYZ null 406 null] +>> +endobj +272 0 obj +<< +/D [265 0 R /XYZ null 379 null] +>> +endobj +273 0 obj +<< +/D [265 0 R /XYZ null null null] +>> +endobj +262 0 obj +<< +/P 212 0 R +/R [222 56 657 780] +/V 209 0 R +/N 274 0 R +>> +endobj +275 0 obj +<< +/Length 1957 +/Filter /FlateDecode +>> +stream +H‰„WIwÛ8¾ëWÔ‘œ3\$Jž[Û÷ëtû%š™C܈„$vSZ­þõS…79é9Ø*¨µ|UHà°xÿék³H ‚E 1l–I´¹í´\ìqùÓ"…Ÿpówˆ£,‡3dÑ&‡Ïðí·ÊE¾\GëVnñ´H³$ŠûÏzñuq·]¼L!í~±§ü¡eJ‡¶'ÔqÀ¿mAÿ΋oÁøüôü¾dqé*´jµ*¤1Jƒj@4ðøüéCx³ZnÖA’Á£ÜéNè ¤qœ†7iœÇY,Ã߶?-’Ô)ůugôƒj¿Û£ÄãÉ:Õáh™ÜUžxj¬ÔºkÝg<˦¬šï½dY.ë’?*Ü(„•†žUqd²êE>)´ä–…E¼<˜aUËû¦úÓYÃM%y¾†í=Ú‹¶@!P¨¦‘…•%Xö(@†É*ø56¢†A5ÔU#M¨vÊZu{“4P0ˆ;ëÊŠ]-Îí?P“hèrñm êZ…é*8ó§u¦"aÔžÄÄþN´¥ø·ùá¡Ñ#/ðÝãMÀ½ ÇÐó±­™¼‚0m,E\ÐEcÒ° +xWkå©õnÛIÀOamu’ öŽžj4ü»éŒsÔMš¥;¼­­Tñ߉;-—YÜËZ\xù%¸» =ekq`šmgºÚ3;‰™">ÃW +ãÀó8¯—||w™SÁXÝÄ‹4Ø9Ó tVåyÀÉñðüKž4*ƒQ‚£ªKã|!ÊR£'z×LŽŠìÈV¸C3›¡kj@w÷À'cœ§‰É©Î{Õ•#-ðÁFNUI§ +h~9VÐøB˜îEð+©¸Àöç;À¬8É“BИJ>݉^+m;,¨Þ3«pqæ£ïø!Ÿ$ É~ÿ¸d@ÄòN£Ü—w°Œ–Q +ðñ9†ÏlÚgшƒ<ÉƆÛßGul#*lÃõ-烚Ygà%xh¬¾üë ¯^‚£þõ%t‘uÆåK(…ð¦9–{'aα˜#fOBΕ=n¹$ôd½Ž1_þæqàýöLá-à—î´C—‘%tæÃקûQgÔ[7JÀ"–NÄ£§QP­0þ½uht§}bÑÅQM!Š#ë;¸G[0Ó×Á;4-ITY•ïàS­v¢~ 1ÿÃM‚Ø3V¬¦tCwdâ•pŒu ¬á–è ²‚w'ÉgþéO] |Öj'ߜ潖öFELç`#E «[’ß8ëNÂG9„ ùQ°¬|ÌÞ¹Êw|TLùËõ%U+Qb>#z«!PCJ‘áŠê‹å¬"5.°'Ž²ØÙÞ*jWéšþî.ü+ü2ßz`A0ñÔ”\¬ü©ý&é®VAÄ;ÛžuƱyÃáÎT†Mujë¹ Ô†Ù4×0WÇÆï,v1Ä…+_¡¼nwW)[&à¿Øo%°q3—Q/ö1Ä.oÑo„»£øï¸ÿªêýH@Š8ˆšÐ¬ÝÅmšõµÉÆQÙhìÔ‡£Ã÷,ý¿êsASNW"YòøºìÇ×ì6‰ò[_±_¬úÖbf-âÍØ›å«éØ‹·;Š0ƒ×ŠpÓ7v7v_ +Üe§É:Bši'sÈ ¸:^œ¦iÊÕ‹7ž#¹¯ÜÚ»¦« ÜY>"ø³˜ +¸ çÅK¨ÖxV"ÈzTÉ_¦ÓÞŒQkåzϺ ÕøCWÀx€]`/ XgІJ‡MB–n±nŒf¹0^*‚Gú QC;ç¶Ç)f [‚¡eæ‡Öº†é¡õðç^«S;Éo$}by)ê´™ëˆU4DLnûSÏù·òÆ`m.:W2ÇÞœß&ÄXÑc!Sã­j°ÝÀÏ’þ5óƒîš†ü:ŒfCí.÷Ъ²¦…*eĉÿ£‚IóIÁä0ŽVíßLš­®ß‰î¨üÁó#ÓÎaø[V…ª’S:#ÿ(¦8/u#=»Ï¿Œ†fÊ´Ýå^…騔_mL¯$ü8T±»ø‡g6kBþé°î…g9 çÅóQOö`mø2HœDÛò{‚úœVÝáÈ^rîf?ÞßöÊøýJ‡3qujf6ï•£OÀ™ä¿û§ +Ô-q¸ë4M[Ð +mý[–Zƪ:Šz¨"DãI aÙ±³þÜðÝ\¥‘ +•$‰ùY§Ò’+i02ÝËë ß½*ýl>ð ¢ä›÷5¼Ê¸¶þòØø&æcKà yÔ3õ„ñØ: `ÛÞGÂIæ"›7`΄OPí1²ÇÊöx1UÁlË>Wxë/©UÄëÛ^ öbQQåŽæ^9²KëÁ¡ìš>à3Í +ß ›is3‰À +Þµb~ËýM*1~÷äm÷"Ø`X¾ó({Ø.þ'À¶Í±, +endstream +endobj +276 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 19 0 R +/F4 20 0 R +>> +/ExtGState << +/GS1 21 0 R +>> +>> +endobj +278 0 obj +<< +/D [277 0 R /XYZ null 640 null] +>> +endobj +279 0 obj +<< +/D [277 0 R /XYZ null 613 null] +>> +endobj +280 0 obj +<< +/D [277 0 R /XYZ null 530 null] +>> +endobj +281 0 obj +<< +/D [277 0 R /XYZ null 503 null] +>> +endobj +282 0 obj +<< +/D [277 0 R /XYZ null 442 null] +>> +endobj +283 0 obj +<< +/D [277 0 R /XYZ null 415 null] +>> +endobj +284 0 obj +<< +/D [277 0 R /XYZ null 305 null] +>> +endobj +285 0 obj +<< +/D [277 0 R /XYZ null 900 null] +>> +endobj +286 0 obj +<< +/D [277 0 R /XYZ null null null] +>> +endobj +274 0 obj +<< +/P 265 0 R +/R [222 56 657 780] +/V 262 0 R +/N 287 0 R +>> +endobj +288 0 obj +<< +/Length 1894 +/Filter /FlateDecode +>> +stream +H‰¤WÛ’Û6}×Wô#å*!$xœ8™Éd3¯-ïVÊÎDBcŠÔò2òüýž@‘{²UkWI¢}úrºtXýp÷. C¿ +¨¢•O>eQ ²|ztzµÇòÝJÒ¯þE¾ºP(²„èß>•«$JE*)6‹§• áOŸõêÝêÇíê‡[Im÷«Œ 9¥H²ÒöŒþ¶ÿwY}ðnèáþÍ;zú¾O§ªèÚs׺ïÛŽÚ†TC·oînÖ›8ÊR/éVïºQuO$}_®7ÒOüÐ âõŸÛ_Yð 2èxLðy,’ñ?x‘H‰Æz¨Îu¥;ú©Z¾÷X•ºsV\´VäÕJ’‰<±V¶G +ô(÷fKüy0'cgÎ,ŒM5XÕA}b(ÝÛÏ·›áéì¬TM?tc1Tmã¤ÃQ +Ö@©ûêШÁ©6ÒZY·†½u{‡ªt7ímís§MD>m$IJÛŸàº*]ÒxnAµïCOªÓtéªaÐ + +-1Nàµ/ìÓGï—{T¥¤ß~ÿ¸¦K5©i©ÔµzZ'±g,övû +`_î.PÏ#ªÒ@ôu8ک曨zâ´žUAÝŸØøÍØ'õSc¯Ù„å]Žm­i¦ά$ÖÛ¿ÜHlU“©ªa–G˜è¦|äôª¦–¡æH¹2Ä4gýu;—ìð&Œ¼Y§¹§>UÍ% +rïc(£ßóš«+}ïÝ :¬ÅVªz÷´Âêµ]ŽNߤ÷óÐ)»¾×j;Ã0‘n»¼sÛTYêÒ½víØ”VÏOÃÈ +hpìàH  êºen_æêNÞ +Þyï›}Û +#sµ~⥘µ>GKßð¶Ù—£VkÉ +h·Dž­xÕØf)zã^úÖm³»˜<ÚA9Éξ‹×ºv/Õ.L¾^À¡êôœ#Ïç‡ÌS7?¼XD¯U˜-/]¦þrXk[ð[{êÆÔ.õYã¿f¨Ÿh·–7Ô¹Ù»óñ©¯ +UÓÛ›*GÍŒ¶Ã€\ôL£k1z}VRO¥”é“ÅT¡“>µ›g¨G‹­3ô6†ÇŽQªÆ¼Î¬¤©ÄT +3ÔeÍÜ„±¾¯vµq¬7ñ©3“vì +MHz[(¾C¿r#$Pø÷3“+˜É5*öy3ƒ²ûªàöã¹ ¨nü5Ì + KÎI½Ç²*˜O|ƒŸ˜$ÈwOû®=ÙÐåXG¶³ç¹¢xúÌHÆœM˜j·U×s8ζ~äž³9ã졃5 +Á÷|±»îÑ^ûgÛl¯hSm”9x +¥tº¡”™Ó!÷u‘™»ýxæ›GiÏaVÃÌë C4Û¶ëõTGçí_°g.57(g=aEp1CG=Í«}ûv‹ƒ~<£>pe§Qi7¿®G1|ít­Ñû¥mÂkpî´‡ÍJ3’åE¿ôoÆ°ÐE{Ôn,eŒðçíê?Óu4Mí]-HpÏã;’ð¾Þþ›\~Pó…Ìgµ Zêí_­þi}¾ ¾¯ÂPŠ0¤$Œø›ÅÂh“ûÀ†_]åq*âh’K)’ì™Blù7 +)ŽÒ8¢X„±S0wëäùñ†¡SŠ£D$ùt½öüϾûÇ ØøÂO#€‹(Ž¹S ÏrI+ºy&Šó8u¢×K«ÌaÓfÓÜn~ßcˆšm‰ðó47‹ÿ@‹èš—Q%Îk”£2%Èxþ­Tø"ÆïT'ãÔGЈ‘YNöiÅ÷xŸQ.2üäÀoÁ‘ŸÝKóÍÞà;ä¢Ö«Þ;|ŸBÎT€ÔˆÂˆ-)§ß•µ6ÞCõ™ï2c¦Í¸2\£ôy´A£Ìxÿà¹aü3ï!N’…÷–µÎû»¯"ˆrâ~‰M]ÄPœ:°Õ"²G1<°ß±HS“éÐæßeÿ;Œ|+ŠXH§¿È×AHÀæ |‰¦‰ø<â>Òlñeÿ¯•—ÃS^\vÊÔ®ëƒH©ëw®öÔ> EôRË»Nã$Lí–"YI0õ[àK~^GË> +/ExtGState << +/GS1 21 0 R +>> +>> +endobj +291 0 obj +<< +/D [290 0 R /XYZ null 298 null] +>> +endobj +292 0 obj +<< +/D [290 0 R /XYZ null 900 null] +>> +endobj +293 0 obj +<< +/D [290 0 R /XYZ null 548 null] +>> +endobj +294 0 obj +<< +/D [290 0 R /XYZ null null null] +>> +endobj +295 0 obj +<< +/D [290 0 R /XYZ null 907 null] +>> +endobj +296 0 obj +<< +/D [290 0 R /XYZ null 907 null] +>> +endobj +287 0 obj +<< +/P 277 0 R +/R [222 56 657 780] +/V 274 0 R +/N 297 0 R +>> +endobj +298 0 obj +<< +/Length 2844 +/Filter /FlateDecode +>> +stream +H‰¤WK“ÛÈ +¾óWô)%¹"šý&¶wí²g\®ÊÆÄÑp#‰ŠH­Ëÿ>úERÖx·¢Ã …@ã +ζÙó7wœm»Œ³†e+X©x^Vñsª³¿É{äo¬È¥a_™ÌKÃÞ³_¿l“es+˜v‡ûLHžÜewÙËeöüµ`œ-²’9!,)ADË=dlñ·\Ó¿¯Ù¯³ìýÛwì£,Š‚í›õ©=žÚuÝu퉵¶:°×Þ¼˜/´*íŒKöº¾?W§oL…˜/Da +9ãfþeù.ã ÅGˆ2çãЭR$‚:Ö?Öl½Zãsp@{j¶Íaµc›ºk¶öuÎùlÕ±Msª×=Û¯ŽÇzʦÃïMíîÒßçÜÌjèÑ5û…“^°Ϲ1–-ÊfÇ]³·=äˆä¸ûÆîkÏ¥=÷¬}`_¼ÏÙï›í¶îz:`õ®Þׇ¾£·“4z<[êùò·lù Ï ÃTkGßåìÅnÇ.ÙºÝß7¨¾mæÂΠ«žÕóbÆV¬o{¼âb»oHRPŒÜ;‚˜Uë +3;Ôõ¦£ŸzÖ·þ{_{T×·§zãÏšC y¬ýghO×tœ™I5Að?~Ÿãxµ;×õpb'Š‹+ÇÇo]³^í<´ÚlNšá«Ã¯;àvÍFîÉÚΓÿ™óbVwì|„9@¥%¡Ù¿¥4õn“³O‡‡öÔŸ«¾†Ûöç]ßw +ÌyÿÍ™šB +’à®SSÓ%éë+õŒL¯Ó¼ÆOé œõýÏöÁc½ppîœ]qt¬OþÈÙ3÷‡wm”VŸjŽbmïqõ¡=oýaw\­kÜÒÕá .Ê` +²@ÏîÛþqÈ„Øç¹â³&>@Ì a°#l|l»®¹ßµ“òó2ûo’ÒZTÉ7¹©ØBj•KIè3; –¤ˆ*•WËA.QIUÅsNïØ%¼À Åøîf°¡«ôÞ0ªäTžÜ4l8âÕÉÞꛪ 3$á¦y%a¦Òt<‚¾»™ÃUõvŸI‹PQuPRdŽ¸¢ðÒÁýÉy Aû:ˆB\H¸Z¨\ ê ³·‡®?×}ƒB5.r­¨ùŠ[íjþ+Wò€C¸{YÆâ&ÈJRûœ†‚,5™ÚhMm˜t79×€e.4tOxzë èîV°d4®£|)Ú ÁI…@… GzÌÞ)[Ž8 +)h¤É…º’‚)Fay8Î „KÒ±Ì Š‰pÐ(BºÃßÝÊ`dWO2Ø%±x/a€G:ÜÈá{ËzÌÞ©[Ô½»ˆ~Ô•A„ZJý)¶‹œKÜ…ýO«~¢I2øH#»ËkeòÂG«E2±¶2¼&¢ùÄä ß9qÛ[Ù ž +$É΋ˆwFpÒäVßy*`’§åwžRÔ%5*¶²qÀöÞbÂûKYõ¤¿à- U%ê!uEÉÐÁÀÎy«¼î- ôÜfFS÷/ +h¡§føîV#?y’ÁʉEÀ{ <ÒáFßûÉc?EÊ ?ÉÊúe‰S/p5l:ä +rîS?1Â;ê_õ©$VtU$,Ç®ºžXŠÊ'O–V¥ Šèbbù„¿H¬ÿ—Íà°@’Ì=°ˆx'a'MnåðÃ&9,Q^w˜*M.ùXN‹ä¹H©¨LE +˜\üËÁ•L!¨Š!ÂË©QôÃe-žÿâ¸Y:ptNUa +špvŘiA BTmî%+)„Å£Ñ-µ ¢ûË(¿cPhŽ¨aöáÔK(ˆJªP5}½˜hº|<µçícÔõU{èOínWŸ‚ô4àW†R”f8ÁÝLkÉÞ”ýÚ +ä_øM-Á‹ñ­|¦áDÕM$ì>Õ‘ún²ð^"K3ÈÖ(ºb$‹²OÛ1ðÝÍ®j°IûD}¡½¶¹Á.†îåRGãfa*ÃT+Þú1ÁßÝÌáB{`y‚›B.Ê·»PõA/FÁx¦Ú‘{r„Ñ +¨í ʃbÈ`󊻹ΌÖñ"ª>‘«ƒ@ (ó#VcHn(ò°—o:…ÆÊ®³×—ÈEþžÌ7eR‡ú»?pºVžg!"U|JåBm.#Š9K¼äS”b@k¦†ËŒ¼#D§Ë^±‰ÞkTÂ%«‘ƒ¤‹øÁCŽNÀ«í¹»ƒ‹¤¤"n¢·%S:`pPÀyÄkŠL#ìEÆ‹^‰²ä¡ø@œØ‰cüA´<19†dCšŒCjŽñÐÈ1í-Ÿ.0òŽp.{Å&zƒ>gÔÈ1"®bÁ¼Ž¦§IM»;8, +ƒlè¡Á5ë­o(ò°—oz&úŽ}ƒU}ã¢ñ‰™|C²ÌÈ7¤I5ò +¾¥LöóÐÈ7íŸ.0òŽp.{Å&z“oÐvŸ¿V õ!ã…￘H§8°yJ7÷þ:{ýöͧ?3´'ægŠû9¯fçŽù ä|šórVÏ¿,ß¹Þ‹òB]ßG¢(iXÚGXbæ·¾Q<uÇÙ«;„³ç»{õ?q“„ÐŒ˜*ÆbÀDeäùÿñM⯬[U€ÿ„þ|“£«¤:,0$ +ziIóMŒ%ùãÑËgãçcÍ +ó¨ ®iÊä–†Á)ҀƘ #_ „zÒI+Äu[=À)1ÑÅ3ŠdUIÈ¢<["ÄVší´U¤ °¸6¬uË¿¿d«5íuaÆ£%RèÑ û"a]|á!X ᩧ-BmFŠ½f)UxF²ÇTÈ%Ö©Qpš$Q9Ö"9Ë#¶Ž„·×l9!JRò‚G&c!Wð¤ˆrSúÓŠÄ| +Ì1¦º"i"%EÏT“Q*]÷ mZ–ÿ€ DØ„ì2T§R®2š§_Lã*zÁ]3!ºb‰+ø”ØW#h²öé†7Ü©1ZÑ:€Ï‰‹ÍOcg„×Xa4;ÚИۜˆó¾@F•½¸½Øáj±rÚó®H@ó)¬_ó”žnŠ8SÜI‡¡<îÓq³êëÍå&ö?Ò«‡Aˆ}åFº h”< ?èÖ±CËPUý~íK(bcŒœ\¢Øòù«á…çÅ,ÅN¤è6Ñ"´Ãð”Y­3ü>x3€ÆHñ–ðäDÈ78šË/ëùþCÇ +*QQe +!®Žsik]^»ÕFèRàÄ0S´qû#Y®§ÐUã3wáݶŽÐ€$Ô(‹sW×ZV|ËÝ÷c$’Ãçëaû­}K]^™ùºÜÀûO€+òd¶ +endstream +endobj +299 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 19 0 R +/F4 20 0 R +>> +/Pattern << +/P2 300 0 R +>> +/ExtGState << +/GS1 21 0 R +>> +/ColorSpace << +/CS1 41 0 R +>> +>> +endobj +302 0 obj +<< +/D [301 0 R /XYZ null 613 null] +>> +endobj +303 0 obj +<< +/D [301 0 R /XYZ null 394 null] +>> +endobj +304 0 obj +<< +/D [301 0 R /XYZ null 382 null] +>> +endobj +305 0 obj +<< +/D [301 0 R /XYZ null 814 null] +>> +endobj +306 0 obj +<< +/D [301 0 R /XYZ null 793 null] +>> +endobj +307 0 obj +<< +/D [301 0 R /XYZ null 793 null] +>> +endobj +308 0 obj +<< +/D [301 0 R /XYZ null 793 null] +>> +endobj +309 0 obj +<< +/D [301 0 R /XYZ null 777 null] +>> +endobj +310 0 obj +<< +/D [301 0 R /XYZ null 777 null] +>> +endobj +311 0 obj +<< +/D [301 0 R /XYZ null 777 null] +>> +endobj +312 0 obj +<< +/D [301 0 R /XYZ null 761 null] +>> +endobj +313 0 obj +<< +/D [301 0 R /XYZ null 761 null] +>> +endobj +314 0 obj +<< +/D [301 0 R /XYZ null 761 null] +>> +endobj +315 0 obj +<< +/D [301 0 R /XYZ null 745 null] +>> +endobj +316 0 obj +<< +/D [301 0 R /XYZ null 745 null] +>> +endobj +317 0 obj +<< +/D [301 0 R /XYZ null 745 null] +>> +endobj +318 0 obj +<< +/D [301 0 R /XYZ null 729 null] +>> +endobj +319 0 obj +<< +/D [301 0 R /XYZ null 729 null] +>> +endobj +320 0 obj +<< +/D [301 0 R /XYZ null 729 null] +>> +endobj +321 0 obj +<< +/D [301 0 R /XYZ null 705 null] +>> +endobj +322 0 obj +<< +/D [301 0 R /XYZ null 684 null] +>> +endobj +323 0 obj +<< +/D [301 0 R /XYZ null 684 null] +>> +endobj +324 0 obj +<< +/D [301 0 R /XYZ null 672 null] +>> +endobj +325 0 obj +<< +/D [301 0 R /XYZ null 656 null] +>> +endobj +326 0 obj +<< +/D [301 0 R /XYZ null 656 null] +>> +endobj +327 0 obj +<< +/D [301 0 R /XYZ null 656 null] +>> +endobj +328 0 obj +<< +/D [301 0 R /XYZ null 640 null] +>> +endobj +329 0 obj +<< +/D [301 0 R /XYZ null 640 null] +>> +endobj +330 0 obj +<< +/D [301 0 R /XYZ null 640 null] +>> +endobj +331 0 obj +<< +/D [301 0 R /XYZ null 506 null] +>> +endobj +332 0 obj +<< +/D [301 0 R /XYZ null 485 null] +>> +endobj +333 0 obj +<< +/D [301 0 R /XYZ null 485 null] +>> +endobj +334 0 obj +<< +/D [301 0 R /XYZ null 485 null] +>> +endobj +335 0 obj +<< +/D [301 0 R /XYZ null 469 null] +>> +endobj +336 0 obj +<< +/D [301 0 R /XYZ null 469 null] +>> +endobj +337 0 obj +<< +/D [301 0 R /XYZ null 469 null] +>> +endobj +338 0 obj +<< +/D [301 0 R /XYZ null 453 null] +>> +endobj +339 0 obj +<< +/D [301 0 R /XYZ null 453 null] +>> +endobj +340 0 obj +<< +/D [301 0 R /XYZ null 453 null] +>> +endobj +341 0 obj +<< +/D [301 0 R /XYZ null 437 null] +>> +endobj +342 0 obj +<< +/D [301 0 R /XYZ null 437 null] +>> +endobj +343 0 obj +<< +/D [301 0 R /XYZ null 437 null] +>> +endobj +344 0 obj +<< +/D [301 0 R /XYZ null 421 null] +>> +endobj +345 0 obj +<< +/D [301 0 R /XYZ null 421 null] +>> +endobj +346 0 obj +<< +/D [301 0 R /XYZ null 421 null] +>> +endobj +347 0 obj +<< +/D [301 0 R /XYZ null null null] +>> +endobj +348 0 obj +<< +/Dest [290 0 R /XYZ null 907 null] +/Type /Annot +/Subtype /Link +/Rect [329 465 429 478] +/Border [0 0 0] +>> +endobj +297 0 obj +<< +/P 290 0 R +/R [219 56 654 780] +/V 287 0 R +/N 349 0 R +>> +endobj +350 0 obj +<< +/Length 1575 +/Filter /FlateDecode +>> +stream +H‰¼WËvÛ6Ýó+fIæD >$-&Îéç9‰vv KˆIB% »ê×w€D9Ñ¢«.lâ1˜Ç;ˆÁ.y÷é+ƒI(H +(`U±|µŽŸI&¸ü)áðn~‡"/x2_5p÷ß +è’¦ZæKµ_^²¼ˆÓ>ùš¼ß$ïn90Ø<&+ðF UÜ m´±Ã¿Mëþ½$÷é +Üýúù+|)‹¢€Aµ“>Lº•Æè ôb„ÛÏŸn²E]­–)+áVn§£˜NÀ‹‚g ^4E™²eömó[¸7ŠŸ`uÙ”îƒfïÓv’ÂJ¨qWŽ´¢ÝKj4VŠ„»—kÒˆI‚Äh8-Xíöàhä”ÕMšÃg%‡­²ðåæÎ/`ÁrÖ4KØ|@sϯSÑå[tÔnþœùC‡ýɨVô ºnÂ`1ÎÎËᱩ΋ÅSƒxÊX‘JPW~ç¡,Ùw˜7„káؼIÒîØÊÎÇhÕ sTç·¾„ßñ¤ƒœ(v0æ­¶{oÜA1[«<ðäP'¬È6ßvÄÐÓcr~:ýFö²õHÚë#û’1–jà(|Bôæf¯èüN¹hŸ3V§2+R1ŽûD×1¶; ñÝCOp ÿvÄÀÖ™wn^ãOV/1Uâv ¸ÕÃb¶±U£p±’ÓmŒÚöÎ{5î¼Ò&Æxé蘟ӽʛs¾1ZdcY§ÛŒéÃ1n^¥éq’4U#}oÕŽÖêiMGuØ;ˆ]Üi‚’=…nHÐîƒ6Öu»ÂZ·ÙÑÌêðâƒôtºÆ\RäªaÒÇÝéÖ©IzV aí¤ŒÅBê W㓧‰ËOv°y§(ÿ{(±ù—IYI¤Çªì BÚ +É pþPuf¶…åæVO„ê•UØIÎLá9¯‰(7Ћ)c«SA A°ÄæºÚJœ¢K±¶r¸u +{Žt†þá—?µ"õfî…£æ³+OqÑȉžßဠÐiìwÙÛs# ›N'=®A®AÕÍŒ©Ž½UI‹‡rÈÏ*@VªhųÙ1ŠñUpìmÒ³CH© Ô= èö‰zC scljV·º÷VÜTiLí 4ÍåZºŽM—×x¶¸ærAÚôãl,dØõ€"µ§ƒ¤j¥Qÿø%†Äiid|W§!–öV• èÓ«AYR€fœ¯SßOiwÔôuü"!ÇRZc<˜CˆhôV4ðwâ6‡áú…Ó^„††¼Å¬_dk2ßóf¸fi/Zíµ~:hQ˜xD…‘ÿ^Z8èÉñó¿˜ð=Â/GmØ$/²ðèèôˆ¬¥þÌÓ­OIû3ýÙ/b]â0 ©rÚÜd+$-wÒ’”À"§4–1 +Ü>'U:B/<£ÕkFû3Ä–ËÎæ{•K»ë[ì°Ož¤U¸Ì·bšTxùcïn+zü±‚bðªÎ94Kÿœôï°M¶.Ò›÷|„*‡Ê7W¾wT¾¿Ì^yQ–°à9£òÿˆE…­ÈẬñÅ÷ÏCJ•a_‡¾@ÒPdÁ£ðõ¤!=¬àH¬²\5i7oÕEZWåyí¸X®Ü~öùrÞv½"l×a›•ù²ž%°D‰&H,_#´8W…÷Ö:‡Ï®üã{¤›1zÕâ.;í¼R$so7<÷‹2`ˆ«uŽ@ÜðÒüµôýY|Á«õ2OÝ3ª ÒqÚˆA6…OÉU|èF¤g¡ŸPBx‚©ÉáÎ{i$ôÚñ¹ –ù?ó¨:óèj˜õ™Fy}•H‘&¬ºÊ¢edQÎ8)ø¸A_ð§Zî~¥%ÃL¬¡i*÷{Çý4[çuç}òþMRx•B]ׯ$Âœ$HIY7¯DÂÜ‹ü+À¯Ÿùî +endstream +endobj +351 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 19 0 R +/F4 20 0 R +>> +/ExtGState << +/GS1 21 0 R +>> +>> +endobj +353 0 obj +<< +/D [352 0 R /XYZ null 906 null] +>> +endobj +354 0 obj +<< +/D [352 0 R /XYZ null 879 null] +>> +endobj +355 0 obj +<< +/D [352 0 R /XYZ null 608 null] +>> +endobj +356 0 obj +<< +/D [352 0 R /XYZ null 581 null] +>> +endobj +357 0 obj +<< +/D [352 0 R /XYZ null 352 null] +>> +endobj +358 0 obj +<< +/D [352 0 R /XYZ null 325 null] +>> +endobj +359 0 obj +<< +/D [352 0 R /XYZ null null null] +>> +endobj +360 0 obj +<< +/D [352 0 R /XYZ null 915 null] +>> +endobj +361 0 obj +<< +/D [352 0 R /XYZ null 915 null] +>> +endobj +349 0 obj +<< +/P 301 0 R +/R [222 56 657 780] +/V 297 0 R +/N 362 0 R +>> +endobj +363 0 obj +<< +/Length 2277 +/Filter /FlateDecode +>> +stream +H‰„WKsÛ8¾ëWàHmÅ’’(ùèñLRžZW¥ÆÚÃn<˜„($$¡@;š_?ýø'5U‰6€~÷×LÔ‹Ÿ>=e¢v‹Lh±HE*ö›lµ¿?V-Ž@þ´ÈÅo°ùU¤«u!ÞÄzµ/Ä£øòG*ªE±Ù­v¹Ø±]äël•ÆÏfñ´øù°øéc.2q8.ö‚„ˆph“ã¡C 2jø(ñÏÛâKr'>?‰ß×išŠV—Öœ­)•sÆ +Ó Ù‰Ÿ?Ý-o¶›ý.ÉÖâ£z±½´‘§i¾¼ÉÓ"]'Ù~ùÇá7¾aáÙ†¤ÃO¿+²Uq‹ò“í*âQµx<ÊNÖªU_¾Žºg9_χëë-þÀõ/Éá¤@l¾KÿþûDwLð¼³OȦÍ-bÚÛ2K¹LÇÇKÓ9oûÒ« + Er´¦å-É?/)¿ñesdÒýÝ#ž“{Óyå<¹ 7Ù*+Š8ü‚Þ­* ’åK£‚ÍÏË•¸küÉôõIø“vÔÉÓ7•xQ@Q¢5΋ÆÔº”hœ­®N’¨Ã¿@€UÿïµUB +oµóÒ+ñ²ÌÓ¤óŽK´EYq„X*Yž„9’€b#^´wBwñ"`µr¢ÖxçUw5r4€;›ô¶EFAhþ6™JÛ¢4·â§"áüARe”ãÕIâµ×e¶MÂ)’ž÷ZÙ!ýè?”F¶9>5Ðt¯(Øä³Àü¡j´‹óiÔñÌfK\ϼ4¨WP:M,O²9òŠó•rºî˜™;ËR­˜þy¦d˜uüû¼Î7ÿy °iÌ’T¦›O^ZØÜ^15¯tø•ÖÊ®VCV@Axñ£¬J×'/^.¼X‰_1TCU' ©L×\DïTEiÒJ_ž0ü˜º+M‹¯ÚÞ`…rü{È +ɉ½GĈÈï„iõœH§Ì1H$vB{QiWž¤ÅÈÕx–#õ>Ï*ÑèN¹ ©µAVû©û@ ü±aGB¹;Œn¹,‹"Y‰~²Æ¡F1Ñb.kR’ [x@}™bA…ö}€Š¹šW*œþÎßPaa#¸* V„¯ppÿîà\:F&ÀÛÄ71ßzÌÊlÇð—¤|þNÀß´`I:Â^­| x©Äpòn± u8{¯èpÙôŠìÈÐÒp~*’ÎÒ±Ú°6½çMH¯Ñ0‹s’bG¨Ç-!†ÉCN»Fz +Mò²z¤¬ «î„ZßïçFB‚@š@²Øåv›¬&E͆æÓ0ÃW µæx‰ùÏ«“!”€•· ñ£òŸWgîž~áÕóz]¨ÈCv×ÌêƼD^àã¯È‘±r+é%eÕŸ€LþÒ\Ì\‡é GàŸl¸‰ëN© +NCá“¥X”“Š—gð²šCžíT#ú®•ç30#ìâzåJ>÷~z•Ã,0ƒÃ5c\l5X³(;Ñ×öpu2#û7 +Æa›ÈFÄÔp|0g±æFV6JZTØPmCÖ]ÄðÀ£?Χ‹£VYªXO`áÅŸÊ1OJ”ŽÀ+`S¸ |TÌ®'^¡+äȺ3¨À7¦sYgAú—ªŠ²†€TaHA4ž„áà%Þ¬†nŽàŠö/Õ™ŠAcmèºV kõcצX‡±ë Ì]k!îaZfû$ÌC.ÜÿÑܵYï®æ®õ-ŒKÃ<å˜2 Qpàû¯Þ ÒÃw²Šƒ +b½O¦3Ú„Áôy>„åÁt®. Ńg]I€‹³lŒhÁ‰FíŽ'À Çob³5E{‚28†¨£ )Iãb@;g¥à×uÆœyIí>h€g'̈@‰ÄKg¸eäÉ“nu#-ÁÔ”ÃU €‚ 0× 1r2-bj:Y:¤gGEƒ÷°T`•Þs +xª%AˆÄ9þ‚Qî#þÀ&r€•Uº»…p¢#ºãý#¹ºô T1œDù7Khòæ@vÿù?ïÊ_ŠJ56˜Ái0%Í‹Ñž¶‡ ú„€ÒÅ +Cí׊3ax :Ó6ybe¦,4õK˜ê0°ÜM6Å8›Íc +;1¦›bSøz8Wm80ŒsüI‘£UxŽ »ÙSïü­²Ø0eôÔ·– ±†± +{®‰>™h=µÉ=zwÈ9øò´6º#zD•Õ8+¼Æ!r–;a€ÈøpG$Š%| Z +sÆJ i/ô$Þ4Ù t×e°´d{Á¬©êW¶]›•“YïgÏÑ,Ä”4aÛx]Nƈf@ŽØ”Fl‚÷¥ê*>4TÁ/Wæäbi, –awê eˆüž6È'<\qÙžõ²‹œñ ÛÖ;hkM§ÁCÜÅdãiª±­…ŠŠÊG:t#6#˜ßÇ~ÕwîÒ•' Üþ Í.¾—@ºibïŸC&?v\Üyƒë5w蕸kBÛ½?Õ{öT•¾qÊAf”ÔC{„l×»Ó•uhF<ˆ×CªhPsˆ9m[MÏšþ㛧»IƒÝ¼k°âŠ?ÿ¡Ñfûlh´÷³"ÛqFá¾ÁÊ„eäO‡IE:ë­ÙmòБ7=¼tá ÆI>@*¦]÷<ŒÕMáè¦eiúú@ÉO +Àò¬JM}ÑÍÍ"¡•mT@¯ëT9€pxÍŒ°[$Ý«‡Hz‡”äÄñÑǨ2¡ÐËuÖña˜ iEv–ýdŸ6ñ†ð=$ûKaêÃGK¸¡¿`£„§ +öÆï?§tÊœ•¥·”ƒÃ™oŠ[0” å×MÜo©_éñ¿?i¼!Gð$ jÆblóïëwŽ·¨á‘ ˜”4^4®Ä£6Š} +\ì@œ_åK‹^XèØhqÇCŸy Íf”6‚³ê˜ÑÕø;>~œi^Q5Ú¾ñúÜðÙØzx‚Ÿ®XÿˆÇãìÖ‡èÍGlÈIq¶ÚÀõ +‰œàæÜdp×èu Q ¸GþzXü%Àì ›ç +endstream +endobj +364 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 19 0 R +/F4 20 0 R +>> +/ExtGState << +/GS1 21 0 R +>> +>> +endobj +366 0 obj +<< +/D [365 0 R /XYZ null 427 null] +>> +endobj +367 0 obj +<< +/D [365 0 R /XYZ null 400 null] +>> +endobj +368 0 obj +<< +/D [365 0 R /XYZ null 900 null] +>> +endobj +369 0 obj +<< +/D [365 0 R /XYZ null null null] +>> +endobj +370 0 obj +<< +/D [365 0 R /XYZ null 436 null] +>> +endobj +371 0 obj +<< +/D [365 0 R /XYZ null 436 null] +>> +endobj +372 0 obj +<< +/Dest [352 0 R /XYZ null 915 null] +/Type /Annot +/Subtype /Link +/Rect [477 326 589 339] +/Border [0 0 0] +>> +endobj +373 0 obj +<< +/Dest [365 0 R /XYZ null 436 null] +/Type /Annot +/Subtype /Link +/Rect [231 312 343 325] +/Border [0 0 0] +>> +endobj +374 0 obj +<< +/Dest [187 0 R /XYZ null 907 null] +/Type /Annot +/Subtype /Link +/Rect [236 196 341 209] +/Border [0 0 0] +>> +endobj +362 0 obj +<< +/P 352 0 R +/R [222 56 657 780] +/V 349 0 R +/N 375 0 R +>> +endobj +376 0 obj +<< +/Length 40724 +/Filter /FlateDecode +>> +stream +H‰äW[oÜ6~Ÿ_ÁGM°¼“z̵H´éÆÁ.…,ËötÇ#¯¤‰Û¿/’¨o=ã}Û‹ü†çöCò“›Õ÷?|âä¦_q²!+FqŠSWŽŸ®Y]þa%Èøñw¨4äHê ù@¾üÊÈÕÊ(K­ :€w+!9eãt»ú´zu±úþ œ\\¯ FHZ¤„_tq7øQû?«/ÅKòáýÇOäo’1Fî6u×ÞwmÝô}Û‘vGªy÷ñ‡—ëZ9[pIÞ5—ݾêþ$‚1±~!˜a²àåú׋W\£ø$«R2ʹ7û¥¸júºÛ\6Wd³#ÃmC>|ø õW¤YsSüQ7÷æÝõ¤oê0 äkñ)ŽaF³BSá=º¯nª,¸óòÁ2#/8åÆXrñ¶ät&€—_×Aêûw*’ÅUt\Ž '¨uÞñ NÞNþ­/~ŸI> +Xh“.Þ_{ò6»~èöÁRWû¾é#:4]·¿3áuÕu,ÁâýnØlÃϹŠ +ÄïÂÅw«ÂÿØ€Àö:¬»kîZä¥(%·X‹þ—mÕä¾…UòpÛtÍ‘ÚºJÞÁ¹¤ýK¼è)/är-X±ÂÒjÛ·ä‹/Ûn@B}—|•ÒîûÛ&8Wm·¹µÞ;ׄ;wûí°¹ßFsþO³fEçS,‹ +†»8¼îÚ݇íµÿ*O€ˆâãë´¼ßþa3Ô°gC¿Û¶‘(1QÏ·`¾ÚdþÛZè¢ÚîçÕíBA°<òµx·¹Ùwc•ñƒªã_×”¼<à"0Õ®…)6»°Øµû›ÛÀæëŸÉmåiÿ6²B*R·÷kΊ?SÖgëÕÕUòaLº"¤Ùmˆ:018¼£qèë#Ž‚4ÅPýÓ›iv³`\#ÇŠÀ9€jˆKÒlîÒ(äÉ[˜=I@Ú¶¾Šï«¾Gx (T¤ mà h*|ï7÷Ív³kÆÚ~Ê­©›+OÛá™jø²«võ-Œâˆnƒ« 2(J®Þ$Œc ÄݘÐÌDBüøæ5’éÞµÉØþþªštWý\í”J¿s±ËHôžô·ÕUë­<¬.¨¯ƒ°»±¯"w9Æ‹¦Û5[Ï`× ûnçGþ·º½jÂ6íšQ/«(ó‡FÚÞ_ô=ârø%žkq\%,ßXs¼¦x;Òöµ˜ÎÔøËÇ®½éª»8yÝtN'Ïð“ë ¦žoªêEVñaW +·q”äl–V/³F¤²Æª)­4â£øÑÆûÙÏn¦L°”‰;Oü埤Þ6UwxC@WG.7CÈÈÕ¦‰4c"'îúCÉœ™_!áEu¹mâØŸ(Õ +“WÍuN¡ŠËj"”FÂaÖ'ÙáÁ»ÞÆ <ìã¨Uô8G±˜ñÆûÑ“ömÓî“œ4;èÇ"œ¯¿è7‰.ãbxŠ +e{ëA¡‚JUb®Ç•‘èÌØN´Û+2Ù{{±úú#M¥#ÖƈYZ:òBIAK“Ú±›•@ ÆqLPn‰Ö¸ñKòB㿾1ûnõK¦NJj™›ôÉRQ‡V$)d¾ƒ Dó!t%N–¡K`Ô)eð¾[ñ²¤Ü·HÚQçÚ9cz¾ÙNˆ³ÕÈ(uŒÌRÊ*ªÑ<*]RÁKhÎMüLú0³Â…9vš×X•ÎVHª¹äç™××GÄÑRZ2Ë;PUfâ¼^Í>Ì+¢‡£†e ɆUá*F%¨Nqx$‹Üp*˜Í#¢\9ÇŽ¹åvö,ͳا)¶IÃ<÷6²è +£¥0sô˜›2ã7ͳè³ÑËQÃ2Ž,z8‘GŸ½@¡IEik"â×8jl®ÆÁ§35ŸPì¿Ä§„N].-J%\2N´wJg¨R¡Ù}ùÓùJøk;ïÔ-ð˜Ã ÉRjQÞ1í¶ïRj1!!$¸“!?iŠxd¤.‚2è(Ž¹µ’LÒ¼^8aÕhOŸØNdœ¹ã Á§ñ‚€{x³¹P®eaÌlg@£>´yžÄ1—¥ž +¤y½š\˜VÌNG +Ë ê°ÿj×üoFýêÿ2jÍz ã|XSá'$È8ŽNáRR)q +GqòøSø +K'ð_9[Ä¢ùÂbDN°ˆÎ—r0ÉO1 :üî– +'Š?[4çTzêòMï­u0i©ŠAþ|NŒ<Ü£yŒ9!FßÕÙ`ñÓI´ju$‘ÙhrDF“‡n刡F +’s5¦FÆôÌR‚&Ñ3…‡kÂDwqˆc©F³ªà”4%øŒ„~¿”ÄdB  ¶2ç4!OsŠûÓp~~© +Èëra2"'˜´¾Ù?»RhÂk#«Ô„<]©¾£ågWª€µØÿ 9!Dƒ&Ñ_©­J:rFd4yèVŽ„ž/§jÌþŒŒÉ™…£> X<ÿèÀ‹F™Ey$d¼³‹¦#!*e^ +ÇÀ(óoò«\G’Ü +úóm +”à}˜+¬#`1X@«/h·þßV<žÁÌÊά£…Ö8=Œ +¾‹‘·Ë†®^¾XpYÖz¸ê‘¼/­´!3¼E‚Ž\†P=°ÉîœG ï¹[lÀýj=Ðtœû…!,£Ç¥•6„2Á̽Ž +áz`*öK@ßs»¨Üëõ0[t¿0†eüÍRŠP&ÐÒNÂõÀf˳Ûè{n×Cºz¹}HÛrúóí4òY¦ô†P&#¦_êQ®¦r³Èáô=·ëQ7¼X4a»Ÿî§ M\;®GCf&Ür»T€«¡6›øF:}ËÝb—Ñ«Å@ËAÿl3M“†åfÚ‘‘HÒpî¹™väAF +åyÓè{nÖ£l°/7$MëWê!Í8,õ¨ebñ¨3K=*Âõð˜<o:}Ïíz`CzY)mÆâcÁ++c¦u˜m•/ÇC[Æë­#‰Ä¼aÆyÊñJ\DæXܪ¸f;"v,‚P‘XjOˆˆFÖ +—K +Ö°~Þ +}ý^<{ã†É‘,̵ø¨;:b³{°Ö!LmýþcD13ÊjaÍãýòh¿mâÿüMܼT0Š&6SÞ·ÆÉueò’¶èñE;¿ÅòÀNB$y¶˜ó²ëˆô]z+båãâ¾×I Æ@×£t°Äcû¿~Þ˜RgAÐö²Y +RNÄnQ§¥ á‚8´°ì:"}×Ý‚XßëÁ᧰äƘ: bÊUÁ©'¢qù¥ ႘ÖGç®#ÒwÝ-ˆÞ0/¿ZlÂÅõ¸1¦ܯ^eÌ?Pe^`”Å5¤¡$¡XS5J4n BÏË®#Òw]•C6àA'ìíÙÌ zÑÌf¸íZWï,2*Wæ(H8üP54Ò¯ÄmÆøe×é»nÂÝÉ}ÄlBç‚Ü™Í >4Ä‹Ç(R +b1lôÝŽ<žp:â0¥\&Qƒ »ts|*1§!îçœfçÈ!_vKÞ$÷p*€«ˆ¬ƒ eíP½bÂù±~/;T°ÄÀõd=YèëâB6L ˜ô6 +¤Í‘YUó5€þk·ï¥ø¯G™ï–ïÕóÝòÅ“]Æ"På±7¾–<ˆ‘Ú¥4)©]/ƒƒ¡¬ÞäócQíNžÓÆŸÉ1mq6Ôàç€HHï°¶™D¬µ^D¼Åj¹:ÃoAÑ€Hë6 RÆ»n¡ÝÇ{ÄèQV kuGÔ?ˆþ}ò¦S[A”7•÷¥þüíçïwZè±æëyw©KºÍisnsZ}ŠærÞÚVŽÛ²‹),C#ä%R ©—¸Š—ɹ€£ÜÛpÑÖï‹ì´ÖÍ©DÚVTØRÒ‹¢*œٴ×6N\/y1Sf˜-›ÅJCH»øŽ3R íæ©Õ¢¬vSWmMÚŒ¦Ì¼ÓróAÚŒÚM¢Dþ>êš´KŒwµ°æAÚ$ÖnCnhƒû”vgÍwç=Å›qû'Ç”†xqÜ6joC¦6ó¦00ò:£´¤^Cô~ª^ðœêmë÷Evΰz@š +8¸ô̆0¡æ¥g6„ûóoÖ‡9fón‘xCH¿¿¸ÈúåòèÊ ³mµ5é7ìzí°0×âƒôz'ípAYöQפ_bô(—^L>š~Á“~r©_ :>¥ßYó݉OýÆåç¨Y·!¢MYÖmC†*ƒ\äcIÊdÖ-„`"u]¬%ÏÙØëšt YgÒmhTÖ8#-nŠ‰<&bÊö”2Ì( #•?£„˜+ L£t$$û&kçuYgmÊZ¤Ð–ïØ€é*e"à©hÿXŠƒÊo€Æw<·C·™ì×åûÀ$ô«5…Ë‘û[æ|1vËœ…iñj³-ײ~ÌŸåû[^› +!Jÿ÷ß&QÒf‚](‘Nƒ™Ì Ç#¥úÍOÄIÛP›•¡ +Ë 5ÀZI[ië÷r›Do'koü0@KñP7ø–K’f> 8T]ÔõûÄdÔ›…]u‡÷ÍkZ:lC>hûh÷8C‡!¶_éúÇzÓ)ËyÄÚ‚qBÑ&T Q¼d*/¹zòUKÜ)—74&¹[mi¼‚nõ­HØ”’é ÷,ºí>êšÔmBè‘V„[¶ÙLy+X{>¹<&2›ö3ìX|bõkVRh3ÇD¦Ǥˆ’ð¸ù×ày¡œÈc"Þís +Y¾Ì1ˆ 'g¾¨§‹$"Û©†™â·˜B£x â›+™7lATtmWå8ÌcfáàrV{ç± …38Oíœù:Æ3bÞåÅH/ÏÉgd¡BqAÚŠå;úS¾!õöýö×ï?þöŸ¶ÂG$ÝU ?BYé-yä¤'éɾ?¯?θWž­~cÄoå×5Õ€gŒ&/ÚÅLréȃ6å2«]Gk<éE°èE…yúAüMváà¨öÞS4ñ ÎS;g¾ŽñŒ˜wy1Òës¡ùÄMJÿzibðxMúE/ +¡ä<>*kžsºd<A³dÂvЇ—j†™‚@Ȭ Á.§è%tþê½—IÇ-œ°™½ïíÇÑ Ê3+gŽŽÁŒ€wI1Òks%—¨¥Ÿ¨å¯¿Oìes.ýjO +™Y¾a|ŽÈž/ªŽ<å4å6 i¤#ò¥ÑÀYÝ;sì6n)¹ÑòÉ b<é¸WÑãýÂÁÜ’Wç†îŠ³pç©3_ÇxFÌkZ ôê\¨Dº˜Âa³LÔÙÁÿ±(§ÉÄ|V!õôƒ³˜Gùô;BÙÈÄ©ýsNWˆW˜€-+¤!l'¢e¯¾ªeâøZ’ˆÃe`—cbŸˆKèêq¡`ÔÍ«ó„Û!\„38Oíœù:„3"Þeµ"µ:W +1…§á•B@V÷e2Ù¯t“Œ!W.X‹ÉòˆÒ‘§œ®•ŒW’%­4„êƒé,$î\Ý;s𠌆µ’q¬ZÉå±G‡“ñ4«À àÁ’WçxÌúxÎà<µsæëΈx—ÕŠÔê\i%ÉŠ.QÁGÓÉN4tóüÒ„ÒÎ9:ƒô=sGfnÑf4\G…˜æâË.í³ Êr›ŽíÞñ‡|†¼pÞŸ‹w Ƨ㙜§vÎ|ã1ïòZ‘ZŸ‹“&l ZûêaÔ¦=§·˜s¦AìFJb£Å-ë™3ÉA)ð¿„›T§X8ø¾PÀŽL;IÉ[ ,vrå&Çl>ûÆ !Ä6îx ‡IIú®íªš^(HW> ‡9Ï윸:F3#^³b¤WçD0zvx"†ˆÖàÒîÉøww(ÅXŸ¥/Ý%Ög¹a1 dˆ3vòžÅ`%²Ú&*þÎ0s†`ÆæÀ•ÇšÌÕœ~@ƒ2Îœ·s> +gåì윻:FÃsVŒ\iÁ`jÃWи&>ù,yM2óY@Æù;_3:Õò"€º‰ +¾š%Æ8}ÑÆr-A‘yê=8ýHeœÚôÜö$”•±7rêç +‡Kpuð*Êá}õÁ[yIE>ùŒ£·ò"ã“·ªÌr +´MTïaæŒÃ·òhÓ\M+O=Ëœ~(ƒ2Îœ·³= +‡9Ï윸:F3#^³bäBóvî« Ñ©)` CãŽ,ƒ,÷·¾‹j¾³Ìœ!Øqø + +ŽÍËÝ;8ý\e9oÇ{ÎÊÙÙ9wuŒ†#欹’@ xÊ~µ:V^®ÿ (¿çXè_¡Ôa( í¢’ï,3g(vt«ž­×|pú± Ê89rÞN÷4œ•³·sêê +GÌY1r¥ >†¯–@ˆxÙ7‡±E»\Æ2‹g«RÂ{i11̱Äñè>3Ç£Ö11µv†9&Çͺ…£í–Œf;Zmÿ¥½Zz4ɉà}~ÅAB¥òÛæÆÀ +F¬xM‹‡Vˆâ‚zðÿ„?;2³º¶¿zÌ¢‘¦§c"Ëΰ33\¢¥Ì-'ÜLŸÌŽ' VÆܯ2ðD x)#€Q³aÌ„”1óQÆLGÌF;Å»P¿á㲺`3]eŒl•Àd“Õs6Û‰˜t•Ã|•Ã„•ÃŒ•Ã”•Ãœ•Ã¤…#Y+‡iëw˜·r˜¸rfæJaêX &oSŸˆI]9L]9L]9L]9L]9L]9L]8’ºr˜º~‡©+‡©+g¦®”™zŽx#lR'¢©ÎLÝpfê†3S7œ™ºáÌÔ +g¦®¦n83uó™ºá0¯Ú`•mí1y)‡y)‡y)‡y)‡{ŽìY9ܳ~‡{VÎ<.ÃÇe(3­0+C6iÑ´ g¦e83-ÙiÎ<.ÙÇe83uå0uÙ©›ïÌÔ +g¦n8#uCaêÕÁ›úDLêÊaêÊaêÊaêÊaêÊaêÊaê‘ԕÃÔõ;L]9L]93u¡øø½g­c©‰ä†B~̪_ A”Só‘ºáQÎx[Ê”1§¡QÎô&†CD8Ì!ÅÏPMVÉ¥%e“”RÊÌÀPˆe¾– …ˆP0ó›÷–BD(CØ _DÃ!"_™2 +RD8c_›Õ%»%Å`…Ž Ê¡Ê!¢ +¡"Ê¡Ê!¢œ©…R&  *¡"Ê¡Ê!"j‘Q´ÁŠ“ûc!F#ŽPPÊTB)”2…P +¥L”B@)CeÌß•0UP¥L”B@("BÅÏâ­,½•meŽ Ê¡ Ê!¢ê "Ê¡Ê!¢œ)…R&  J¡"Ê¡Ê!"jQ%Å‘ pV­àâUµ\Jõ†ZTBÕš+£Ö|¤µÐ}B¨V-< +KÌV-pªóV-A(Ž퀳j! ®éªZ+FŒ×Õòµ,.Ù*ó˜QΣ„¯µUÖ9yµU¦ÈL\ƒvÀI%©^T‡ˆbn7îͺ¢Ú{ƒ¿—7÷ÆÈìì½YQe%Ø{ĵfïÃ쌛.¯‰퀳÷¦”«÷¦Û‚n¡®«E%´ÊÌ’‹‚êÕj0uöb5Ø)oK¬3j±—DÞ# Úg/º»(UŠ7â‹…{œýæÒl•;þÿ¬‰[ÛfÍ¿üã¯gV6Æ/IûdˆˆôlU–ñ³f’EÿvfѺã~à&¾»fZ"Üx€‹Ëq,ú—?}~ùæ\®ÃðÙ\‰åŠyT‘¤Éu,{*[lB—¶«šD +Zû0ø‰˚6{Å°tk:±W4ãòÍÍêѼi²ï¯ÛÝ <Ïͳ¡šï¼$y5×fœŸÑm˜(îφâ>Úb¿S–º‰yû;#[û:üþ @}¦NA‘Îvu¦&DŽÎž5iÎþjQjÿ™EyØ~X”wÚ +0¾ßHß‘'×ÍÃþõV0òËcÕ?ÿáwß¹öóõï?ûÅ—Ï¿ú.õýúÛß:aª¦j·È­ÁL+1é +RÂÙ÷>N +‡ˆr"ƒÔpˆ(½¡ÆÍZD”ªÝ³ Ê[x8yåÙqRDƒªÕp’ÃËf)C!"”€<õ …ˆPf +†BD(S-C!"”)º¡!…g§Aô+ãž%Ü@—›Xþ®&%Á¨çÕ!òj¿”ì6Q{„Q'ÍÎ# †‹f'úˆj¼n ÜhhUëžÀ«¡P+zhU‹îWÕ¢cÖ¼¡6µGNªE}Q­é¢¯«…³ ÙJñFœ÷ÖW/™¿Yñ<é˘^ÇÔ~¸Ô—][Zí +{§IdлYVŒ9Œ‘áBÜ¥ äñ1\8s!ÕꂹŠï­ZÝ‚DÑpR-‡ôMõMÇyW_£Dó-Ññ±ÇÑËUÌhìéÄX‹¾BÓ»–C^=šì›wл +£ +Þur¬t\TkJ(â8„#çÍËôp¯· ‡lD›Ä¶m`f4;C‘‰)Q{äì í-_µ5-­\Ÿgð71lÜD…~k>1Bû¬_óW,É,+Üà˜Ñµn]àš—˜«*‚ •Ÿ€qg‚Ö^p›ËÚ`æ\>ѧ{#éÒ[}>8Ô²I–ÈA²ÅÚ¾5BåX9B¹ª–”Pd„ +GzèDŽ{(7{k†ÊNäläMŒxD¥»gÃu5_Þ™†Dtb2j˜¨¹¡%3Ô sbš¨=rn†ö€ènÎP–¡Ñc ÇçÏʼ1CEsv )̃ĺ¼Ó‚Tû9CÒÏghÈKû±&è›î¤äÅ—Íä+ ƒ'ÚÉNyLY™|‚Èœ“¨=rvò! wõõx{m+¾¯£€ó7FA ‡È•ýRk²r‰ÎY¹`Jb)V.AD‰Ú#gåê>\•+ ù&Y._!sqF +_ Šö„S¡bƒí+–œuª+>¯Ó€Š k¼S§¾aþ¸f¦§"ϧgˆ¸=øÐ +«à[ZÂÆ×úVÐUëñ8 +¸ý¡·Æ‘Gßó¡l’%rlHø¨¿gô\§UUå&)…VA9DG…löŽUÐÈÙÈ-<:”Zs7mœ®«ùò–pè "Æ@¢öˆFqÿb4ŠCß"Ãب=rÊ*<¦û†U24z äøüY™×­‚jÎ$…yЂX—7ZÑ~X«ôS«|^²?–Y(Úa€7Ôifa"Ù-)ëà•CD9¸ÿ®[ åQNÊXÛYåD¤›åQŽƒYZ½åQšJr›µˆGÚp1ßñh’ør¬‹î—3ú&Èû•âã#±ï.¸®™Ü`ÀÌšy²& +µs^V8ç+îuýíÕ–äº +ĶrWà2oØÓìÿûŠ„í¸bìž9_S£Ðú!‰¼¥Ös&k/ËLD²ñmW¸”ƒKØjð|ú^·ÒýX¾ šÛ·åÿ‹-ñ4ìoÈÜ™úð‰ÆV˜WýlŽ¯ ƧúÓP]õþ“”ͲڃޗÍ4×@yBÖ­áâÓá´±‹ÞU–ä´¹Sp3ž–¥a.j#¼h«ÜÞv´È@~&2꧲vFT”¿s¯ÿOßð¿³ï^GF­L|è/ƒ€c?ÒD¡Ê‡ «êËXªê?KRÌåŠ8˜šåHþØqoä¢å<¦»Pyø­&~0|×…¼E5¤Ý24Ÿ_ƒ\Z+jÏÏ@~‚T½‘¨3"Q+{…€šË; ß|Ú…^¤"à+9sRGÌ~ BC×·¦rÕ¿âbQ·žˆdfF‘›¹z”ô0Wp?>K®P™u*>’ó½y½§šR©ØrL ºÓ›ÆÔµ­Õ¨Õ“ÈJ=K%“Q€±ox‰Í^ôµ k5ª £ÑVΛ~ͯù…Kß›Ñ'°—èdß9V\CŸÀ5T +"K¥§5ùv!Yâ@ÿÈÃñþ¼­tÈT|A¦+¨32£x|úÞ>A}g¸‰:#·}JMFŸ 3¨ª/Ȫú2•ŸÀ©$q,W 4æÒÀ?³Ã&¨L_Û„T¡!îl‚/ÛÞâ#}æ.ße ±Î¾–Í%­¾¿h³Qa‹BÔfkòî´Ù BkÁ¨3r×lô€T +h¨[®Í f°pi?x‰¸o!×µ€ÆÝ¡þñ{Îö•=—íÛÚæ[350F4­*,D%Â&ùèMò‰‚T³âi˜K]’tt~˘)IG$¶ÃUY hè–bPöTöÊ5P®!…YQ¨œÖ$ ìB© +›p! xb4­µ‘ûÏÛŽ™R(È”K‰:#*JŽOå +) ê;C.%êŒÜPDgÐ1„*XÔžSiON%ù‡c¹àŸ9—þ™Õª2}- Ï;¤óoôƒß„ŒYÔŒB‚|eýzÄšê¼~=á[‘Qgäîëuøz´ Q‚õKí‚ž¹BÉc¯…;Pú>,|f¯I'‹Sè3r7Y=ÀŇÉróU +ÉÂÛuA% _hI=8‚ÇyöC²Ä¬­¼D¨ikÅp(ÙR9añ‡K'¶T“i”C[-°D–1ëÍd&0„<  ³5ÿcÁ +;d î*Èê®p²|e3l&š ÙwN×ÐLp +õ„ÈÊLÈiMf‚}ÈWÉᙲ|Y*ÃÛÏ»Ž™¦@i$êŒÌ(žV‚÷£•PßÆA¢ÎÈm+¡[ ™A•A–FrL¥ÁLp*É@ËåcFæò9©z 3¡Rwm&0 +iý[3ñ•“>% ŸÔo-çØo±x­ äšVP"ÔKF‘» +ÚZ~¦ f­ÁÄpÇŠìíy-±+=Ú÷œÝ){.­n7â6§[óÇ›bH‘…dÄwÒ­œAkÝbÕþ¤Á̹µ’7¤@ªï 9”¨3r[ {@tF”)TùdU}™Kƒ@r.IAÌq2 +4ë1RåúR #¶íäñƒàäþhbKÚrZü°¦ô‡Õ?"”:F‘»â‡€ÜÃç£Y‰0Á{,:ò \ªßËÆù_ì9[ØUëáÙPs1µø¼s–’"KIp/“ú¡˜Þ)‚-ÐÄ¿%Ø‚·•‹‡› +²º) lÞ£QüØK?ÙwŽ×Pü¸†ôGdErZ“ø± ¥6lÂEm|gNëë÷Ÿ·}·ÈT±L¡“˜32ƒxxJïGéSßB'Qgä¶ôé€ÇÒ'¨²!ÈÒø¼gÒ |2“dåÒ{ËT>gU!|*q×ÂçûWóKßWFúäÿ~R¿ÕšS wN‹cR´8¡2êŒÜÇàÃCq´ +•¯}œJ…¯eséÆÓ0„ +Ùo¿Ø“íÉ=Wí >Em£¥=}Ã{Ã5%YHFèl/ÔÑ7ô\PoCß +|t]Rp€zdš(ØÃçúPwdu×ÐYÉÛäqv“È#÷e7Í5"sPäDÉÓZäqö¡Ô†m¸¨ +¦­¹f¬ +ï?o;z„RG„rȨ32£x|ÈyC‘:ý·2êŒÜÈW@ÌY’S¨ò!Ȫú2—ÏrÎ%9ˆƒ¹â NæsRõxK¤Îõ¥DŸ· Yø‰ö3ܹ)?š÷²å»nU¡µD€¹/‰šK˜KRÆÆN-`.‰ØÔæ—‘¯–0—ìaKNo$—©p õ¿¥ìÿK-nø¡eÇ„7¾W'Å­&|þà¡ÈË“?lùF.öÄœaÄÒñKzdÕö éùÃßò¾¨ ’‹o»Âž´Œv~Ë%Õ­ººìý×K^žeîËnšKÀF®ª>F-ÀBù„Á¯W~l6¶Ö£é¬ª4£ +×µth¬$`^÷Ý$‚ü¨¶y×ïGöÑQr~ˆÈûÝŨ7òs@ZQgäµòï= ”W€G={-Ž1ÔùdUþ1˜ªüçRqÐ{.×Ĺ4PÐÌ}öíÐqoä¢ãœîqʽ¸ßjá‘â»,ä-ÎíN¡ùüãRêXP_éy#? +‰ýÛ‡¨3"Q+W…€šË+ â(÷^‚v%rØÆ»+v–%JÛ‹¡Áà´¦’Õ?âbQמˆ¤fF‘›Éz”ô4Y°=>K²P›U&Ž©ùÞ»¿ßq©l¹œÒ‚îô¦)uíŃJ;‰¬´³T^2µª[ÑG”2ÜP£ +š4jMå¼ë§Íüša˜ó½}+KŸ0öcÅ%ô \B¡ ²Š÷aM>aD“Ä‘6þ‘‡cxÝÑ#JñÙ5t<í'¢¢x~úFÑ'(d¸uFîù”šŒ>aL¡.¿ «ò¹4ø¦œ4ÆrMAc, +4S?l‚JôµMHâþÊ&ø²í-^rÒ§gf¬j¯ý¡”Ã×°9_”á¨pF!jÃ%ø‚6Dh/uFîŽêS + +uËh¸çŠ—ví&âŽ/Ô¥†F$³úø›ÙÀÜrÕÀ˜ß +‰¡…#Ø'hY`¡*ë£7)h¬pIw+^‡¹Ô5OǾ›¯FžŽa‹±.+ÈJCƵ£†²²ÔбïÔP.¡†r I”ÈŠDÇaM:¢j3ºp­¡xg4­µ‘Ìë¾»D©!û†ŠÉÓ~":JÎO +e5T!C1UÔ¹§¡ˆÎª¡ï1ÔéÀ¢ø2—eÂI@c,—4ÇÒ@@3õCCU¢¯5´â‡lþ‘† @ÈôC +iHxrfý~Ä’ê¼~?ák‘Qgäîûuú~´+Q¹R»ägY£ô±ÃiJã7-|e¯Ig‹sè3r7[=ÀŧÙróU +ÙÂvAg+‡­%ýêøäÐ^Ò‚9ÎzÍðp3£Þo-f•Q±xQpè¦3J„ùcÔ¹›ÑÐòSïUAÅP8½tkUdoW‰øÆB½ð}}G2·\1_§eñÕ¼Å\µ!²ò^ ½Ú'Ûà½jE¿ºµa¶]¾á½q{3ê;Þ¡–ÃmYÝ6&Ô ½KKï5öcÅ%ô^\Bõ%²’ßqX“÷Qµm¸®MŒxN&km$óºï.Q.Š}C§ÅÓ~":JÎOïÅ(z/… §¥¢ÎÈ=ïÕ¢3z¯1‡:‚¬Ê?&Ó྘rrÐÌ5q0 +$4“?Ü—Jõ¥ûŠp:í¯¼×‘á”¼ù¢µ¯à85jíÃ’’œÖ>"T:F‘»Ú‡€ÜS7a–¢Ü¶=•‰a/–âÂæÑ%¿Ø‘Ç-Wð©\LW‹°”Yê|­3‰jéoÛæÓ¿æײ¿ ©¾« «»z¸ê=µ•¥ö}çXq µKH~DVä7kÒ¾qí¢¾úbÛÎœÞX&`^÷Õ$JĤk¨s<ë'¢‚xz*£¨| +:§¢ÎÈ=åSÏ•o̠Ά ÿÓ^mYrê0p+Y¿í=åîÿ÷–•Dsƒgò•N„m½ª4>[WNŸÄ›ãçhÊÚ[šòýø1¡?xÏÄíž÷|ÿjþeæ»›IÐïê—Z“mcиs–±ƒÆR,;!Ò늴^WäCn¹Î1¤ô¡‡ £ôùž"5äBGcŽ‡óý2Áß9Ò†ú–#ƒÏ0¿Å‘¢–+"]¼ÕÏ <µ3Wÿ[-ÖFµÁºâyc#ˆÚ¤Œ³µDm"˜46k#ˆÚ¸ŒHxk#ˆÚ¬aIît– ´á$¤ +ó$ŒÓ#þ-ûÜÎKäÿ÷mï m©¥µD"W‹Éz]zz +}Cì + {¦BŠKŠ(hJÁü|—u!]«3am1h,:âZ3±¨R‹y¾œ^Wäi,6‡’ÞÆ¥îS™‰B²ÅGt¾·ïÏÏDïbžgîÈ÷3!r+ +y_êš_‰y×6.È©‹€Mý‘ùúÒŠÁ%Ü’]zµ·`h +4‚±žêöV‘Ì@äû©qÅK1ÃÝËu•CDŸú9V¾3ym§§>–L,j7®Éž«ÅäC¸f‡ Ô7¸õ +xûÈeáYV7yYÍ «p”™œ ¦Êdn$F|­”ÈüUäÈŸ‰ÚQ/¹>Rç7Ñ¡^;rþÎZÓÉ늈×hdÁ¡ÀusÀŒ{%•Ø„&û‚ ²/]i²ÿº+9Ø•£ ttåÄüÑd€jþ;ÅÀ÷S…@C¤­ÎÿT&ù²¬-Þ$• +bÃ6Ty*' >œ/VPT¿¤­ € +V+(Qù ^W䱠詾%QR†6OhÇ­'A_¡o#Ø˪?9“%Ì3G%ŒÕ·6UÄ«Z°Ä"À€W"´’~ŠBc…ÎK¶bÓº?ÔÑើΠ+jªu}ë§~ÿ`t,Ú9•Ä*‰Ê¹J¢L>ITltŒŒQ¹ì‰ò"Ì +«pD¢Ø$zoÎåFb¤¯=ŠDéP¥Lñº"Æ븾’(½H¢æ;eÒëŠ<%Ñž\7K¢GšpÀ}îÙ•Ê®äüaWævåÄüÑdì$jâ|K¢ž«¿E¢ãHÈ[èM†„)‘í ›ê¼Ý Ñ}Q¼®Èã +u}»AÎQŸ†©ÝŽgµQ‚ìùp§™†Å7/|§w“‰— ñº"ãÕ\|/‡Ç…:/ä³D‹èÜ4ÎÏÔf•3‡Íš óÂT³&ÔŸÖP"#¹à3Tjž’ Û*‚õ˜ÃÒÒƒ½.úŠ½ÎÏQRB+Gwz¬ Cm„жIµ ™Uµ Çjg1ûT b£„AdÄû]§Äï¡“â<;þcˆøX)¥}ATˆ×Q/¹¾ŠzQ,˜ïÒ€^Wä©Xè>NŠiB“|AÉ—®œ ìJN våh±+'&¦c— &Òwr­„æñ¿%rYÖnGRð`¡õD‘^-Ži!Ô´´2Á +<’9á‘£²Âµ;““PäÅJ"ý*.É·)V€(òÅÛ Ø}ÍÿxQ +–«|z¬ £ÇV¿&iAR«´ ç*-0ý¤±ÑÉ@d°DÊe§xanX‡£ÜTÔü\f!}ëQ":ßQ¯+¢^ryez‘Ìw ×yÊ +Öá5+Hšx2Ƚtå+°+9Ø•£ Ä®|?L:vV0»]" ¦öš~}‰ü>’>9 ßÕcÑ9÷±ß¸Ê,NÁ Í.N‚èš$^WäñâÔZ~¹8M³FL '‚¬àšÇû†ÃœÉúä™#Õ3r8Už5/±×…r‘Ñ&‘P8Ð…3Y»Ê:i”¶â#2vùˆ~šÃ¡žÔ‘Ñc#4ZñAJf• å\m,fŸ)6:$‰ ¤³\vŠ yæ†e8ÊMŒ‹ki27#}íQ$Jv‚(!Š×1^Çõ•"éEŠ4ß9‘^Wä)Ev‡¾æNQ¤´¡‰‡ ƒìK_NP$û’#ˆ}9Aìˉ¤éØ)ÒDúvq + åß"Èù¤d¤íD~%-¹FK~°)}µRòD©N¼®ÈcòƒC î%ùÍ3QFDc±±àR9b¿MÇùŸœÉÒ㙣ÒÃÞPs™*=ˆ¬>± #2‚C+O±²é°Ŗ<` ¶+OOdôThؼÆIò“Ä*ùɹÚXL>ÉOltüŒ?¹ìùñ"Ì +«p”ßgçä~Èék÷Q;%:ñ¹"ê$—W꣩Ï|ç :z]‘§Ôg^SŸ´ ‰† #á³÷äóIOrú°'‡Ú[zòýô1É؉τí–ø|ÿfþuêû>>  ßÕ/µ¦SƒÇ³ô˜þV,= +¢d(^Wä1=vÞÒã,Uù +^-ÎÄÂײ¸ô`9D9ƒùÛOΔÕ3 ’Bˆï Ô7,®ÎPdÀ¥ãñ¥ ~ô-¡ïívèú>Öñhˆ ×™!ì!u}(§Ç +2zlHøªŸ#H¦–ÉsYNš~!HÚpH*r?$yÙ‚Ô‹07¬ÃQnÐo͵¹Ü0FúÚ£HHvDHˆôº"ê%×'Eª—ýÎNˆêuERäæÐ×¹Šdšx2Ⱦôå{ŽÔ¾ä b_Žfûòý 2éØHÒFúŽ$ƒÏKöá·HòŸò2îd-‚´¦vâªÈA-Öæ@Œ +–‡­Ò؈±I¿œµ9cƒHbÁ³6bl\F$¼µ9c³"uîtÖ¨Lµá¬1ßñKÊørÍKLqû¦—oD¾'*Å…ñ'A‡¸˜^ìŒ<³-)¤Ó™;rs&ú +­†‰…Ñ^_©¶5/©õ¢ƒv™CD¢ñíT•–!P¾”ò+ºâ´.ÉÐç¹î¹\qu7‡&,&èxäÁ§·› ‹AûY_CŒOualû|cjÓ’ö­QÎe9© +˜Ç›ZÎ5.1œ.Ûû°Ü +(¹,”oŠ~ò²š©Ãard0Î%G ï=ªä@þšºÙhÂvEŒ—Ü>•?ö;rþN_F¬×¯‘”ï}?8Þ-ŽÒ‡&‚Œò/iòÿ¶3u +9Bì̉!¤é(ΟJnGnJ΃Æû©ei)ü”?¦|çü2mÚeCóykå¶nQ·íÈ_ƒ`ÍŒùäuEÄk¤°àPsÙš{¸㑃¶ñînBÓÄ$ú”j§ôNk&\ý3.ópE$8êuE†ks(ém¸ ‚|*3áBvB¶±øˆÎ÷ +þù™Úªræ°W *ÔOõªkK«Ñr(‘‡–ŠÙ—¦ƒÇÇZµåè#’RÅJ2II˜úÖOÉù5ÂÐëk›Ô Ì,õ‚œ«EÑ 4!_òÅqÙ)½ 1“â<;þ‘˜cø^)e~– +Õo{AÔ‹÷§^ õ‚ùΡèuEžê8”š&õ‚´¡É¿ £üKcNèÆœCHs8„ŽÆœAšC.˜HßË…„ä€~I.xðS‹·SéS‰ _Öo-{Ùo±xM¥#:4M¥DHœ´:#w©´´üJÍ”…TÇp`I|"ìyÍ’±s>þÀ'+”>W·Ër›îíÏÆà +" Þˆ©óhbÉZ·XBÉîKÆÔð·Gq0õ  ˆ¬^ò^,ÉÔ’%Åïì,ž–äÎI"«õK.kbI¹ÈLŽÔá291n®%kr$ó½£L&ã±pÈŠ¼í QVrò$­È“ê;ƒiuFîòd7ˆÎÈ“Òˆ*‚¬ò/­iàIÆœSHZs9…Øš†14ó1xRÅú’'#Ýö[,ù1äTòQÍ–„&ˆšq¦ô%k2 ò­ÎÈ]„A îé2i¦£ÜpÓ¢c!ëå’_zÎÿÄ'‹>WŇ +¢æb*>(­>µ+Y²‚C7›(ÙôNYôª÷鯇lAJ\<¼UÕ[¡eó ÈÌ’Åïì,žäN@"« (—51 \d&GÊp™ßǧuSdæ{ßU2©LʆlÇ»žiÄÛ“ÿhEþSßlG«3r—ÿ> žñŸ4¡Š† KýónKýIÀ9€¤-×\ÚòùRÙì§wÍ~Þ½’óËüw1•>Y _Öoµ¦C'ƒÎÓ™ö½¢9’‘Vgä.Gvžr¤•¯<ŠÂ§báqw—n¬‰!T´ýħ”èô¹(Ñ©úP|^¢ù®)ޘȂ7BD áK’ô-m!è=Ñ÷Iëzèž SÓ ö¸ªåðZAV¯ + _õ6–œ¹–¤_ÖÓ<3Xr‘99‘Åœäe-,É‹ÌäH!.“ƒ†k®“ÃÌ÷Ž2!ãÍÂVœ·=!ÓŠ÷žœVÂxú;oVœVgä&O¾ úbgáI6¢Š‡ «üKk>'ÊsŽ!iÍåbk>C*o¦Ô±¾dÊàó–}ø-¦ÜÖÀLz!• +A=oörØLw¯Ï2ÏD°`lúŒ óLÊð}ð%È<ƒõÇaOUg™gz9Ô¢Ï2Ï ­ÎÂ3’„ßÈ’õ2¤R÷m`½mWë`,:+c‰­X:«„¶•Ug ²ì¬ì1×[°‘žz¬«ÇÑ}L#% ={Ö~YMó ˆòüŸ:šÚ¨ß¡\rϸ,z1Ö`½,sÃ2\åfpž-7ôËçJ‘¼€Éx#{*f'€&rõ>qRUt'Èñ+8°:#bu“î^!ÚèN…bËÌKOªÌ?îIŽöäjü°'Ÿ•Žê´ÂdÉvk‹?e»±ó͉/;ßìQÙÕ:²Wµò +àŸVžr°9#ÃèæÂ÷:ŸÛÃ…ï1 +lkaϪÿ¤“÷IõÈøh,‡`Ã÷5kö{ѧÔçô¹¨Ïˆ>ª¹Xê3¤­¥ÉX0FŒõkaÇaâÝe¾úŒ¯Ë™ðTA|ê§,úæoÌ/ùa Ç™W!GúU'g„ç™1" ¬F$/k!Çyæ†E¸Ê¯ð繡_>wÔÈ :ù-LHƒ@ ¹8©qÚÉ鯼‰pZ‘›Ôx0xJl¿ˆ,ó>úñ932Ö<ìÇÕàa?><*obÔq»$ÆèûWóo#ù€³¾äͽ +õå1âHIŠã&@¤Í¹IŒ8©ñ”!VÞóœ€<âߢ„÷¯Á¨$¯áÖBS ÀgÓæŒÜ D?ßòÃ@„Š±^ qˆ(ˆ\õ 自„à{Û!VÇè}—uÖkÑ%Û”.Wúµ"'ÕÖ¦/9£6Ê ¬6Ê·ä›I àAþUß3ðÕkÆŠ,„¡ëJÖ`õØê·ðÒê…ÀÌR!ˆ_Õxr† +g„)X.QrY“BàE˜–á*7ÕmV}@¯|¬”ˆÐ½brh"Ÿ +6Tê+CÐêŒÜUÚà±Bœ¡À2ïÒ‘‰Àpsø°#WÇù|ø¨t  "w©ºlj{ú-ð!‚;‚ï'§)!(€%€‰³sº‹;7‡¢è3íø')ú$@²¤Í¹IŸýüØ7èW@äÕ@T ƒ…GåRu°È± VÈ[U •U†úx GªS²a +mÎÈÍ`á|ÝÓÓ`íß>ZÄž»»CÕCó]ÿÜ'›™>—ÍÜ6ßš©™cF=)n•ß jnß|ô&+Fdº¬Ä¯^=x¶ÙªÏõØô[XŠ¦ +/FÁ¼RGˆ_5ä uÏŸ°æ“qY“ŽàE˜›ÝýG~ù\)‘P8ˆÉ ˜&ãêSIІJB}eèZ‘»JÑ%£’8#ñþ½Ê;;Ò #lŽväbôÌŽ4Œž™Œ¡#T ¯uDí^KGìH—×Ôè[Ù”Ãjy”Ó]ôDÐOÐgH*dÍñnÍ¡ì/µøœ5è“©£Ïk@>ÕâM©Ã5[j”XÒR‘Údâ +ܳµz™‚?ØqÐNm÷ú©¬žš3~3²óJÖ¿“5x†¬Á32<X²†\Öļ3Ã"\e&'ø+Ö܈_y.K„ËäHbrh"WŸ¬A²†úÊàZ‘»¬ƒR­¬! +83?€eæ¥# +¼Ápsø°#WÃgt¤aôÌd ÖP¾feÔÜo±ÆÇlï<V*Aw(ךZ–@Ò}šË®F´9#7—¥~¾<]–~@AÇ^¿²DÍŒdX‰•‡ZŒ*R¾ašzµVöµÄùè H\¦Í¹©~¾æò4R)¢Ç‚!R;ž›ý¡dŽ¹¨ÝûdÒçªG¢âlÚn‡hmš5 ,YÓq&°×­øtUˆÂB{Ø’sú­¬Þ +•][2*&– +Aüªù#g¨xFxB€%OÈeM +aj>D÷w¿S5knÄ/Ÿ+5"t/˜œ€i2®>m¨ÔW† Õ¹«ºA)F… 8C1€µ6-iP ÷\OFK.·iIÃð™ÙAEúZ"`' +¡üöb ‰•Sš´7~+ÂâªÓ¼7E|´Ž¯i«3"Vw™¯`F=d>(©ÝƒF¨i+Y×Á”^f°¨§.*!†‹ú‰ŸˆgZ‘›á¢¤z.‘TÃå˶·¨Ã%ÂzÆKŠ‹g|+[ˆUÁœÙ\ʺ+´’/º+º%D]‚8“w§K ŽVgän vŒ½‡1 +õ5žÇ4î[ÈU×WÈhöªë S©DÝŽýLu^×V­ÎÈÝú‚AÝŸÖWÜZ&b‘°j&];ÝsѵÃ33^‰ÓñâBÑñÂwöšt¼ˆ0:´:#wãÕ +\|/WP¢Õ/D¥D‹è|e”Ÿû¬n+ˆÎڹ𠩔0¦3’Qgjàw×^ʆœ¼rõÍ'so>+´I}$2>Ö³?«1‡­%§ªñ«Wx«^1C|(:9:ýXVí\Õo½/…YK( |D¹}Å3Ljþ?u&o9ºƒUÚR¹ÐrY|3BŠÙ.«Åqt\¸õØ9ª55>WŠd ÿ&òNŸŠÚ ˜6¼}{q~á9~Æ禭έV«øá3ô™t—&TÉd•|iK•ýÇmÉĶ\ + ¶åó4ÓÑ\<ÜùîtÇKw +.ÅjñCí.raV~(Xܲ¦H‘i“3”X‘ÛZA<Ö +Ò‚*‚¬r?šÒ ¤)9|Ø”«áæ|<|T2†RPq»V +¾5ÿ–Rê»IŸÐ/ë·ZÓ¡AØÎýÏ}µóH’Ûà|E‡gÃ.”ÞRx‡]Ø ,fö¬acƒ +NŒrâÿø£$R¬©ê©ª¾‹&én}MŠâ›Ôíó–OI·GA¤ +×9Û‰Áº«íñÑVåÁ`h²Âc啸’柎,ñÖA,¢$TƒÉ²(‘nìŠk‹0×-*M-Ä`ÒI[Ø"ã’è“ó#¶¸[H\ˆ¢¹xx ƒk‹œŒ ±Å¥¸[\Ž jTEBšRI7) +YëØŠ×a®#=‰!–ÆíZ`)늻§¨qØÜ +U¡Rõüôôü·ýøòõ»3¨T¦b‚V¹#Je”Rã½Vpa®³*ƒÁsYåQ­¯ªfú«Õ4…MŸ&ôó¢ÎH%CQ:8¶Hã9P¶’Ç62Y^ÙRêdGœm^iûãë·ç«g,d6&¥3#‹B6ʤtÜC˜ë¤ÞÌpAoGû@‰ÓµÞý|Eo\­Sµ‘Q“1¾¨ÚÆÈ¢4W\[„¹NÖ¶ÊÜÕÚVT{ ¶e¸$EèŒ, +±S(A¥õÂ\'½2`¸šèH™{ê¸ìÿ»Çµÿ;¢zb.:«{[G”ÿÁ‹ž¶Èà:ÛÛˆ5ô¢ÿ1\SG<íKÛ€K2ƒ+ć©x²…EQõ¨ÅÙa ¯uãʹ4°En€+®“˜’‘E!˜êBÑL€yŒ¥é18œ³•CÄY”Ï„à-Im’'&jD©™‹ž–-æ碷Þ;£½ÃÈ]>DäXaXäÑ +ã²›B~h…©#´,¡0µõÜ—Yü¨7E¤·Ë—v5‡]-Y½®8¬+9ªuå®ÔX+LÒØfüpé9e‘ô5ãDYŽ”ÍHëVÊ6±gÔ•XJðRÑrÇæ%4eJ–ªÇ Á&áÍŠ«eðñk±Ax|ípŽ„á‘s§öA׈þCÛ$YÒܧŒ¶¼½L!TŸ‹~ +Y_ccÑ\[D¸Ž6ZÍ€‘ÑNsX÷¼3Y©¬ÁÈ‘ï9-•ï/§¥ IË£$iy¹)wÐ +kËr_hrø¦ª¦ìZ+üǯOßMÁpð§Ÿÿþùã÷@¿þòåë/'!Ö9÷÷£âÞÌ$YÈÚ8%ZÄ@[’Ä⦘kwÌi2! +²G‚nçb”j I}Ø¡éHôTg¬Õ‘]_0XU†¬†ìÒt$¢Åúl´¬ŽìÒ@ªE¨Ddq\ïÐt$ ¤]V¢:°G‘ÆÎp&B$„µR +Ù¥éH˜±~”•¤ŽìÒx‡˜¢CMW²²KÓ ³u¹bYŒìÒ Dœ)uf4µœ +„[˜-ص‚Åhêê²F4f ‚Œ{Æ`üúfMÓFÿF“0­â:E…:Ûçì;W£¦fEBÕïµð6;ߎ¦Ù»çŽ¨íkÆ‹×Zi„­s¯ÞxñŒ,(¾ +¿Rayþ㇟¾ñ/ôc¾ý¯ÌH•ç“B¢ÉUàocìŒë úõÄ™¡$*˜¹.@2ÞWœ KEfT-ˆ¯=HÓd„Kè4Á´›çFƒÚâÝŒ¼÷¾s5TË´"‰°g\]aórð¡Ù½çŽ¨íkäů´Z#Í:÷Ü‹N4ÏyÕN~÷žöì+iDÚK/ª¿lh…6â4àÛÄ:o"g<Š#÷m0=xwóÖO=ÂÏí."Õ1¬$Và +¶zÎSÓÏ×&‡™"2 +ÞZêä ZÇ[sjÄ>ÍÍ;ëî•iÎè¶A5gæ mjôƒ»B1¡¼xLñ!§‹ã1jM‘ZWFt +¸ uNëz~·b°·ZªÒ Aru•ó ßêÑ[æ7+,?Ö"Rzô±â Â#ß`R‰˜ýô +Ëúr4dH÷Ÿ²ÛQ\ýýÆ¡6û3WC–Bª¬¹¶Hã:Z^ˆö¯ ¨×–ÎBe†ûŸSùÿrbrâ¼<(@œ– az°ë€ëȇyÄQ1À¬–óo]]0'z±˜Mi^TWgZSó!NsluËMÙAÞX³æºzb‚œäŸo +"#¥/+™ +yÃ+ `!3ƒÐ^òŠÁÚˆáÏÇŒ¤w7Ðüð–TŒVs»+‹ß¥èCÀ–’ÕìîÐAgãÔì~O(ú6Åø}¸XyLÄv¥*#GªÆ8ŹhUÏZñ+ÂÍj©Jƒ㊫µLh`—°zkÀÜ=¿™¢ý­Õ¡f×;<ÃAxè™^ô +[@ôåéÈ¢¢¦ùOÙm‹ .y?쇑SëØ}òhÅóúÌG ß…áZGàT¾gäÈ÷œ’Ê÷Wsr”ÎÉÃòÓrò‘â3ìîl\E[CÞ˜} +š£uÔ§ßØl†ŠÉ¨zÏ›Ãq½wyŠ¹\¯÷C&|È<2¸Ã8ûGLnÉ^ØGÈQôi²>=Pï-8œsªªànË'ªJŸ5ª*¶ ÓÜ ”eäHYGÃ}¨âÏöŠ/R%˜ WüAÃY?£¬ç·>Rñå%Ã7†‡¾q™MyÐ7b¡o©Ý#n¸¾×nÁ%ïçŠ?¸¸~¤UøÁóú|²âW†˜«ø’‚ÊŒùž“òzÅö–ÄYyX€8+(@Êò­ækÛ¿]ó-&ë~§šï-Ô÷u§ˆ3e©·¸Ë#Ë ñS4iEÒE‚§¹õ- +Q$X¹bX‘4$nž‚'š&2ŠFŠ¡ÕgF@Äz­ñ±žgäü‚[Ë”ú ®_ùš|’¦âɬHë5I: …%|mfWI0]Õ—7„ìå‹­ç„YdœIûÒ-ÜXgãqB>‘ÅYF;¿è3¾ å—6dÄ“µ(Ï«ëˆ"ñ°à*ä:¢Hð2¿¢¨€"Èè”kŠ†¨°©Ÿ³[êT$cG×êg¶öU˜ò +êL2TØÚ„rƒŸ2M°"£UØ* +~å*Œ‡ [2Br:l;r¶hþnN„­²øÊÓ*lá¤bV$ +Pa oåèuØvD‚ÖÁª3´×A‹;-uáµÅ í<‚–¸UÐvdi—f\–,Lm*SŒ ‹ mÈ{’ÆaLŠp.# ÁºdK¼E廒ƒ†‘=F¶ë‰a ÔÄÆÈÊ8–ÐŽMB{ È+ö¬®?”Þ—²ƒÝûRvIJRËsCS¯d¥{ +.œ¤‘üìÑ 4£ø$™ˆ˜†‘=FP¾¦ÒÛ'qCöizc3v¢,v>NÔOêq‘cÅ7ÿS±óIÏÑüÔ1G +Ö—r/‘šK=uKÓuOÂÒ®´¯I .ÉqÊÙì“°«7$醢‹D[Ëe¿#±vŒ„Þö®ìL=»RäüR9ŒÉŠßú9’¢`ö·Á°iYu;·ûÛ ¿°_ U8®^ïQç£"öu„">hÒСޘÒ4Ç!²•Fü{pZë×núàlc +%zž2X?+…?oPï?¸ïEß³Aû^ôuèŶU2º:Ô2b°šU#ÛÒtdÈhgšÑÛÍ{²\œ1”˜ÎE$ +(HA:š-h·õ7º!ÃǦP4úo—íàVg\ßÈpè—±Âu};¿|ò;…z_½`­Àa½?…Rèý)l½I…£(ÍFvv€"F BlÕšH’õ‚, +±“5fŵE˜ë ¼*Cqf¦Ù”þù¿W_ýò ö}ç.PÍz<ÜÛ[„ªòüß?}~úøéŸ?>?…?<ÿççç7‹¤ÏÈj´Ç`§ÙÕ‰Ó{9² $b" e—&üŸü*YŽãV‚w}Å|€£ûâ›d2,†ùH‡DÛR0<ðÚúÿëËÂZ˜²Ñ:Ž/$‘D¢PÙ…Z™ð|¾¹sEçX|“úR†x…d‰5åZGH—ìhÓ֯ɲµzØ¡•a'ô5ÙÈŒŠHçNýx®¹¼~ýÐoQwô[æF?ö+ïÕ:¾W‚¯Öq£9YaÅÇ×ðQ. îëÔ~$JÖø°Ø`/糖Üù–¬›‹\Ü(§qeŽëHtÔ)[$*ýà-¥W:‚’jYgoý§í€‚ŽŸÐ×dƒ1§Î9]7 y-ä;ôõŽætîÃD¯{Nï6¼×è´FU´8ß’úx-PÔUlH.¬J£¶xøx¶Î†E(Ÿjão÷ìWÅf³u ÍfkÞ´‰’ˆ¾Ÿ•ãÏwO/ßfŒ¢Ï0ŠTÉ0¢â;6"a¢W£ßgŒdQjìâ‘é€H~¢ïµÈMF +z“ŒþóåîévÎW½XtIÌ× +ìùjEj=¸¯Ùì”·5–<²cäv[,õ=T_Ò¼Ôö ‰4r`ÙÅB¬ýÛZˆ™î¶ýÛ´(Üû8³Ö?ûqªÝß%Y;’? “m0N½}D=Î_½z˜‘ñå"gm‘ÆÚ›Ûˆà}&à³á…ZÚ‚gZúê™gÉÔ¨ÈÞׯï’}ýÃï²e ú.÷P{—ÇPÿãÚAiBÞ‰7µhMF‘¾µKVÿþóáYÆ_Å¿¿|üzwólé¯ßï?M % +oÓ\@iQ(@Á¥ +YB­[Bk‹TÖnÛbŒÑöËÅ8†Êï­Ô‹†Ö.z<˘¼¿}xúòýåóݳ–p|Âg©Ñô1ŸƒÃŽÁç†4k‹ÌúLãšÏÒ¡`‡}ŸI ¦—|~ù8íuP‹OOK:Ï|®È: 1º„TÎù:3öü¥í:ç2Üß,r:U¼Aòݦž>h41Y;ÒÒ^cm‘Æš¸³Vy?òX¾¯¤àžºv oñ©b–9IVÖMBï”gAt ©œÉ°J$©+Í"£ëZ#£#=*k‹LG‡V58òu‡G×¹†GWºLQ–Ã92«u +,õ…[_|ÀqÑHIL®ìýÞ?¾|:šµ|H–¿à‚°ŒÊ%áÁt©¬ÙðAaÞ8šµzqlNÏ'­Zz`Ôzê"Æ LCÖ„ ÓÒ¢ri‹Mo·"+C<úÍI röTÁ~óÍzW‰Q$–Ÿï•/øƒ&Ñ¡Ã%înn¿½<þõ¤ŽèЙAŒ0O°U +r$€«q‰À) P¦ÅÀôç‹>«„HCC!ÂDàb¤;€L15 +ÂäPrQBrÒ¨œY=ˆðÁ¡ÑÙQ1¯‡7ˆaã˜iž -×£"+Cª眯+cRDÀÁÕДv¨†™PO,¤(7)}uÄG»äÏ:”êT4ß@ 4}„:‚yVv`í€EÈ©³E*i7å‚àm&(3«#ÈèÎKœ¦FÅì„b{„U§ P8C*ªø+Ĭ 0,æ/S[&ÐËâY¢Ò¨œ==@СퟓÃø+ =æ;Ë¡`saPnñ>…BR©Ø>y´pïÔYáãS;çìäõ’­í9@‚5y¶eOFPŤ?ÑÚ)—ÖÊØ´ÖƶõkbP$ö8][vB]gÄ舭~‚ƒŽÙÈël#ߢï¨÷®'p?^÷GÅku|¯Ë½ZÇ +f!¥š³ä³²=–Zn¾%´öð2ŽÊÕ¬¾Ð‚äÌr)9 SöÂ!9@(NÉáãÃÍL †zâÈý”ŒÒGAÏh¢j]K™\Aæbkº”LîWu&ÆS?Á"Câ£4yÍk‰—öø!ñ6¬Ü2 }nÏ›“×Uß=§³ä¡¦YjUämYM\$ÉŠœ'T–õ·û?öUm61§xí¹Í‚¼cE;ð9ãóÝÓË·›OJÞhb8q6T|Ç$Æ?ªËÎÜ:4£ßgŒ‚hüÂpÔQh˜wÒ5ä«¿i¢B?…Έ¬þóåîévÎY*¡ž;[=gѶ™$pw6›r·Æ ‘Ûm±Ô÷ÄÅ+•ºÇáÈe1ö½÷°ëm-~+û“·í§EáÞÇ1hŠ£ýÙSèþ–0)ÈÚ‘ü™l€qêíãbmþêÕÃŒŒÇ(9k‹4ÖNÉN\!Ѓ!ÅXÚ"CmØfÞ%S£"{_¿>Löõ?Ì–ÚÃÜË@íaOAýsDi†€ËÈÛFµ[ȤE±vÉæß><Ëø«ø÷—_ïnž-ýõûýã§ýkXªLøÒ†Œ:ªµVÈT†+òæ5,†U­m*YÂå.ûfbXí&ýTLfd¤ ‘‹Ìœ1±<|é©®!41‘Ɔ¼iÒÑm1»©qëœ8‰¯”>ow2#N"¦\Žä¯Sº¢±±YEŠwGªÉók1„r‰E5IôI|Xl$)sˆ”ƒ$a’ø¸H?¼¢ HeÍJB}XLNfÄÄáCDÍ%)sù=â6L’‚0IÀ +nàRY³’€@{0ÕD|{üÝúV +E)Ò «bCÖ„hí"¼+ÙÈÝ•!µøvÖ©¬½TB„Ø “©$à@EéPò ¹{˜)ÐUDm䊄y¢Ô‚ኄ)ÒŠogm‘ÊšU„z:¹VE,…â ÈL}®‚ Q É)sDªÅúA‚0AZíí¬-RY³‚!Îv,Mƒ™pÐc¢87=Þ©¤ Ì ÚZ®GA˜­ŒtÖ©¬Y=ˆ€WwLR}í ÈL¥©Š ›pzˆ‚0O¨ãpš+R¦H«"µE*kV"ˆÃŠ½xEf +MU¹\+Ç)óy*XÅ)S¤‘ÎÚ"•5«Ülímo…F˜1­Nšeš/QpMÎA y¦i™&#—ö4D£AÕî´=™³µÕö4§–Šï)'³s¶¶¶{¼Å€0œSuƒüØXgç»9ܹ ë¹d«GÞƯfë\Õ>^Œ:;e2’Ë Cбѱ–}q:Tš¶~!¤:×w„Å v@_’…LȈX<Æž~€@+Â,¤åë‡~…öÿ|ÁJ]xÝ}×èó§ÿ Ï +ÁsW!p§T÷"‰µÇ”Úl–5óªí(—Vg^Ì- B¸î–ê÷Ì6òš9¦Î><¾ù×ã_½y¼Å§UŠ‹;a6»FBà +R)*?ê½dýÉÙ€–ë3ëï~ÞÁЛµçœ¹Î鼞NÎI÷$Ê·ß>¯Fƒ9ùˆ›Â‰ ¡xËN$ ²à  6ôË)¦Ú6.uMÁԹΠ+W$;q;eèš©W7µ[¦:—_%7µÐ®ÛSÉ ‹ÇÄx{*3ï2Ò΀ڨI +­Ç›m­†ìAmGhzn…uÄ48ÍÝÞ–$9¤¹í2]ûˆ†]¢Þ,,È| +¹È¥.‘.µQ±’\VP„0Ût…¸V¬•Wɢ߭è·gÉ¢¿ûYöÔŸåVªÏrùÁˆè^OŽNÈuJÔWQÝ]¹"±þþáׯ*þ ÿüþîÓÃýW›~ýôîýëmEÈQn†KJpÉv‚1÷7äº"J 㡱¤š¤ÈB‹éŒE°©Û:GÀ… +9ÄA”ò¹K]"—RÃOH +·b Š¦ n˽_0¦Sš‰îVü:•Áh]âwïöPa +Pv¢+ȥї:~o÷P¦–'Ê‚,PzÑÍFƒ‰ÌL>­È#¦Ÿ@…Rï¡$¡ü”5Ù¦Téöš5´‡Òà)ÓDYJ„RæîÕJ'HÎVdÒÊ`w/w<ôáØVZ¶[K sìÝŠc;ewl§Ütl£Ž½[ql§ìŽí”›Žm”ñwÙ±è>®¡ÿ`öÑÈiNP`Â)©ý-¬T[LO–ÐÉ<¸(Í56‹KrU=²KAGU¸b¹¼7BW– h¦÷5ä<€ñ=¦*Ò¥.‘K©a +9áQø¶MA"ynÊRuïŒÃOœ ²À¨Ñ¯h½ÊwÊ2ïpÊ hPbÏ%³^å;eÔeA(!@n½ÌwÊ2÷sʶ lRb'¡°^æ{ε!¯Sn +y[éõ*ß1[51dÿ£Õz•ï”m‹”[Ì Ô˜eõz•ï/·ûµ˜M¿¶3üºTä;c÷kgÜòkg~]*ò²ûµSnùµS¿>_ä)½ÛLsu®_,òJ ¬©q"ï/(£VÛŽ` N€À×2ï·ñ1 +UöÁ~Cp´õL4:#ºžÁ s0K Mš*UΠ«éHZûž’»nªÃÏU‹#N¨¼„ +gµð¤EgHaÔ~æ–nÜÓ*d£*i AYêÕ/ôm!†ä“f£ÔÔµ’v6àÌŽ¤Áb:RIÏÔ™‡cÁû7P¹!×çi‹šŒ·oÂà] ßý¼ yçìšwΦúUNRpÂ2YªöÛ‡ÇoŸWXÑT°’8\áÀA»¹ë¦fí‰x×NÙÎúe…5`À²·Bp•Ô +ƒ÷aq°öÎ?>><¾Y³~ÄÏmmÈ–­x×u›ÛZx—¬­‘ÕØ"¤å¼=›FôSW‰©gtÀCŸ´Õ°ýÆ@Ü•…¤—ê ²#4= +·bƒj›¦‹c±i>ÖÖ©Èy 5~Ìk—“ªê#t˜ƒNŒ«"ó=2ØIêiRÉ +7MJÁ-û¡‰à"›Žà¡þó׫×[Âõ24d#úíY²èï~–W‹çæ³=ܵé×OïÞ¿^P·ÙÔW¡Žr©Ãi¹²Å;ŸÜóIÙ$Øbϧ~ÆLZ‰Ì¾ÂÃŒ¾ôa¶#ê¡Ï + )g…™º2#*ÜfúX…¸‹ž_#®U&{µ,²H_?ÁÏ¥+ +×*ëåÉ&èïíÉÆzûdÓ ß!À'ar:o-Œì5þˆ[u̳F•ñòqDc TëJn¦Ãa +E$žR«®ô2îáÇZq"Öµâác©-2Zs$`îmgKÐ:SÚZÔ&¸ÛEi³L +¿ºPÞí«/u0SBleUÿþçýSýÏŒ°‘Ú‡³Ô d²=Yç&µg, ¨.p0DÅý!Ú,Ñí¨`ä‹Ð,Ñz¬Z:7ùesDÈ¢'T`¿%8gîkE!øÉ[%ÕVd@/èŠv÷µ¢€$r.$‚AnµÀÖŠ~Ñêp/ +Xuðf‘p +ÙïE. i…ûzÅÑ(wz_…š|\c­¨áKH“¬jÐIÝ׋( +TÍÇž**'¤DRòò5TžíÕ$p°yl2æÞVDy`Îòˆ6(ã•Z•ø)2ƒMˆŽk{06&ÒΔNÁh­©†rböŒ\Ídk¡=xÒËT¢ú]§›¼ñ+¹¡ õŠùúUþöôÇÏ#J » +]2ž$à¦t‹¯XGÍI'tÜÀJÿ3¢4N6"4&'fï¢5y幡5áÿ­ ©äá_ßžþøuÌX3ʲnlöŒ¥]*{¸[Ô™Û‹ðÌ^êådêg úŸÊH;3O5+@o7x?ÄœÜ|çc96œ…{±[¶¡;cÓô²¹-I2°t DOølt‘útk²G„šŠ¬oÑ1¬¤¶H“Úi>YM' {´ùÔ쮨Ànä[MŠÈ®Iî>\“{݇kòŽöÓÕ‘ÙV‘ëZ + tb–¥²¥}ÿúåU%0†/O¯Žþúôùy„¸x…‘rM6/36‚úXF–ŒX—“)ú¼ƒ{*° LVy)²ªÈÞ£ã&–óÑaϘ×åß>ª7T +-$âÆ|aoŸŸŸÿýçןðJGÜšÓʦ` ;óGA¤CÐæ•7@“öõˆŸNç‰yjѨqSg¶Æ"O©&÷˜­µ‰™ÄG(ø@ÓYס“¡+: +¸N¨6‡ +’˜ºj?å~Ù¾iH7¬T`À¨ïK€ŽtÄÔ! BtSð¹ó8ÚÇ"#7´jLKL:¾jìÁ‰C½Y.lmÀŽ±&E0Ñ°2v|Àr*¡WÇ$õr*õ3¹Zg¤i[dGÜä±̯Åiëyüµ=8œ„;Á1 ­SÙ{ƒÓ<Ðí­iR‘¥#%€Âm@È´×£7FÀd}öIJm–Úc°Êut©U(œQØsYŠØ.Kn?\–;í§—åñöÓƒA¹ó¾r4!×Ó +¼"¥.o·ÿ'#1 Ù}sÌÓÍÓ ×Œ`ty0Œ;ÓJ`Ꙉ¯!KG å\’R[„¥öf ø¢ÆÁ˃3ÐYpG¸‰2'•žõíׇÇqWèI­AßÒ ?í¥*"Ý kºv©-Ò¤FÝŽ»!N˜’½)øÜÇ™«$Yĉê+á9ú5âÁ:?x69aÐNbŒ\Ímp=÷§’ÚÏßôÈ“Akn9‰!6ròäï°þ¶sàï7H à.ˆ1722[%$³B Üì]–ÀÞÔJ«Åêh2±ÀXÌŽ¹0þì70à#<ÖÄáIíâ‰PŸ{ªJËéTí F&ØÙ;ßé– cå`Kóµºû¢~¿ýÂÞ'²7åwMëõÃz“hãrÇŠ9)G{žš÷= +\¡3ø 3”9Ö#¤¡‹ kÃJf‹T¡Ëç],ç},1cb^½JÐâÇ ¯Æê7ÓÄÁA…“DÚ +ÚÕÖÔ g0ìL¢Ée¦à¨5¶Ä :rñLC 8W´+]¹xFY ,ba +6¿gF€bgf0%Zrät=gÇÈ"Ý+¥¶H“Ú‹  ãdàã§1!¼#Î@4Xj‘TI>œ¢FwUdiGܤóH„Ã< +òÒ‘‚,çBïBHÞ‚ g 3"2êI3b•Ç+Uþ&2ß¿‘ +è¹(MF&µv½ƒZGÓQ¾ß®Ò”t@ÿð ¼³/£õáË£þ¨F<æ'­\}V1$Nnˆtƨ‰ù rÞiFêŒ27T‡4„–Þ2v"^GßÚ©27càorˆšÌìV'æV7”H4Ä–Q\. 0Iå»”G´ýÙ֟έ(J¥‚Ìve{A–7pf6”Ò=àêŒh››ÿlÁ+-#‹@8Yj‹4©=–ËyäÖXZ¬}0 …U  VAñ.ùÞ»o—×tëÛ."ÜáHß®:yS`{›‚1h–³½cSÐÉäQ[w= ¨\ð×uZŠ]€NŒìD:¡ÿƒ¨…H5wû2hÈ +­XO ê½׳=¦cÆbƒ…± +Ø3SQBIc‹Ús9™ê8I½œLý F¢Î£ŒÏ´mµ#;ë*¿V¬«Ç_ÛƒÃi¸P¤„ܹ/8ìnoM“Š,)nÛ]†_Ÿ2 “d}öIJm–Ú¥}ð±  +¡';:¢bëY#u)¼Ñ½è·ÂÑ?\˜Ü‚¸0÷Zæá$‘”]%\A®+ÅHõš”:L¨Â‘¿ýòªÒ¿æÿ~xxyz|uôקÏÏHžNe@DbÙ,QîÆ3°4 ‚fjŸ'b•Ù"R¨" !eRÙS£"×7V[ÈXØy©¨‡ù€)Ä¥BX©,È€Jl‰V•#ŒUâ©^¯Td@å<9[õƒ>¢²´o©r§¡³J”.Ï*Í‘iÉÊ*÷’µ«Dv›B ì¸Ê8# FZÙÇ"Ïl±òñÕ(ÔĈޚë¬á±ÉŒ©5´ú yE[,}WÛÌÅÿ³_™[s‰÷–vð×÷êJ-8»])-È€Ò4µY;²ãñýh¬>Si~CA–Uä]ʈˆü9Ò¤ö¨4 8_ÀF%Î ~4ç?ZdI}bO¤3`ôÉt’w>¹5 Pº:STä“Ì9Ÿb65®r)4ÏÁÆŽ„XczWzã·‡ßþaôË@̱k‰$kK*'ÙyÚm„ú‘@ö±iÀrAÑ¥±%|椡m‰¾½3ù¾Ëß&hþ~Ë4½ú ‹­TÞпIItdžã©ß`X¡" +å +ü{{b—F¼í&Ò?Óê½ +øgZm4xãœNô"sU£4Ø\gØcR89ô#ãK +‰®0LÖé•Æ‚ÜPi°ú9è´øÅ¡Ç´Ti:†é2•ëHÀnp‹ÃíÅ)=ù`Žl´Bj„Ç£åÚ¨²V¬Ñ3rC«ÏDÈÍÔåÓ±-Ðt¨àWÖ6dÏÚˆxbÖo½=¶Ø²f+ôr6õ#È\EM´fçWB*géîc-¦Rð÷½UĦæá~l0¸ô‘aó»±%G²ˆ¬)Ñ[Ä[Ï!ůw`&î$-,ȲBR´gR[¤HíŒ) 1ÖñŠV…Ò +Ù ~­Küƒe)ZP-ËýÄey¼ çû¼gIÏù[{– ÿ£ñ)§PV»ï_¿¼ª„eàÃÃËÓã«£¿>}~þ8ð‡ÙàðìZÎäLq`l +A8ìa|K€ ¹’¦žlÎ8‡¿ùèá´r¦Ý\«Y (ž\D¥[w`z°Îþöµ57tRB£³¡i…®ÚV íé$‘„“·´jSfÍ“sÇÒÔã24Mnê£!­yà#7´F´0d8¡:Ø£-œjemCö¬Ufú›ö*Kn‡WÉ TÜ—;ùþßJÄ"ÃRpÞO*îR‹Ðh­ß>vß©´ 9—å±$'~ôNIGŠ·XaX£Ñ2Ö +¯JÁ+—e¸,͸XC³–¿Ÿ>E2‘—ÍU>‘50‹â¯`ÄçÈ@¬ ¹¿Ç·rciY«ëcóìúÀ6åGdU~lLQÿ§É&„¹4!jLƒ q=ª7Í]ÈÿŸ +L´MÏñëûcÞp¡¥?º![c'w"Ë»¡ø~¸Vžß +®÷ËíÌ YÞ +%xèÞn¹j‚M­}¼( +,#°JX#Ì>- "²T`UÐ÷h»ÄÇ"°úØËmå·>vÛ¡:®ÈcILü øoðâj¨°MLN`êv«‡k§=](ª ‰pU™y3+ƒçâ×’DN€ï…Y;‘2CO.à&.Dîo ­ÞXAÖæ¥pb²] +¢ðwÃZw¤¨üãŽ$ó¡Ž\™u¤Á|¸ÍË9‘åPà›,!ßÞ W –²XEXoÀž»½XâèÈÅG›€a‰Ã›ÎØ‹ª¼û\=JúÕxçÀ1Ú¼šÄUœ¥x9pLd=p¸ùíÆM@|-"«¯ÓµøØÇša–ŽNZ7©‰ÉGôMØ}î ¤\n$>Ô—±&˜^ªuiáÊàP¶¬ .¶Êà÷Ó×N "Y=1À+D°(þÑ$Y.yÝÞÒK#kwBpÁæù²úˆ¬ª?ûR”ÿa[ + šm¹¶ jKƒqòaœºIîBÖ{€w‡«þ¯2ö|¬žHšF˜Eá÷Ã×ð#¿ðBîïq.H–Fˆµéù'!d£ç‹â#²*>v¥¨þã®$¢¶\9õ¥Á¸9Ü%w!KÓO.Âø­çƒpüLÐf®\¦T#!ÿGŒP¦ð[ïï<ŸÎ‘¤~;óB~9^U*œÙàÁð(ãàbú%ÏúiH„@óæßNMTõa6íù‘¶*Œ>þ¼C?Çí +n2!¿™ÎØ"L;%å‡ÆRÝá}º}*"«O +ý(0¢‹oÝwQª+tk§’”ø‘zøxŽ•ôL¯¸Å + +á]Þˆµèৃ>FµA.k3ÛÞVÊï%’ ¼X5WõDÖ4Â$Š¾½^6†¬ ‘ï©G»qÞ#ce÷o„gví'2Ȫò³!Eáv$[väÒz°# +Ö#òC¹iíB~ÑÚxk­Å#Â+þfÂn?oµÛÏ ÿ¹ÛÓ™”r:s•ò9á[R>'a„,-ðš% v?G é)×(ñ=eÎÈòcY}ì55›ìž +‹v‡²ÝÓ#d÷ô 5=!«¦Ÿ¡šÜáÊ —•™#¾±2˜ú\ÔˆpnT +¹;E«fQüä÷Ä"¿'dú;qÞïúýïm~ +(JÈò¦Ÿ-i0|Ê7›³'—öƒ=i±Îüt|‘ûߎ÷äø)ÙyéøÉþ[;¾ƒK6$ƒãÓ™”r:s‘òØ;tc7¥¶Æ¥"°´@w„,~ŸÚ«éÍUÜQjû×®’â‘R¿}+"‹[«Íð©®høx*>=B†OÏPײèzŠÕäø ×E¸ªMìàn>Ykƒàï*aï&Ý¿S´ +,ŒŸŸXäø„L‡'Îûï]ÇŒaFÇŸ(R1åU?[Òà÷”m7gO®ÇÍÙ“óá¼O¿™ÿÕïcƒ-Ö·¿òû‹E¿Mør˜ŠlR@Æ +3ú8óã™xZ<"/”£”IÈYIiz9 ªïçûü;µô1=`TÕp Eæ§ÁâÚÙÿˆGÆNë홉ð3o®1ž±á#ï×›x†4Ç…¡g´…Ÿžá³ð:+@"<ŒËy°êpáÐÀks!äÅHq‡sA²4B¬EùNèô$„üÌ BK šz’sh?×ëRgäÅ|k©åD&K#ÄZFŽ +þ…„+àöãw ,d†È5Vˆ³FeI +ØÍôù<ôi¦‡bŠ+"Óãu)f‘3B(¯ÄÒÈn¦O”!Ó.>¸DªgS‰T#™E–F¶“=%“ +mÞ»”õx] R „pj‘¥‘íd‚YÕôåo²†KÊE™5B8³ÈÒÈv®K::,T¶\9§$s +çÇe®áÌ"K#Û¹„Ú­É® +œ[úul c'ýšN-²4²lIxœìZÏÁK$^WÒM؈pj‘¥‘íd¡µö­²ÇuéÂÈÂDdjájþ¦l kWÙƒÓž‡YÎõýtgØ4B‘q«>DI,ìÆ=5}7‹Fûš»7",eŠR!ÛâƸMâr¨^Š;‡ÃKi_¿YÆÈÐȶ°!›]„.:ˆ*õ9K#»ÁëÑ(œ…(lÀ‡ ‘¤€Ý q€2í!‘íõ{:Dâhd7j ÔhO5žÇ½ohA^„pçQ” +ÙîEŒÛÔ‹@Ž1È^ôÐ{%ÈnD„»YÙîÇ7³~ ½Ég)Un- fidS$'!˜¥Mç±HœƒÞ Þ)J…lo·i{²Þy!­uQnBxWD–F¶·ÇAÑ*Xh}òB$ºÜ$A,ìŠdpx0ˆ¤‚K4yÙè>DI,ìÆ=³bgÜו¹7Æßëêw=ü¸&òº!Ýݲûq[âÆ|BjÅÝÒQJ=É öÄëu©3òH…U$Ÿ²4‚¬eÔé¨CzƒP"‹ûÁ½N™ŽöÅžDÎ$råU°•gº×-yŽòãŠÈóx]ŠYdŒÌ*³4²™ç“0åô8Ï8q¢ìŠE&M"3¯‚¥‘½TãÜgJu„­¯KI×µ ÅÉ%–XÙMõ ëgº€€£Ì™Df^K#{™ÆÕÑ”i ç”d¦áüX£Ì4!”Wbid7ÓƒP»-Õµ¡KŸŽ +$ì¤OKd&V°4²—jIxœê +ÚŠ7ÿ€×•t5!”Xbid7Õ@h­}©êz-2òº!=Þ5ü ¹XÛª¤úé2ƒ»,Ç­"ø¥`id/îA¨éû¸IÚ95w)mL! –Fö¤Mq[¤ +äP½”v†•K +{þ&C#»²Î×t÷ݵHòP…þ ÁÒÈž<èZ´É +[ð!H&)`/h›lAãËQ¿ CìE +„í©¦ó¨á¿äu!‘Ùw‚¥‘½N¤¸-äƒìDW‚ìEB¨÷ˆ¥‘Ýn”„‡ÝH;, D[ B²4²%‘“ÌÂæóH"ÎÁ of-¹ +–FööEŠÛ²/Ù¡o^û" ­uQlFh;$–Fv÷ÅAÑ&X`}òB"ºØ!XÙ“È àØ`H‡hò¢Ñ|ˆR°4²÷ Ìzq_—åÓ9$•rôêÅ‚ÈK 0_Š SÿFÆæ ‚Ó 2Np*Î øgTý‘àž8> ÄÙœANBË$c³HñÜYDŠ ™)%ÎûïÝ¿LŒHñÜWD’á¤"K#ÛižŒ)ÍsÊÇ{ßŃÈë†@“½n$\œÍýe$úéôç±8àÛ]ŒR„L1çý÷®80f“8€œS’â€ócRˆ°¥‘mq B5ö Z‹CZkA°'4:£8æˆ/¢Vè I{QÏÝåÛ¨YÒ Ü­H22%Lœ÷ß»’ƘM’ŽàS½JIÃëZ¸ù",`did[ÒƒmŠžs²ÐƵ[ i0p AP°§Œ¹²X•ç±2æb%”AÈTqÞï*c6)cn;Bs©Ê@„u€,l+CJçc! +Uh¥IRÀ–8pY1ŠƒÎcqÌ•JˆƒK Ìyÿ½)ŠÙ"\ŒX¸Nq™ !)K#»âÀË$Ž¹„°8t¡µI{â˜ë•Ux‰#4Øw²œ¡™b Îûï]q`Ì&q´t”"ï”ñºt»a) K#ÛâBõ¶K·O!Uh­ARÀž8æKâx¶ÃzrÜQIGˆM¦q"ù?ÒË-Ir¢[™8d½µ§ºûÿ½È Õ8¢±úoúD¥Í¤SÎFCdYUN•%¢rÔÕP»¬®ôŸh/êæ +þ{¬r‘šh’¬ºnK rÖ} ʹ]÷ªà¿Ç*oRÃ8jéªJKDå­{ +b[7•5P‚KQƒ)“Ï=«Qô™Ü*çp:1ĽátŠkj8eòQ„½•%¬ò +§×ïƒê#áÍÂ¥¼.éheh¯YÎ*•%>¯×ʵç5‰#5 åu¡áN;½þ†«PXâõ¹ÜínÓèÖ8Nmt§m™&ËV¥²Äg´¼7ºQ¶RÕFÓãjþ +5¬…ʯÙ$è½ïš]i£:ï®–Bú§]¸‰2ò ÇIüGT–@õ[Ù5ÓwŠ—`>üíâ…÷!$4„¤{˜&+Je‰/$¨{'$$.9ëÐûSK:$ ˆT–xC2mûD¢e!$æs?D‚U–¸C‚Ö·\k¨ÛTðP%«,q×ëq¯îH^~ISÀC‘Kd€»èùûRÿ\4d¤ã7²>Š¬ã'"|ÇEïÇH›HøêÙô¸œŠ>Ž 8|PYâ=ŽSÏÝãHÛDí_ùøù©mXc‰;$hi?ÔxBOèQ_К¬<(•%¾ˆ îˆ8¥¨#rÒ¼T£Ž•%ÞˆhÁëˆÄÑ|ó¹m$ ²Ä’K·›ˆ¼! ø5d+rGB«,q…DêÞÉžw¯LÒûPŸ[–E¨,q†äÄ´’NæäS…Ä~î‡H°ÊwH¦`M;!Áû8$±7ú—^4Y‘P*K|!AÝ;!éÔó«Þzçãò×þ*‘€ÊoHHÐÎݵ76jÉ]ÏPös?D‚U–¸C2ëx\!¹wJ·Ý$ÏAN×$ùˆ©k+™”r´2V•—ʨuç5­7 +–Ýõ­½£ÊüïV=F-¢Æ¨BìE]÷°Æ;FMí {cT™­ž¢n †(Ø*K–È;CÍž2ÄúÍb .ç@»vLL…ʯϼlùœÚ1fw€Ïô´›ò®Bc‰Óçùû²g3ï„bs¥è&m˜˜ +•%^›iÝTìžÍ$.9+›éõ©%e3L…ƧÍó÷mìùÜúÕ"•Ï»Óò®Be‰×g-xís£`%Ý6èi5ë8ÀUh,qúL¿ï½ÿ1ÏÔÎBþ§ +¸‰ö°ÒÝ’¾Òk «Üy.WOz»zÍÿk¬ºnSÁC•PYâ­{ +Zþ{ÝÈuI×wW¹AŠ¡²Ä›kÔ½“ëKtª\S!§Jõú Æï-qfºÜ³Ñß®BdÃ|å‡$@e‰7¸ +÷²ÁÊ6<É"¼EcNÚ+úœè«êŸØ¡±Ä[õ9s´o5Þ‡cHOèQ_Bpè ²Ä{ Q÷Î1$qJQÓÎ]ê ààAc‰ó(ªß¿=Šqô#ŸE§Ã|i›QYâÌÇ%ˆÛ©–÷!!пÚ4§AT–8ó!uoäcŠÃjšW>&è}ȧ€eK|ù¸~Ó^>z9Î|ª|Ø/ý¨,ñæc +Ö´°“¼ó{;΢/d!HT–xóºwòÑi¿¬jÛšOËzq€4@c‰3ôûvî­[±Qîú*·_ú! +PYâÍǬcqåãGÜN“<9U“dª¥kiáóõòÞgLâ3Ïtâ3»**Kœ>cÊÛñó|ÆTϘÀVàöùKðÒg^¦Äg^aÅg¸ +•%^Ÿy©Ýò™WRñù^cÅåëo±t ð{Ì[í†Ç­qèÞœz ™E»%ŽBe‰×ã‚w·vŒTµÇô¸šuŸe"¶.‘~ŸIÐ×}ës¥eõ¼oåBÿS1€ÉG‘xÄóª*KXõ[Í5£ß'8çòz£]ïSù¨í)é|€ +PYâÍǪ{/$.9ë|ÐûSK:‹H–È>¦ íÃÕ£T>Ì—~HT–xó±zÝn>R§Ï“tݦ‚‡*¡²Ä[÷Ôò׺U®s¸Fr•k¤*K¼¹^uïå:QÇz&ëñ«ï-"1^"ü¹ž‚­‘4EŠìW×3ßù! ,2À›ùûR·³q¿NE#RƒYGA`‘Þ`¬¢÷‚iÍ_"=.¯YqcÉÁàƬlsˆVÑøù•m ±Ä5BoFƒW#Uµ©ÀV)*KœuóŠµ[7-ªg>Uݶ‚‡*¡²Ä[÷¬[l§îFkT×׋­à¡J¨,ñÖ=kÁ¼ê¾'ì7uç€ýt‚|ÄÔÕÂÊ So­çªñÒXÂ"GÑY&˜uëZ{“‘LO)ýòºç죋|¡ê{Óî?Vy32)¿ë|±S ªí÷3­„ä!䣜…ÊVýZu¦&YnAjÒü‚§ýá°Áìð¡lk¡²Äk67’-³IÊÐfé}h³A`-T–xÍž‚˜6ÍFG³©õ¨3*„­•%N³qÛì˜=Å)Eev:ãqÖ¨lÂ֊ʧÙ_‚÷fó<'f¯9Z™ +k¡²Äk6o[fó,fó-fƒÀZ¨,ñš}ÏÖ›^óF(^WL“vMœ…ʯ״éŽ7½&q™×”xMïO-i¯Aà,T–x½ž‚6vÍnýˆCß©SŒƒ¾…ÀZ¨,ñš­¯ÍndWªÚlz\Í_ÁµPYâ5›}5Ë¿$»¹·Ú…›h#éàßëT–°Êìv”_®ˆXuݦ‚‡*¡²Ä[÷´ü÷ºî’ŽV¾æD*K¼áFÝ;á&ql§w¡ QG{ýCa‰7ØåÞþz=" æS?Ä*K¼Áõ¸H¡ý:¦€‡"Yd€·èùûRÿ\4Bé2Y‡f‘ÞH£èHGÚCÂW¿¦Çå5D®Pƒ ÄPYâõ¬µí/#6òñóSÛ0@c‰7°·ò!Ûª6Ø*Ee‰³nÙÂöꦅö̧ªÛVðP%T–xëž‚u™íÔÝ([]7?[ÁC•PYâ­{ +Ö +zÕ}·ð7uç ì$ÔR×;-“‘@+ÙGT–@å¨;óÐ+‡²¡µ79éã(W¤Žvœ³7-ò2ÂëÐ_À¨¼9™‚ó|×c§NTÛ%g^)ÉCÈGˆxË*K úµê|´yd–-ðM+Õ):ãC™"ƲÊ·ÕÜJ¶¬&q(C[M¤÷¡­f"ƲÊ·ÕSÓ–ÕèÈb5õ¡u>A`,T–x­Æm³cõ§•Õé¤Ù´FeŒ…ʯÕ?¯¬æIT¬æ%@¬f"ƲÊ·Õ¼lYÍ#½X}¯bôý·˜Ê +KÜ6óZ°c3o²bst³jÃ@ÄTVYâ¶Y ^ÛÜæ¥TµÍô¸šuÇcYe‰Ûjôup_[]i +7ÓHGéýŸò`ml¦^| +PYªߊ®ù=^‚úz‘á·I@*í-)é€0‘8 FCÜ᪷Bâ’³½?µ¤ÂDâÀ*KÜ™‚¶yѼó©â•%Þ€pËÛ +H¢Ù¸$]µyÿCPYâ­z +jùcÕëL]®ê€‚HˆQ£!îXsÕ[±NÔ¯†žOçãzüê{L$Ĭ²Äë)ØOS¤Ä~u=ó¡ÂÀ"¼á˜¿/u3ëe’H +nd +&’®ð'p'ƒKÞJF¤#|݈ô¸œŠNÉ«,q'c +ò¹ + ÆÈÆÏÏlƒ%Þlð0½“ +Ù›P³y¿­QT–8«Æ¶µU5-­g>UÕöý5Be‰·ê)X7Øûª­R]_-öý5Be‰·ê)¸‹«ê{¼vWòÑÇWÕæý5Be‰·jŒÂsõÔÚÛž—k=-Òó˜|¡!XΦù“ïìw,xÙïî—5úÅ9Ô­ÂäóEÈêÖüüûVx &A ‚_ß*wk~%:ÈÒ¢™H‹ž¯È-«†ü@ r¶èKÐËÿÜ—M·†ïú{L€€h~“¾E‰ ¼†¼N"{ Æÿÿšb³¾z8ÚæpròE#¾Ë·ù°HV‘w¥hWÀ›òSŒÕØìð†*ÊE”´™më +ºF…]§‰#˜ Ùh78‚¾7Ö‚M‘V’]£2‹M±^ÄÆ{™ÂÆÛœÂ&E É5*ÓØý~·H$EO+EMŠ0’kT¦©ñ±µˆaÎþ°GrÅ;›$רLcƒ¡@B;yã¶6*îuÞ°G…\³ØÍyçNlxºlu´£3VǺ·%®ä•éHÇ^º# +…ß{§‘- &§¡IHrÊ4¶6ÜÝîqMº§lPJ©:e“" š\£2²›ÁùUlªPV·c¥AårPêfu]¹©lwUšfåÞMB‚±¹®0€V:¤vÊ6Wš5lª‚MuE°•‚Ê5*sØXiÖ¨©B5Õ¡V +2*רÌQS¥YæT+Ø” [)©\£2‡M)ûAìlìfØ]¹”z¬+7•îšÆÃýØT%$Ú½²H¬¹qUŽQ™‹4UšµHSÂdª+­„T®Q™ÃÖ†»±¹BHʦº")[)˜ •kTæR6Uš‡R¶~ëÒCLÞºò4+W®c{úµK°nXÛÓ +yßà +ÛˆÇý¯Û³¸¸ŸqñÄ+\Ì +˜DdÏu{³ÆƒÈºØª‘Q¹”â5ã-¡{f¡Á°ÝuLÅ«ŸŠ3+Wö\·g㌵p1ÎxaPÈxÍPȬ "{®Û³ÈýÒ±HŒ9Rc*VĬ !{®Û³ÄW†µÄ,È”†@”Ž(žëö$2%åEd¼¶+d¼ì+dV‘=×íYd¼ú/"goÂVUÊp9ç‹:þZÙ3„6 +ÂTÊØ +íÀ®¥ <;i‹ÆƨÎ)—ƒRKP'î¶Ò]sg°õwð¾\«Šz¯wŠ™Ûȧ£2GŒ5pKBÆò¡ •‚Ê5*sØXRÅ®Æoþ€Ý…m7c½Ó£Â®iìj\]­„*ÚX÷T´•‚±U®Q™‹6VÃÅhã5RaãÅSa+!•kTæ°ñ:ºˆÕIacTØJAHå•9le¸›Jš`S­tHí•)l*‹ØXÖ6B…­„T®Q™ÃÆòøàÞÞÓhÒ{µ·!{o%ê|C!×ìÞÞ~]-‚MåP°YaHvÊ,6È5jÊ[BMÙN¨YaFvÊ,5å¿5lª‚MµE°YaHvÊ,6U›±aûná€Ýàè£B®ilXÊTîŦD»ßš$ÖØ渲cTf#M·¨µHSÂdª+Í +C²kTf±µánl®ŒÍu…D!HqÊ$6Wš5lª‚MuE°YaHvÊ,6UšÇ°k6>fŠÂ†Ù’4ä +…\³ØhXÜ$‚M[B°YaHvÊ,6m’%l>ŒÍD!HqÊ$öµa1ù vOvmdǨÌ"Sò[C¦ò ÈTTš†dרÌbS™y;oÖÄ-*lR.JqÆY +yK!×$v3„W¯Pmº0I´YáزkTf£MW¨µhÓ=S°év*ج0$»Feï«kÔt©jz +5+ÌÈ®Q™¥¦ÇÁZÊÆ +‘ƒ7!UiH¹(%W²ª+·rMVšÝàÃb¥QØXW6+ É®Q™ÅÆJ³†M _°©®€()®Q™Ä>îÇÆ“¤°ñü)lV’]£2‹ÝOä"5¦-EÉNQ³ÂŒì•YjL‹ØT$›J‹`³Âì•Yl*6bgJ>`wEcãñ†B®iìl¢s‹—(íýÒ¤bmŽ+;Fe6Òx‰ZŒ4V…ŒuEA³Âì•Yl¬4‹)›*D©&+ +*ªÒÔ͸Tu]vÍVšf°vµÒ6ÕÁ&E É5*ÓØTi–°9á36×`…!Ù5*³ØÃýØt”»?îm$ǨL#Óq\C¦„%È”æš$רLcSâ{ »zËL°‡¢rC!×,6ÒjI—XS—X“"‘%רLÇšJúZ¬éÞ#Øt[lR’\£2÷§5jªBMUE¨IFrÊ45Õ™ïc¿{MÝu³O.Wãƒ{JÙÂ×ÜÓËïïþôéùù§ß~ùöÏ_~þjëÛþòáç—Ï_¾ýøñ«µ?$j~z.~ùï»/oAç +Ìö)\€ß\i#³rÅÃl¾Ù‡¿3öAE}'zc·øÝ>¦¹+ðûûA©P8Z;Úíhl´{ÛyÏíWp8ØVõp&;¯¾ í6Fw‡^>Œ…¤/Côöë;¹à8‹×óýÇœöiùcNÛ‡ +þPžâÖ®"uŸW‚ûƒ(m§Gp%P¬I5`Ÿb+¥q²רët¯ESàøí†âŸ¬ÙlÅ¿Ÿ‡ô..ô#_ö4ô·O?'ï*öªCá²ÙTBñëí!=\›k~`ÄhzFãwáñZ­o¹úC`Úˆ?~|ùöï‰11‘Á.›~xÒJ41½1(\9¢«ûæ)Õò _f…ùä¶; DÔ^3¼‚+oŒšLÝwôSÎû¨ÿúüñåÃÜd7cs:L–”“ÉUÂ&Û‡™.¯+ÜkUÎwiG¸×.ê¿Q³†Za•ó¬ƒŸó*,¯ +ï³µ)0¿Å•áQe¶´GºrWOEmTÄEôÖ›-ïÑgWW.¥Mäè•î:½5)¤ÏÍ;n¨xU<ðÆqºút.Õêß}.)ñ±tT¥% ¶ò[‘´Ý¢I¾=FÏŽs„»L†«–ÏÅ$ßÏŸÃ{;³v®0„…‰>a;Ö.l}V±”C;Yi·¨z“RV=‚I.í=õ>¯ºOb i7„6&9खž¾úÛFöjÌÞ~}'TÒ£S«¶Ç¦Ã¥‡C§%+T[E‰¤pè£ûºƒÍTY¹Ü‹úp¸]oˆOqzm`¹÷3—t\4¿ïø¼«ª-¡%¥~ºú¼Ë“ AjÏMÛÖÀE™³êÛºzV.ÒÇBÊ+V÷AEõr‘zN >¨À+¤ðv*Ô…°'SÈÑ5VZŸa»â÷C¦ä %dl:xFMg©´õ¡÷‡Œ1™JÛ}ª¶Š×<†Pß&ªƒ?¼"Ê §o~ß9ú-CYèxÿ3‚Çä:ÎcžÔñX¤•2^lû¢/Ö¬œ\¬[2Œ.¬¼"€3´¤ 7ÕºAB™xE´PÔ­.ÞUáµåËáÉÄÊÙdC„eíÁ K¯U^Ü…_܇œf]zE‰¬ +íÂÓµ ÁØW׆" óÅ]"/Þ7üj`ÚAQ.âçw»øÁ +¾ØsÝž}G4C°‹ï:‚*¤œ­=Ê…wÇ›óÊÓüC§r%Iäñ¡bÿæ;"xˆíÿéá!lЌܑÒ>ùÔj¡gå +ŒöƃrçÄïŽ|ÏCÂ{%FÜ…7„µÛX{pÁ‚Ýmxzµ#íÿ¸¯šÞ¸qzŸ_á_àZ²>-’.´ N»§ 0²Û3Y È¥ÿ¾¤¾\7cOö–“‡o(‰¤(òÑ0Uo´«SÁ6 8t6Fš¨ÎÊ+wЋ‡ˆ'Ê­ÝXÃÁŒ¬rØfAñC¯0Û¶®fdÅUŒ¶Žæ¼Æ×íõ³Üª‚“í©%ª +‡ÉOÕÁ׶¶šÝpªèfc5îÇû×[î¦äàÚÝè DõUwSέþ¦$àP€t{MÔ–H]”­WàÛž£_V r˜!äÈ|Õ‘Ukå¾]€"p^¹ÏO°‰† ë·Ÿ^esùç¾Ê\|Ê£\)>ùM¾¢ø4‘7<†µa3úd–Ó®è £îuÿ³Øat Š‹7xÌq=esBhÖ‹½gôÀ˜T¼·84€ê=דºf‰¤Ekcô]ô¬ïõæ1wâiÌ »É\^ïoÿ¾ÿtss·B|©Þ€;OmØ`~ñAäÐè€Å™ +4ÕÁ4pj~|ᆭ§A¤•òRË +ßÜê-–GžñÈÎщå ó:¸í\ô±ÈlD Ó¡jÀ CDrtŠåheÊŠƒ¦ˆÄÈ~Ö3+§€î"BNóMyÛÈy‹Œ€°ávë¡è}•#PgÇÕZ0&GG¼Œ¤ hL=îª3žÂÖUg±Æ4Ñ`qÚ•hÕ¿Ó‘DÒJ¬—Faæ‹Q‚À(ô2äA›[4ni7Ë­y¶«õÙ‚Zt +Aýùý>H¹ ÷œM:‚8¬‚]½cqô†E ’È/P1T +8]¬YŽ˜v-b‰e—Btïå ‘å ïf +Ùê¼AëÅ´Z[Þ¨Û+Ýõ­ºmP0íèËHH~E€ +ƒ)È¡AjÚ°Y¦š¿"ÇÅÎÄ`²“öh””¹Œ”D¾GEHýVGÃbÕ±Y5ÛÇ$D­sˆK,ˆµ§ã„F5“ƒçÒ]®AYœw K(Ëó"O/¦F˜Ž§^àK.|P²ÁÑæúto()¬‚hš‰ +«`Êc¸|Žk†¬¨#R¾ÚôÀˆi!o"R44ÍÿÚ¹fy–yÑÏ€¥¨•õ‚SÍ"O»jAÖ¨&Ë3äŠ+Cœù-üV6Õ]ÐèŸ'&¦Jì–”Y„h~á`2ph°•ègk–HZ´Öm 8²:nh‘¥§h”ê@Q #gÒÕõÅå_Äbí†lÊü³û\ß*±'BD¥¹ûˆ›S +G¯@¡ñeÍÙHìIŸêÛyį#Ìh=Zîö÷×7ûû?ߺºØRpçm¦ âž¡m¡Œþ´N³Ç/5k8y²Zì“ÙÇ%{†1&ŒŒô `™†EkËJé"O|pU£áQðǺAéY ^¥õ]ÝöêØ!ò´«FT +±1ï0÷b~½Q·×è×u;õÂwM§ºý?;Å®wø @÷`pžHˆåGûñê»ÛËNÙ¾ûüxüïûîóÃÓÿÇǧçîîéÛs÷eúúx|xþ6¥çüS€¶„{ +endstream +endobj +377 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 19 0 R +/F4 20 0 R +/F5 171 0 R +>> +/ExtGState << +/GS1 21 0 R +>> +>> +endobj +379 0 obj +<< +/D [378 0 R /XYZ null 734 null] +>> +endobj +380 0 obj +<< +/D [378 0 R /XYZ null 707 null] +>> +endobj +381 0 obj +<< +/D [378 0 R /XYZ null 422 null] +>> +endobj +382 0 obj +<< +/D [378 0 R /XYZ null 395 null] +>> +endobj +383 0 obj +<< +/D [378 0 R /XYZ null null null] +>> +endobj +375 0 obj +<< +/P 365 0 R +/R [222 56 657 780] +/V 362 0 R +/N 384 0 R +>> +endobj +385 0 obj +<< +/Length 2450 +/Filter /FlateDecode +>> +stream +H‰„WÛ’7}×WðQÚ²e©u¼3)OÅå‘k+eçê¦$:ÝÍ®&e­öë÷`ß4ÉæaFl^<8æê8zóáy®Ž~4WVfj¦¶Ëùt{×üÔftÀô‡Q¢~Åâw5›.Öê¢ÓíZ=©¯ÌT6Z/7ÓM¢VóÑóèínôæ!Qsµ;Œ¶Š•¨¸i™Ð¦]GüíRúw}ß«§ÇOÏêób6›©Â¦µ«j—ï]­\©t©>}¸Ÿ¼^-·›ñ|¡̾>ëúª’Ù,™¼NfëÙbœÌ&ì~ÍVŠŸ¨u³^ÐÔ~.3Ø?_u™ÉÀ–ÁÔõ¹ +ô¹›Rïó¸çÛb±ÑG?•¯Çƒì§¸l&øúOjª`])k—É|6Ö“ÙØG-òS˜ÂÕ×8Ö¥>šÂ”ºÁL½žOçëõFí~†ÍÐW*üS:%—˜Lý°u8ë\é,«1£¬W>¸+Vv>L}ÎÃ=vàY'Ð{´…/3Þò¾ õõË +wÿ‚ZàÉnpÂ+]W¬ó.6œXÀa2Ÿ³[U…+)C§vßNÕã¡wzì"œSâ,¯R}öí¶ô¡>§ä +u0!=án$½uÃÁÕÖ]g8õé]ëÇÚþBYØ Uš=éÊàXh¦ƒ®4®­¸ÿø%êõ®™‹¯ØÊ—uà®´6:ÏÛžž¾tÎ!kiÝÕ¬˜ª6°s›W) I¥º®-LÒ¹+ò·§ÅݧwòëËn¡wÇf í/vÈYö½nzk:wcÃá\c\Ë;Âw6³a‹Æ0 *[™Ü–f0½è[óm‘¬&«Ç +V¢3dÿÀ_q]⋶9q2éŸôàrj1†ãeÐZñc’à’¹$ºe‰Ø 5ß^ñ˜–.4P! +ñj‚Ô//z4Õ¦ÊuÚ‹šN oÄÞÈú~öAe&˜4˜Œp ž¤§àRÛ(.œÒêݧGî:F.ùsÚi|{í€Hj½QÑ#gB®ãó@3E‡óÞ‚i–ÎÌd$äP» ¯ëÐrÑõæa)ä?_ +/"^mï¦ë;"âñjºVê‰ãI=éj²ûÞ%¾ê8ÅO—èY`bêŠâ\Ú!Øñ“;oá'æ +WçSŸ'GyS[Ðgåê ËÂØ­§$ÐU¡«J^À Ž°V«Èù +ïUçÀ: ™†¿ù©úl4y¼GKäÀp#óhé)ÄÇþ'˜=ž‚ÚãAðJk~¥/¬P¡Ã$Ö¬ˆ¤ùUÙïø'cH–DkÒ…e‹ŠWô=©²‹$áʘ”ÉèpÎóVöTFð]éf˜ø]œòfÂç¥Ù/Ú:®e_a® ‡É(eÊ‚µ{#¿ ál*k»Sœ£ÔUû :ÏÝ$YŽ/¾;@¯Ã쉤a9~è )ŽÀT媪=e£18ÿ·"Àà¥A–•·O]IdOæ¬`Á²t®"×P êå:D¸$ñÀHMãV¡ô»æìfxebc¡ø-_yÕ^9²r›Á¶ãÜ¢¦¨Âõætk*öÐJÙ1eÉÄ „Ï­7œsN¬ÔóÛlLøÞ¾W„37Dà*{d‚ PqvV÷T+ +²E°¢h3màÒÑÀDFhíºÌ#ê9*ÄrIMµTü¢•–G‹^˜‰³ïÈ×ýŠì"'ž&óñd¯-k›\D ”þ­Òᄈ© +ض¼©5A`’PH°"¢ð®Dñ}¥µŒ|ŠV‚¤¨f Màêk$>ï?~é•AÃРՓ›´=È™þË㛿b Î)7‰ê±iUÚ9r&îº7ò1-²m½$Ã0|Ó'%B|iýÉd7L-¬>{̃­lÞÞˆ¨´¾NÖ”â(íÈëöù*– ÊŸÙÍÎj%ðqåíu´›’YI^¼¢—Ãc¿4†¸¹ŸÁr£={[z + ¦ßà>,àmhñ(I$2¿a€ÓýµLOhÝÙÿ³Ï&«]šÜ¶ÑG¢þw)N=4y›ÒÓí}JΔßÉòw˜_±MÈîgBêù {VêÛøÙ‚äx´ãåo€\@`DÊù©$?Ï+Õ™.õEJDDµ†ö+¡àå¸-/3)¡A†Ü2‚B…`SS1*eÒlûÿ2ä'³²ð€£ 25*BS\M²×ûÝè ï¤ +endstream +endobj +386 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 19 0 R +/F4 20 0 R +>> +/ExtGState << +/GS1 21 0 R +>> +>> +endobj +388 0 obj +<< +/D [387 0 R /XYZ null 580 null] +>> +endobj +389 0 obj +<< +/D [387 0 R /XYZ null 546 null] +>> +endobj +390 0 obj +<< +/D [387 0 R /XYZ null 519 null] +>> +endobj +391 0 obj +<< +/D [387 0 R /XYZ null 388 null] +>> +endobj +392 0 obj +<< +/D [387 0 R /XYZ null 361 null] +>> +endobj +393 0 obj +<< +/D [387 0 R /XYZ null 900 null] +>> +endobj +394 0 obj +<< +/D [387 0 R /XYZ null null null] +>> +endobj +384 0 obj +<< +/P 378 0 R +/R [222 56 657 780] +/V 375 0 R +/N 395 0 R +>> +endobj +396 0 obj +<< +/Length 1848 +/Filter /FlateDecode +>> +stream +H‰|WÛnÛ8}÷WÌËÒaHIÔåÑqâ4‹¦ gE³²-ÛÚZ’¡KÓþý^$Ë›©Eòž93 +ÚN.oŸm›‰ œ&œ8Å`qÒÿÔÙdƒîÛ‰Gað?âÌé|‡tO_ÿå´ž„AÄ"¤î,&ž/ï›ûÉóäj1¹œ{$h±™Ä¤7! +<ZØc‹¿ÅJý÷6ùêLéþîñ™ž|Î9ùª®uµÊš¦ª©*)-iþx;u/dGŽðiž-ë.­’ǹç^x<ä¾ã ÷ßÅ_áéMñÓïšpÅj[lTVí.«1ÅΫïGéÖ|¯ÒR}p§yËÛÕÎtj>2WHçÇ*;´yeqßUW¶j+»Ø¦ÿÀ¤æÜ´ÆWfþ«Ã,7+nz9NþêÚ•\!œ´iõi8]&Â0¢Å5ì¿ïöm~ØçY}y»žt¾ç묦UµÎ˜Æ_ÎC½ + +á@ƒ2¢i‰®³¥+§ÛÒƒ4, +í`ÑU^vm¾oà‹5ÝÎfîâ¿£›ßQîG‹³×]IU­Œl+z«ó6ƒÌÒ¦*Óå>#¸y[§ECyKyCe¦œ® p‘~SLdÊýiÓd&Ôzÿ..´á‹?±Å!Lj+¥ÃèöËË;[ Î¥e¦&)äZ-¾‚0+ÕUÓôC5ÁèTj­ß•ßÊJ±ýV^dû +£iCJF˜¿²¢êÕi›—[j~6m†ó@µ@Ðj—ôG›ÖŠîmÖÒºÊpÒª¥]êz +鸄ˆŽŸJ žóæ +QmŒ@òòе¶ËŠ®êZÛ'†¾“… ͘1Ó mºr¥ÊÌبÞszRúåÖöCùb`ÇÑ^)3C+XnÙ*O÷ûŸÔà°ÝaÄöàív—¶Ú+uWê·¼‚on”º4'f'ÖlmgìLMŸ]Ô4^G4÷Uº6Ñ”X]¶mC‚mVš† FíÛaÎã£Î2»A®Tiº ¸B)Ò‘FlfðBgSWÊÈ¥œiõ§7-C'àز4]MVZ(ú +3Z)Z‰p§†û5¨¶ËWuË̤Å.ïwÙïp3»[/ŠÞ{Ö(ë»!BTä(ÃàòUƒJÃË*­×:Bà¶Na& ôç×â¾Í!È8Ì#šíÒ¹ëÕ÷¤ëGNCÓr›ímÞú].ñD8ä’ñw»€Ê)v˜ + +iÔ†Àê¶[Ð8VÑ•ùÊ„¨Ö´‡ì:?Ú¬.Ó½9ÛÀJ×( T©ùÅü™Iy˜Ñb ¢èšV‘fÉ|D¦½¿LPɪWtjel¡ÇΟHmƒCõë½™¬v?ðÎ(g56jøÌ4ý­¶LWßL—>ê¬9T0ðô®JÍÏ®jZóe€# š¶îVÃõÆ{ñãk¸<µc†}¬s%ÃJKz0±}8(&á²B]tC±ê¶;}Ñk×d†á5D";c¼+[sרì£l–/»b‰¡jCc³5}ýM³Ì — ãX£îZ.Š%s·Þ,P8©ÂÅSuÑ$žºS#… +¢€{ŒK\Ø‚ù*¦®þè“ü€‰èCD ™g>LI³RåŽ D;CÕ`èáF1ãL œë×QeßÄO$ |ŠxÈ‚žmÛYûÑ~GÈÉ¢«Àð7!-yÂ’¾DÄí?$œp¾ öU½£‡¼aü›ƒXFd¨#G“1™ýÒP½øcˆ6¹7ñe™ïLóF¦ËZ&yà»q¡ +}šÞ„=ò……Ÿ¡^–ö0b>{˜wd¾·´ç#£ãšæ NÔÀaÚ§ŸEá¢Û'ãÆóž?™UüBó ¤_åÔY¢"¨ïã˜ÅÞG·+þ/ˆ0Tæ|´†„QïŒÇ"|EFyè¡h> GÕ†‚3~ÞØSu'±òƹcV“qÄü#9*èc‹?ÄŽB"_=õdä1ox‹}u®\Ô5 zrá< 1ßëœwÁ!dÅ Î.el^!Ï7OwÓÏôøð䆸OÌ ‚‰ s–HühØõÝóãç)ê/éü3N &Íô²×1{6† +|Œ–x§ö™ ù¯SsV)æI’žTQ,"–¨Ô™x—Œ$½‰ORÅè1½”Ez8 \:yà° Áò"»æ)†hž÷ %‰í¼:Á˜ï*tèÏà@ÙM£›Ô;5ÀÛ)Æ…Ñ[åÌ^¾,nžN€$e¢®œHè¨R0þãºOS}AÆ +)\)ö$‹m=6¿»}yºÁóÑãN—„ +ê£=ÝgE…ç×}z{¬s8Gœ—îoÄâÚƒäñ˜ôäQl÷/Ÿ/¯ïþ¦ÙÃõ{‘Œ÷a¼Ú1f"Ðmþ44ÍÎ1}uŽ;$‚0„ž‘)§nÀÙ§út·ø£×´ÀøãÈÜ4ʔ˫§é—Ù'›ÿ`õQE +endstream +endobj +397 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 19 0 R +/F4 20 0 R +/F6 109 0 R +>> +/ExtGState << +/GS1 21 0 R +>> +>> +endobj +400 0 obj +<< +/D [399 0 R /XYZ null 541 null] +>> +endobj +401 0 obj +<< +/D [399 0 R /XYZ null 514 null] +>> +endobj +402 0 obj +<< +/D [399 0 R /XYZ null 906 null] +>> +endobj +403 0 obj +<< +/D [399 0 R /XYZ null null null] +>> +endobj +405 0 obj +<< +/Dest [404 0 R /XYZ null 877 null] +/Type /Annot +/Subtype /Link +/Rect [266 156 355 169] +/Border [0 0 0] +>> +endobj +395 0 obj +<< +/P 387 0 R +/R [222 53 657 777] +/V 384 0 R +/N 406 0 R +>> +endobj +407 0 obj +<< +/Type /XObject +/Subtype /Image +/Name /Im1 +/Width 414 +/Height 321 +/BitsPerComponent 8 +/ColorSpace /DeviceRGB +/Length 39692 +/Filter /DCTDecode +>> +stream +ÿØÿîAdobed€ÿÛ„   + + + + + +  + + #"""#''''''''''  +  +  + + + +!! !!''''''''''ÿÀAž"ÿÄ¢ +  + s!1AQa"q2‘¡±B#ÁRÑá3bð$r‚ñ%C4S’¢²csÂ5D'“£³6TdtÃÒâ&ƒ +„”EF¤´VÓU(òãóÄÔäôeu…•¥µÅÕåõfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷8HXhxˆ˜¨¸ÈØèø)9IYiy‰™©¹ÉÙéù*:JZjzŠšªºÊÚêúm!1AQa"q‘2¡±ðÁÑá#BRbrñ3$4C‚’S%¢c²ÂsÒ5âDƒT“ +&6E'dtU7ò£³Ã()Óã󄔤´ÄÔäôeu…•¥µÅÕåõFVfv†–¦¶ÆÖæöGWgw‡—§·Ç×ç÷8HXhxˆ˜¨¸ÈØèø9IYiy‰™©¹ÉÙéù*:JZjzŠšªºÊÚêúÿÝÿÚ ?%ü¾ü½Ò|Ý¥\êüö +É·D„'i%O5;üxk¤þTèÚ†¹msªÜCo¦O 0J=:È&„MVªõ߶~ZiâßÉÿ_żw,ÒCV³)“— ¥ŬïV⢀ä–ÖÚÏKžöæ?0Ý[M¨´r^$¢ÁIe@±Ö9lþ + èÍÎ}dã—QÌL2".^š—»¹¬Ga³Ò?(4OÌö‘úJäA¤ýHÁ*ˆùH. 3±o„ŽÂ˜_Ë +2êzÆ«,XÅm$Rº¡g3«3N#á¦t tµ²Ô/õ[o2Ý‹½I [éWê.¢„5_©²¥¦À{àKï$é¾`¼}jçXÔ'¸¸UGž)-P0àQH­Uv¥:d°v„òK&y˜œQŒh˜åR©í½Kæ¦ +ŸØòðç—b•>µ¨H –&”Œm$eKR9Ñøqcñ8‹iWõ> ›ãn¢yŠBª‚§2Ûzû’LŸjBÓ#‘c±Õ/›Õ‰¤´H¼½X¡b¨¡®ÿv oÊtPdóƒF¬e²%L$¬´å(ÙxõÃüªå+'ü©Çú׃ú#æXJy;ËRÅu4:…Õ,‡+”‘"F¡Xþ:30Tn¿e‡}±OÊÞUÓí,ï“T¸žˆMÔáQyEQNKÈ´Ÿ´@ më@fëùU§¬—Qÿx¼.ëuÊhãIÈ´ß°|>ŒU?)­%ôÖ?:sõ@…†3ÈôÀ_ßoVØaþU5þ3’ÿá8׃È|ËΤÑ|Ÿ7×åÁd+éÃöÒ_@¤;Wz°t^OòÓY[]ɨÝwsqkilCEpÖñó«>¢¡¡ßÆ\ß•W¬²9f`U};eô/@fÝ©·Ž*?+lϤ"ó›Ëë:$feVZp$Ç) ncs¶øjïU“Ê°ã^!óyØòö…ú"CëÏõ‰$*my-B 6¾å^5ûCÒéö°ÊÿÉ: +µÅݽ¶®'ôc¶{V,ª&{‡¸¢ÙBo¾ô¡©¥)“‘ù? Kè/œ ”9Óñòæ7+O[®&¿”ÖR’©çPôu‰€†#GjñCIºš±þU?ò•“þTã^!ó,Sò†‹eue¢dð4Ë#²GÍ‘b~«O‹Õ¢ïÔa}ƃ£Å;ÇÓÉ(Òä ·9=b +ÑB°n§Â´íÓ“òŽÚh£<ædŠvÅ ‚2®Ç¢©õ·¯lßò¨­Ú%œyÄ´NèCÁM)6ÿó8žÕ;Ö«'ü©Çú׃ú#æ^p<±£º¤ßòÄ$ÕÀdYxF]ÁN‹)e§R‰¦ƒHÑæ“<ˆ%XÜ‚ËUäŒì§àûU_‡Ç:pü©²nùÉ€tYšÙ*倡2ø©ÛúãåEŠ™+ç` _Þ~æ/†›oûîÕÇùTÿÊVOùSõ¯ôGÌ°ëÏ#h0^Ik¬J¤ÑÛ ‰¸Ç³Á%Ó4„âQPìÔÄî|›åËKaq6©+p¾6Sˆ•_Œt/õ…Ø(H§Ó/ÿ•W¦úó¨ó›„W[) +T‘G>­6o‡ýj´Á¶Ÿ”ºt&xæóåßï:$ +ù³’γ‡Ü~ÏC\?ʧþRruÿ%à¼Cæ^a“åA +µÍÝêLVWx‘!n"30$ºü\)·ÞW¸Ð<«lÞ£ß]µ¯§4…Ñ`iˆŽ*cQνù-¿òf•¬,àÕ¯£¶H%ygqŤY ¶TŒG{rœ«lwؼ~AÒ¥àÌór—" ĺ‡‰Þ¤04Áü«/ùJÉÿ*qþµàþˆù–'—<®(#¿ºä.~¢Ä FóGŽ(Ì~S•ô¨Åôß)ùkUõ…µíêz6Â輱ªK8¶rü›Ò=%§òûKPIó<£Š«·÷;#S‰ùB˜ËÏËØì.´¸¿LÝÉýÏÕ߈_Fi¹+qaÖ? #µMïªÉ_ðœ­x?¢>eƒ®‡åŽmß\š´+±ž6õ¡õú–S𲺸ɇüª¯,ÔÁ'üÿ\<“òëËP³«ëŠåôIy­Ôs§."¶Þ¸Åò'–ZX MsPiffHÑg·$²©v[ÿ*“Œ{WùÚœ§Ý‡Gù”›þUW–?ê`øþ¹¿åUycþ¦ÿ€_ë’ ?-ôX…VÔƒJ!žÜâ*iþ„¶¾Bµ¼‰¦2\Ùá‚V‰¢[‡oV/UÙD~™ +´=Ï%ü­ùHËÿ*±¯ù•ùU^Xÿ©ÿàúæÿ•Uåú˜$ÿ€_ë‡ùB‘J`“ÎL’©UhÚ ý‘O[¾&ß•¨òÆþv£Áýòcä›…ø‡¯Q¹¦?ÊÑÿ”Œ¿ò«ðy™IÿåUycþ¦ ?àúæÿ•Uåú˜þ®Ê¥ƒÓ–oñ¡ôàsÏèÇDpx•cëlAÇÊ¢‹¿øɸÆÍ· ” Š]Ôþûªª’~Xÿ+GþR2ÿʬkÁä>e#ÿ•Uåú˜$ÿ€_ë›þUW–?ê`“þ®Ê»ZFÇÎn«'.,ÖÊ£à*¬ 2ìAn‡øcåM b‡ÎÿPå}ëÄŠƒO[ÃåhÿÊF_ùUx<‡Ì¤ÿòª¼±ÿSŸð ýsʪòÇýLÿ¿× Ï刕¡vftUv n­EpXVSû#‘ð]úeÉù]oÑÁþ0ÚEæ¦;2ëÄÄ—I +Š+}qþVü¤eÿ•X׃È|ÊWÿ*«Ëõ0Iÿ¿×7üª¯,ÔÁ'üÿ\0‹òÒÊf œn 4m(SdA*²¡“íz‹Ä/RqH?+ì.#ŠX¼ñÉfUxÿr€•zñ43W~'îÇùZ?ò‘—þUc^!ó)_üª¯,ÔÁ'üÿ\ßòª¼±ÿSÿÀ/õÃGü¯³E‰Ïœäa3ÇpµyL FYM9ß•6Åy:2‚Å+tZ‘Nœ¦A¸5éò´å#/üªÆ¼CæRùU^Xÿ©‚Oøþ¹¿åUycþ¦ ?àúä†ëòÓG±ˆ<ú¾¦ÍTá’ÎG*€*ý]©Vnço-µòw—o­k”SË 2ÉY!XÃÌ‹ @ímÇö¶ÇùZ?ò‘—þUc^!ó(ùU^Xÿ©‚Oøþ¹¿åUycþ¦ ?àúáÈò'•Øõñ•?Y¶Úµ§ü{ûb‘~_yváý85­BGâŠÜ[“Ä÷ÚßßåhÿÊF_ùUx<‡Ì¤_òª¼±ÿSÿÀ/õÍÿ*«Ëõ0Iÿ¿×Ô|“oÈ–Ú¥òp»ú°i)>«­Åh±Ç¿#N½0/ø-ÿê÷uÿ"“þjÇùZ?ò‘—þUc^!ó*ßòª¼±ÿSÿÀ/õÍÿ*«Ëõ0Iÿ¿×ÿ·ý^î¿äRÍXÙ<žb¤}nïŠÍHU€V$ãü­ùHËÿ*±¯ù”Oüª¯,ÔÁ'üÿ\ßòª¼±ÿSÿÀ/õÂ×òý¤lUµû°@û€vâ$=û)©ðÅG•¢7FÌk·^¸Šz+ÓƼ©ò´å#/üªÆ¼CæUü¿ùY¢k:>­0µÓ#µx®#Žfá\°n`Šm‚mÿ(ti¼×} ~’¹ú½µ¥½ÌS&iÙ—‰øiO‡l[GÑ5 + ®ßNÖåS|#B[Kyƒˆjc¨›˜Û‘éƒß[ŽþmIuÖúÍÄ1ÛÊM¡CD´j#+Àq'°ÌS¯™–Sù™ú…Bø‡ âê; +䡖ÉXü¤Ñ›'Ð$Ô®VÖ8_™é?Së +lcû4§Ãó®Ô¿-4[:hÞ[MFv±Ôí帒å„~¢Òv + ;˜€ß$‘E¯A©b}ÒôÛ‹?Ql­Bú"C7^lÖ´®ÖåÕ×Ì~X×VšMFM*îõ.Za鄲–ïÓHÖ †¼ÙI`N]‡Xe9Dx¡rÚqÇfu]à”ì6êÿÿÐ9üºYŸÈv±Gg5Ð’yZ°²!S¥•¹Hé¸jR˜|öÉ(š]òI¨fšñp3}Õ•E~Yò ×Ñy;I|Ï)n„NcyˆÏ 'ökü݉òêzüÊ"6¦5Q–w‚APd$UN]x³5{ÛfF·ük?ü6{–Ûi(m‚êâ£FÞ´%}>m"*Ò~ƒ—Óƒà†kx!·Mc»„1¶<\’Ü—÷»žØØ¥¼JÐ…¯>-"@‰ÌBˆñj ?³þ°î×XÖ›êpÝZRI‚z¤Å"¸Y + ì¤=z +ñê3*éד ílZÚŒ“,Ž’zŽóC/>q<¥¾Îç%7:~“w¼SÁ#%ª²B*û+ »w^ù·–î-Bú·2 +¼¢Æœ¹+±n/ÄuðÿY{¡úkW‚+v»µXÌÒCÄ­_Ýzýª(jôßdë¤èÊÎÞŒÌe24œžCÉ¥C±¯z–4½B‚UôÂztyŠ¾›ò“‘¡÷Â-*ûW¸J_Y”eôÔŸ°w›[7@~vÀM¨ëðºzp=“(RZb)öBÑ~&_ÚþnLe e Ú5ªˆÚ3mÆHU¤}Ä,Ì +ö‚´ÕùÓ)còòUÉè=þ­¶¿ò"?ù§&‡¢ˆÜ6Ö´?îˆü?ÕÅPr +2g’GõÕ‘&aÍ‚óˆWw¢(ú1/E»õ öò?¯ŠBYþ$y=vR›¹®]ým5¸?y‚E‘D)̹@zZ(âûT5>AõKf+ÿJÊöϱñ¯æÞµwø¹FÊ~xª{6¤Om5¤°HÐ\WÖB^Wi-¿™Û-ž5³ZH³´,Îôç Ê +¿7Wa·‰ÈšjZô·QŸª4väB¯‰ªYˆõ~6û!wë‹ê7ZºH¦Ú7BafôÄ~ª‡ÍY_e@‡_Úìª}.™ ,&¶+‘3ó-Á}?Q‹|B‹ýó“ó'®1tÝne! +FÀYPÈÞ¿úìe,OóMI9¼Õµ[›Ûk«A6Ò4¿ ‚¡’j[aFù]Fjºµ¦›k-fŒ^úlÐ@î1§Áð}£SŠ¦kc¡-ÄàŒ!y½•ôëþ°Z€}Î,Ñélc-걎OX“#ÕÜp£9îG¦´ðùdZKÝv=Bå!·3@¬þšº´Xù§àŸm_´ûÿ/BÆÕuÒЪ؟‰U„nýèSöxÉn=A®ãdðYhvòE$q=a<n%½FŸ“/By¹8šé~_X£‡ÐgXŒL†Fw5„:GRõ­V_ŽG¬kÛ¬±ÙÔH²*½"WJrØ|Dþ×lRC\71 íBÄæuXßa íÊ´9q5ð'ÛdXé i£™Y"àEÔrD1–tä ­3>¡JÎf‡š…‘7¢ú˜S­eˆ>Ôî+„·º´W7-ɶøDpg§Ev(‡“ræÔö_ž#þ¼±Ü´õ™%º|&:¤C”4RkGû ÷ÅQ×÷73]ó]6âTÙ¡q$K1ó£Jì±¥|p¢ÚÆH’ÕæÒ.â­Ñ˜K^p*Ã÷Õê˜e,óZÅ-Êzs0«¥ +ÿ¶ëQ½L >¯·­e¥IÌ«oq) +áé$MD­Äì™ÅRô° +·èK‚ÉÆŒf†¿W÷ÿ°ÇÚÛ9LöÚ=ÂJÈ#gÛÔ¨zú¿äºm¶,×ú„-÷0ÞYad’Ÿ=•Rœ8€·-ºÕ­þ³=ͨšÛз‘”Ê=7,·i1&‹Ih>ŠwÅPÒéZ•ò;¬iníyõ€—/¿l¶û˜»–Ïðþ±þü³ÿ‘“Õ Y®õñ(bi[›«z‰ÀôGR€øE{îGÄØa§Üj<Ð^GEŒ¿§/¡€‘Õw麰ù×|U(ÿëïË?ù7ýPÊo.êî¥ZK:0 þòn‡þxaÖ¯«ZiV3Ë$Ñ­ØŠI-á‘À.ȵP©«m‰\ÜÞÅ<凉Q b#$F3ÃœÌPr.„·À¤›×cïä»É³5­H§÷Óì8û§öPâÒùSQšhî${C$[¡Î)ôpÅu=jB«õM‡¦eä®@çê +j *|«˜M¯˜â¸EÇc²ÄêSÔ¸d²àÌ »s÷ÅP¿áýcýùgÿ#&ÿª¿ÃúÇûòÏþFMÿT0gé`4Šm9p3¤ræ0=4¤/*“ÌíÛ®!ú[\;X’‚fEŠJ³sŒ#o¸,¾¢›íŠ©‡õ÷åŸüŒ›þ¨a½§?ø—Êz7ª¾§è›ë[âáÏô{ÛòéË/l’>©®«Ê>  +ª3¡ !$‰š06;“ +MºøaN½ÿ“ÊñŽûþL>di?¼—ü+7ý3’%Ëâ>÷ÿÑ9ü³±’'ÚKõû‹uõ' ". ùãcøä·ôao‡ôµá¯j[÷ÿžYü»µ7¿—ÐZ,¦VIÔº€M=SÈPöa±ÃÄò䀣5ÙåÊ]”2X9¯……#ú=ó#[þ5Ÿþ?÷HÒ=É‘Óc†/î ŠÖãŒCN((*]“ôã?E“ÿKkÃô[÷ÿžX×F»Š ¨.5 &7+ú„n¡kÎœ«öÁâ{Ó‡A¹†Œ^VO@ÀÒQ–„F!G@®)@k_jf:QãLE† {}Bêm£HcHÄ=P.Œk”t¦4®©xhj*-úÿȬ/èg¦ Á%!¥P† +Â@GZ×Ø|ðF¤\ÙK²ÞÉpcÓ`åÏ/ŠG¯Äí¿Æ>ìUú1‡]Zóî·ÿªY_£Oý]¯>ëú¥»±7SÁ8p¾¯µ +ñ£Ñ·åPöÀ‘èˆzÀúN$¯ +Í=/ÝŸ‹ì~ïaÛáþ]ÕDǤZú¦[‹©îëÂa’fþéS¯¦0ç볿ßîóVéö&ÅdRáýF°ãÇá Àn~O„vÁ˜ª+볿ßîóVo®Íþÿ¸ÍX6*Šúìßï÷û‡ü՛볿ßîóVÍŠ¢¾»7ûýþáÿ5fúìßï÷û‡üÕsb¨¯®Íþÿ¸ÍY¾»7ûýþáÿ5`\ت+볿ßîóVo®Íþÿ¸ÍX6*Šúìßï÷û‡ü՛볿ßîóVÍŠ¢¾»7ûýþáÿ5fúìßï÷û‡üÕsb¨¯®Íþÿ¸ÍX÷ÌkwmbLÓKv²’4äI‰+ÅkØ“ôbWRêkD°ŠZÏ4ŒSà«>xUGæ?,ApÞ£EÂO%Nä@˜“¾çL̲™Zs¦Þz¬¡ z +öA,ÞÇM +üÒ´ŸîZ0Ý Œ*Ž€ +ÂOÞrG¦é—6Wv³Íz’Áod,Ä 0!”Cñ†.CTÆß²ãÃ}Xÿ~ñŠ°ª_ÿ>±ÿ"#ÿª9¾©üúÇüˆþ¨äÿÕù×ï½Xÿ~ñŠ¼ò]:êxÞÿK¼R)IÁ §b +! +>³qÿVûßùÙ/õcþuûÆoV?ç_¼b¬Cë7õo½ÿ‘ +›ë7õo½ÿ‘ +’ÿV?ç_¼fõcþuûÆ*Ä>±8ØiןôŽÙ¾³qÿVûßùÙ0õcþuûÆW«ó¯Þ1V!õ›ú·ÞÿȆÍõ›ú·ÞÿȆÉ«ó¯Þ3z±ÿ:ýãb"âr@ýx+ÜÀÀ™8¯¨ž¡‡õ‡)ß‹P~õ9'–D1¸ Ð<2õeý5õˆÌ¬Rê<ŽÌƒÕ"‘D›(þî­áðø⩆l)Óô«›; \3jÅÄÊòË*¬”?YIQñöFýv¼#IÔ¬qËw +S*¬è¡û,â…Yvײ©þl*³ÓfƒP[Î1A·0}VJ+=NKð éì1ðiŽu;Bâg*dÚ!4¡BQ(bGýàc¸ÅS,Ø@tkàex$Kvi¦—÷LÀÈ$32³°@U¿x«µvqãMÖLò;^þ횪Üð²–âA§QE­?k®Øªy›#ÿ¢5¦3j,©ûª¢Hý> »Šý¿ŠµÞ»ôœzF·EÅà’u<“v »Hdf`ÜB©­Þûb©¦¡©5”Ð[Ål÷2β8TdZ,e$¹ïÁ‚m'–â,Ðv$2± ïT$aeòzz¦"Üm®W“±£[ +“ã†1É Ž8£‰eb%Û‚M9|A¾áŠ¢r¯äÄòŸüc¾ÿ“†kú”q‡Æ²²²¿ÂÆ8¤ø†Ò;´kî½údgWÔî¤üÀòã´kXe»… +öYZ2ÿh)¯ÓÓ24ŸÞKþ›þ™Éåñ{ÿÒ2ü£òÖ›æ.I&¤ ›iÚ8@Xügy#sÔøäÿþUÇ–¿ßGþú£/ÉëÝF×Ës-ŒQ2µÃY +žTý•XÛj{çBmk]@Yâ´UI•Àÿ“Y‘­ÿÏÿ +Ÿû¤Géå/ùWZÿ}ø?êŽoùWZÿ}ø?êŽ(uÍqI + "•fÛ‘¢ÿº»œwéýókÿ#_þ©f:Tå\ykýôà ÿª8×Ⱥú•í£ÃH­’N‘ÁRd2–,}/ò@Ã_Óÿûæ×þF¿ýRÀÚž²šó¤þ«¤>¡2¿½N4¹ÅQ?ò®<µþú?ðÕßò®<µþú?ðÕ[ôÆ¿þùµÿ‘¯ÿT³~˜×ÿß6¿ò5ÿê–*£ÿ*ãË_ï£ÿýQÍÿ*ãË_ï£ÿýQÅ¿Lkÿï›_ùÿõK7éýókÿ#_þ©bª?ò®<µþú?ðÕßò®<µþú?ðÕUµ½u)Ê+E®Â²¸­ß^0ÖuâÚwJÿõKRÿ•qå¯÷Ñÿ€ƒþ¨æÿ•qå¯÷Ñÿ€ƒþ¨âߦ5ÿ÷ͯüú¥›ôÆ¿þùµÿ‘¯ÿT±UùWZÿ}ø?êŽoùWZÿ}ø?êŽ-úc_ÿ|ÚÿÈ×ÿªY¿Lkÿï›_ùÿõKJµ¿"hvo +f··–HùÇ^J„‚G¤:ÿ*ãË_ï£ÿýQÀÚ橬ˣjÜÁn`kyD¼%pÜxñ&¾__F×m¿½ú£Š¨ʸò×ûèÿÀAÿTsʸò×ûèÿÀAÿTqVÖµÔž+Enep7Ûýõˆ¿™58ýNmb¾‰nWp-öCÖ-«Ú¸«ò®<µþú?ðÕ¬ùËú~‘}5šÚÞYcçy*ï†I®krWӎѸš7˜ÐŽÆ‘`=kUÖdѵî`·ôÚa/ \7 +^5‡­1Uuü¸òÑPLGqüÕ¿ùWZÿ}ø?êŽ-ú___‡ÑµÛoï_·üñÍúc_ÿ|ÚÿÈ×ÿªXªü«-¾üõGµò&‹dæ[7šÞB8—‡ÒF+±¡)Ûl¯Óÿûæ×þF¿ýRÍúc_ÿ|ÚÿÈ×ÿªXª/ü/oÿ-÷¿ò5êžoð½¿ü·ÞÿÈÕÿªxôÆ¿þùµÿ‘¯ÿT³~˜×ÿß6¿ò5ÿê–*‹ÿ ÛÿË}ïü_ú§›ü/oÿ-÷¿ò5êžý1¯ÿ¾mäkÿÕ,ߦ5ÿ÷ͯüú¥Š¢ÿÂöÿòß{ÿ#Wþ©æÿ ÛÿË}ïü_ú§?Lkÿï›_ùÿõK7éýókÿ#_þ©b¨¿ð½¿ü·ÞÿÈÕÿªy¿Âöÿòß{ÿ#Wþ©àOÓÿûæ×þF¿ýRÄ¢ó¯=}e'(Ü'f£ªiQኦá{ùo½ÿ‘«ÿTó…íÿå¾÷þF¯ýSÀŸ¦5ÿ÷ͯüú¥›ôÆ¿þùµÿ‘¯ÿT±Uy´H¬ë us1û<&pË¿z*®Änµ]fXÌsGh¢…÷™Åõoî†Â¸–³þúµÿ‘’Õåy×ý×ïœSþU/æ'ýX¥ÿ‘ÕL¥~Uyò ØåºÑD•$?ÕåV4ÙYYÛcãJ凲t`ùèééÿŠG‰/æ—´ÿ‹4/ùhúGŸþ©fÿh_òÐÿô?ýRÎ_¦þ^ù®(ÄWÞY2ŸVáhYø‰  ü]™Ô}­ÂÔøb+ùwæèøðÃÈRI¤wÿDɵXRŠÅ¨ ÁžÃ+þLÒÙ›ŽÝnÙ'Ž_Íz·ø³Bÿ–‡ÿ¤yÿê–oñf…ÿ-ÿHóÿÕ,årþ[ù­­ÝG—[›YŶ ¬Ë$‡eÜÈCW®ÝdÇåRþbÕŠ_ùýTÉò´r»ÖÂ>óø¥3—óKÚµoËÚŠE—s ‰ÙÁŽ+¤$˜äŠœ£E?îÊà K­Öq0Ô¦n2ýZàR +p£PTö?Ê3’Ê¥üÄÿ«¿ò2ú©›þU/æ'ýX¥ÿ‘ÕL—òF‹þSñüãÿ_Ì/vÿh_òÐÿô?ýRÍþ,пå¡ÿéú¥œ'þU/æ'ýX¥ÿ‘ÕLßò©1?êÅ/üŒƒþªcü‘¢ÿ”ü8ÿÅ/‰/滋4/ùhúGŸþ©fÿh_òÐÿô?ýRÎÿ*—óþ¬RÿÈÈ?ê¦oùT¿˜Ÿõb—þFAÿU1þHÑÊ~?œâ—Ä—ó Úµo3h×]ìÍ#Ë,¤j Ÿvd +ãÁ¯æÍ ›¤?SÒ ÿêžpùT¿˜Ÿõb—þFAÿU1dü¡óÑE/¤Î¬VBÊ Qûµ¯¬+ÌìOo|Odè‡ü‡ûø¥ñ%üÂöMG\òžêU„µdT‚à#}=· ý5])$õƤÆUq"gqÙWGgEÂCöxö­s“ŸÊ¿ÌH[{mW†tÖ,mÁ¨ !@L¬@WÚ üT®ÿ•Kù‰ÿV)ädõSÙ:?ùOÇóüRø’þa{NŸ®hXãw#úò¼ÇýqBæ´/Vó6q¥_A Ò<²ÛÊ‘¨·Ÿvd`÷~9ÅåRþbÕŠ_ùýTÍÿ*—óþ¬RÿÈÈ?ê¦?É/ùOÇóüRø’þa{»ù¯BæßéÔô‚sÿ2ò¿Åšü´?ý#ÏÿT³”èÿ–b¶ŠÚ[ï.N÷qL²;ó‰—‚·" + Ô7Â>ÆývÜÓ~ZùŸ…¼#ËS–’j½Ç/æ½güY¡ËCÿÒ<ÿõK7ø³Bÿ–‡ÿ¤yÿê–ry¿-<Ñ{Ú +Òçs/"[pV$Q®V7š®ê(9WÙ~Xùºâo.Ê¢)éI-»úÌE¦ÄÖ"7 Žôì7#³4•œ÷zâ—Ž_Í{ø³Bÿ–‡ÿ¤yÿê–oñf…ÿ-ÿHóÿÕ,⺧åož.¯ Ö>\–Þ@CêÛü,±"¾êëZ¸;Ó|ÿ*—óþ¬RÿÈÈ?ê¦LvNŒ€NºÇ+†ßì‘âKù¥îßâÍ þZþ‘çÿªXI%æ‰,l¨½h +Ú\}R¡‡ÈÖS^ÇÃ9'üª_ÌOú±Kÿ# ÿª™¿åRþbÕŠ_ùýTÃü‘¢ÿ”ü8ÿÅ/‰/æ­Mw¡ÊüÆ¥"Ryú¤ÿîõàWPršçBg‰Î¥/îÚ'â-g˜‘R» Üñùµ3“Ê¥üÄÿ«¿ò2ú©›þU/æ'ýX¥ÿ‘ÕL’4_òŸçø¥ñ%üÂõ1þ±Nn*³¨&Öà°„ +µ=:{‚FéÞ`Ñ,â‘^êF2H\Bà…ZDÒìˆ+ïœWþU/æ'ýX¥ÿ‘ÕLßò©1?êÅ/üŒƒþªcü‘¢ÿ”ü8ÿÅ/‰/滋4/ùhúGŸþ©fÿh_òÐÿô?ýRÎÿ*—óþ¬RÿÈÈ?ê¦oùT¿˜Ÿõb—þFAÿU1þHÑÊ~?œâ—Ä—ó Ý¿Åšü´?ý#ÏÿT³‹4/ùhúGŸþ©g ÿ•Kù‰ÿV)ädõS7üª_ÌOú±Kÿ# ÿª˜ÿ$h¿å?Î?ñKâKù…îßâÍ þZþ‘çÿªY¿Åšü´?ý#ÏÿT³„ÿÊ¥üÄÿ«¿ò2ú©›þU/æ'ýX¥ÿ‘ÕL’4_òŸçø¥ñ%üÂ÷oñf…ÿ-ÿHóÿÕ,ßâÍ þZþ‘çÿªYÂåRþbÕŠ_ùýTÍÿ*—óþ¬RÿÈÈ?ê¦?É/ùOÇóüRø’þa{·ø³Bÿ–‡ÿ¤yÿê–oñf…ÿ-ÿHóÿÕ,á?ò©1?êÅ/üŒƒþªfÿ•Kù‰ÿV)ädõSäü§ãùÇþ)|I0½Ž È/õÓujY¡uœ+²:TªYƒA"©É*“[FŽXkTvŒÔÞ2§èÎqä-ë~YŠ;=vͬî%{Éc™”ãd¼¿vÌ:©Î—oýÂ}?¬æ£SŠ³O&2F&„‡#òlÅ‘I}î‡o|Ò™duY˜™Ui¸"ÄTm½ºŸ¿":öž?ÆþS´õ[—útþµ}N/qZR”çÛÃ:Bµïü˜žSÿŒwßòaòZOï%ÿ +ÍÿLä²åñ{ÿÔ5ü¦´[Ï$ÞFÓG +‹Æ«Lx¡/Bµ>*Ò_QÓ¨œK¡$¬èº…²;Ét¡Ãüj̱r`6øéæ+°n§¼òš iü—v.n²‹·B¼ÿ¼á„vŽFjþÍ9ÉÄún‘ ’9uETv¹G>™^0“ñAÇÓO‹£Vƒ¨ÌoøÖølÿÝ"?H÷)K¡ÄñÌÂúÍVTyBúƒ‚ÇpÈ"Œu JÄi¹;ÁÖÚB&©×é4;Ù¤‘º‘Ù%åÍHåê…+²|6Ÿ¥:Î着´†y¤ýË2\˜ÖàqåQÀ*üâJÕª0\Zvœu™ur%ÓEQªk2ÍÀÑ· "ÌŽ?&¡éeX[®Ù.£¦Ëi-É´‚B¿XœF¬€d ¢´¦ã °³_·²ºÓ^ +Fv‚Ñ™ Å* n\j»õ4튤 ¤Â—66§j×QMs%Ùå7¨Ïìõ>ŽWÔ¯ÂS¢[*E¨ZKZFœÝãPÊ$‡ízJGÊ&¡§Úcßrû½#K’´ŸW`Éõ¤¸šN|Y¦’9Y¾Ø‰B:ªŠò=ž‡–ÓOœI4ºª#$ägŽ@„‡g3ÊÏUôUJ³rïÔ UtˆÒêý$P¥âÌ ä´•ý‘•ƒòn`Ø6H²8ºv™õÈÖÞö5¬ñ0€¤´‘-´ïâGÇ[Ef4îv®ù#Å]›6lUÙ³fÅ]›6lU؃ýs›z~—QðåʼkûÚÓ½>Ïã‹âÑv):ªŒª˜êB©ýâׯ1°=½ñUñzܯǟ'§ +Ó‡#éý®ü)_|S‰eT"g7'!‚ñ¢–%•?eh+ß®)Š»6lتI}¥ê—Ïsi|öÑÐ_Q™K*0ÒâW‘¾ÑnÅ{œE¥EÉŸ‚…ææ¬Ô«‘ýCM´¹Ôæµµš5˜ £¡eh¢/#7BͲlpk‡vR[¼±cÁF 1|`Ó¯|U.óM¢Þè³Û¼ÐÁW‰–[“Æ%e‘ +òìwè¡;b0Y[®¦.~³n² Çe†7“zFêTS÷‡˜f¨'n´ØÖôëéÒX¬¢vFYŠó*QÃÕEW}¶5ÂyaÓ?HµÜÚ’+,ÄH… +€°É´,ÍE¤°ª—û$’äkŠª¾›¨\_4Íw¾£%²‰bÉWˆž …côš„õ,vñ|šR Èeý!蕽YÅ°u+#ú1+#zœœ·ÀH¡èØᲺvžïVEàwHÌ4Rr¼Ûà<¢Uà~ NýF ú–˜oCG{r}iCÃÀ/)ŠF*72Xý­ÿlu +¥­§"Ë¢ëOcš-eYdšG8_[ãF +»^$s4!©\i¦Çù\ÂÜDDb^r£B²JÁI^EäWâܘž­(«­ô6ŸÐ¼°Ôcã °Ic‹š¸ŠQGf-h¨Wá"„’ ·ÐÞàÉŠ°¼‘;BŠÊ@^TXù;…¬’Ô#µI8ªu›6lUÙ³fÅ]›6lUÙ³fÅ]›6lUÙ³fÅ]›6lUÙ³fÅ]›6lUˆù«þ;zgüÃ]ÿÄí²£fKPʆFˆE 's°ä@ûÎ_š¿ã·¦Ì5ßüNÛ.ßû„úYÅR­FïV‰g{hšž”O¬|Ý]½^hx— +ñ*N€ý8G¯äÄòŸüc¾ÿ““\…kßù1<§ÿï¿äÃæF“ûɳÓ9"\¾#ïÿÕ5ü¦[ò]ØÔ$’8þ¶ôhE_xdØ« +zEë·N›Ó'Ãå¢$\܈Ë\‰>Çy +ˆëÄR>'­z× ÿ”Ï`žK»:„2MÖÞ‰âß òoÉ6ô•ë¾ãlœOqå¥4ÖW\™>:Ž#$f3r+ë}ºäÕø‡s†r^h-©Û[Í¥ì·rG3q«Æ‰1¯:>Œ|G6ês,‹ +üÀ¶-¦¸Ô$’8köá¿CZ¬>Ík¶a_˜Å4×:„ROwŽ#ÅÍ&‡’~Ík¾*”j åò²A}sq),É$E@U•ºz‘ †DVS^¤ +Ñ€ÀÓZùnAp—RÝÈï 2ý‡ +q9‚GýÒ¡z‘• øE:`‹ø¼½<÷"ûM–æh=I¥.ÊåbC­*—áQD¢Š›S{í[Þ¤–7@;¦2/©Én\ñçëò¯«#1jÐø⩼Sio«Ê.$¹õ¢VVâÏõW„•û(ØŠž¿Fá +œšlWq[Åkp¬÷%âw~q¬¯Ê¾£q•G¢«ð‡Ø«³fÍŠ»6lØ«³fÍŠ» ýhæÔn,#»•n!K[©!UN+*¨VhÍD¦ÝÃoQÛŽagú-ƱumÂt¹Š 9ä™]Ò&OZäÄ‹ÅÆá£PSu* +FÁT|Q´HU¥iIgnOÆ 3 ð*Š ÔymïßÓIRh®I™§âò€7M8hè>ÍwñVO‘}KIÑ¡ºŠ É.Yõ9'EHÀ`A?\t<¡CÇÈSâú+’Œ$×ZËëzLwM1{–ú»E'¦‹*Â쾡.€“¿õÅPzu®ws,P\\I4ðÏëzTUåC;TF>\v +: B[_-Ü\ÝÏsõ—6×Mm3êŠ]¾°Ñ~è/(Ùçýªü)‹X&svl­¡»³¹žÚgõ½RÇë •‘ÖGø™Šþñ{tlI®4h/µùm®Ò[{Ñľ·í˜QQÄ~¨n[áøzV›b©•®¡¤é~_}J•ôøLò’ܤ’¦g2-X’i!#sôᮡm{$±ÀX´&ŽJþÓÇQÈÚ—æ0‚í4ÔòÝåï£yõ$‚æ)¬Rby›×rC‘Ë$±cE¯bja¡¶™ê\¦ÃÆœ‹·%eLƒÓ£½ÔY<<{â©ÎlÙ±WfÍ›vlÙ±WfÍ›vlÙ±WfÍ›vlÙ±WfÍ›vlÙ±V3¯">½§óPÀYÝ +zú¶£µì ¨jMcy0¸¶úÍ¿Hà€º†[“!bIäbè7ÜõÈ™Þ$wC²‚Ñ“R¤Ö£Ã@ëš›húl—ëËé²V~+8VbhÇá´œ<öš–¡õŠMé\4qMÏ’¯(%pÔ*µ%PŠnïQ‹ù¢ìØè³ÜúPÌâåÂóB ˆ>Æܘ~ÎýpÕäS6‘Á®M]…™š(™vcûÄI˜ø©ø@ø±U;«¦´–Ð=šÛpkˆä$²†±€ªŸYkzR™oq¥%ùŽM(™®ï„rKÄÈ…ŒQ:Ë+ tõŠM:·Zå^Æ Ž66Mb–¨m¾®€3F!¦GÃéÖSÄÕNþV¸K´·6ðª5ÊƤU¹©Üx‡ã ;7C²îKMÍŒ¦;9´ø};­4Í´Ò(dšecTY/‰I`93t6„pÝK zrÙŸVìDÍ,hSŠ„<] `¤€ 5åQ…oª@8î`°X§Ó…Áf€7{Xd”ÔÜŠ¾äŒi~ïÁí-‘ãž%_D+–2«ÆÞœŠÕåh‰QðmJQŠ¬›6lØ«³fÍŠ»6lØ«³fÍŠ»6lØ«³fÍŠ»6lØ«³fÍŠ»6lØ« ó½½Ö±¦EsMÕî :Ô=¾ô`qÖ0Ami6Ñ$1DG…QV$ì»uÇù«þ;zgüÃ]ÿÄí²¢ä-” ¨ÜA4ÔÒ¤O»VÈV½ÿ“ÊñŽûþL>]7˜ +¼’ 1ÎÐÂ}(Š:¤Ô—ÔTgPHåéÖ½ºa>½ÿ“ÊñŽûþL>di?¼—ü+7ý3’%Ëâ>÷ÿ×8ü¡¸¾¶òeÜšz3Ëõ·¯20¤LÈ8€vi©Û`ON¢y.§æEæb·‘œ5Ç07Ubà¤Ð|?ñ5øˆê{Àÿ(F¤|™v4¾^·ÖÚ¼ +†þé¸yAOW‡/jäòTógÇèúœù\zd´ÊõÁÂÿVkåHÒV·7né²É0,TOƒHèÕܨß~8Tóg½!5?{èŽPòáTú°;ý±ñz‡ÃÇÆ<ÂÚŒNªVÀ]Iõ„™ÓŸ¤#uFN +õBô T7O|ÇJ…ÚÜ÷ÖÖ.žŒó‚( Ðô@ +jhÝ>ü1À:¿Öþ¡ ²Yᨨa(j~ßïH/R;ôÅRkC^Kù#‚9 +ô‘ Q蟇‘"¼–½CŸ²mOÌ J9Š*·¦ÿVnEè‘ÈW‡ÛhÙ‹-;WˆÇjye2i¯1Y +ÉXËDBcX¾Ùý ¨Þ›t9¥O4þ󀛇éÐòãëGéƒSýï§Ï‘銮‹Qó^ÃÛ¸…¦¤ÇÑ`÷Æ2¸š †Ê½kñmÀÊ2>Éæ!sÆÏÖU¤#ÓÒ)oÈmO„Txþ$«³fÍŠ»6lØ«³fÍŠ»{¸ÑÙ +JJ´hJÅ# +Êx© ªAöˆÙj˜¾ ÷+²‹i\+F¡ÔÇFhÌ984«TWùkŠ¹.ã‘Õ%̪ E"ŠÂÞ›T²€?`Ÿ´7ZŒ_‚@8õ$”É#HLÈŽF¼¥zŠâ®Í›6*”]^ߥôÖömÅRÜ,\IôŒ³Ýåây5—áØ}8o‘Íjo3™n­ôËrmš\#F$•ø}0î¿´w$ôéïh<È^âb§žoB(#(-ØDGû>¨kƴ|Çq}i¤Íq§7(ÑñTŒÊÌ ŠU@mÈîGÝ׆çU}CÓ µŸÖZ6g…”ðôd…ÅdP+F­~×`ac%̶þ¥ÜFKÉHÏ„õE˃0¯5ߘ9ŠA!Êž%hXlWŸÃ_žØªà@6eäBÙ|Ðú\*âê+ij@ìæç7¨¿å©Và +jkÅw6±$ºwÕa¹W¹ôï‚zH’¡·,Ò)vÝUÛˆ¨Ýÿ%±Tü*Ž€½=Ís ÇC‘Ûi5ËYRêæ;‰­’ÚW–Ö‘4¦OQš8«üOÆ‹SAÜ‘½Ë̉u8 DÒQLž™P¾«üQÑþèDZÌzŠ2¬ƒ6E?54L9KýZN Æ}JÌTÈäuCÒ•Ã8jböüßWêå×ê•+Jr“ìñ5û:ÿSŠ¦y³fÅ]›6lUÙ³fÅ]›6lUÙ³fÅ]›6lUÙ³fÅ]›6lUˆù«þ;zgüÃ]ÿÄí²àþá>ŸÖr¼Õÿ½3þa®ÿâvÙQ:%¼eØ('ˆ$Óvn*>’iŠ«d+^ÿɉå?øÇ}ÿ&$’kv1(v.úÕ%§ÕË#Ô5T4Èž½}øçÊwtnòð§ÅÏÒxøxWŸÃÖžôÌ'÷’ÿ…fÿ¦rD¹|GÞÿÿÐ8ü¡µ¾»òeÜVåúÛ“ÅÚ2k*K¿Ã#+‘Þ™<—Hós]235ÁG7PX‚5<>¢þÍrùMh·žI¼¦ŽV˜ñB^6…j|U¤¾,£§Q8—BIYÑu dw’éC‡øÕ™bäÀmñÒ3ÌW`ÝO|oøÖølÿÝ"?H÷*K£ù‰–oNáÔ?«é/Ödª£”ô#å_µ,ÝëJœú?XmB;…wŠ¹w’3;º˜ùGÅ€,~Ò«|4 ­ý¬)—C‰ã™…õš¬¨ò…õŽáE4þꕈÓrv †¶öp +DO#Y»›©€døeTá´è©ñ +ÏÄO 6Ìt²,«Ãq=„Ú†2½)!‰”WvVR»Ž V‡af¿gþ™%¤÷_S·‘O8*¤ `x©2‚ƃqŠ¥¦‹­Í)—O¹’#)¹,¿Y”*r1ˆˆø»ª1Ù~Ô8»èúÄ¢Cõ¶Œ›¤H$Ž]QU®QϦEŒ$üDÐqôÓâèÕ ê2ùAcÏ“¦ŽõÞ庑¢t* 6‚O´ª»/O–ù>“DÑe ¯q1W2ò^IB²„~Åh¾’‘ßƹ‘­ÿÏÿ +Ÿû¤Géä¾m?JußUUi óIû–d¹1­ÀãÊ£€UøÄ•«TaÔ¬¥ÕÍ~¾³ÜzbKÌwKsn£êÕ,9løÇÐôyœ®çå)‘¹GRóñõ¤þî•p€ð'ô~Žo×Sy¤{¤”ÌÌ Ý \ +Æ2…?µS°ß1ÒŸa_˜!·ŸMt¹¸É_ïJ—¥ASð‚ øXüºôÁ_µÿ~ © +?SµkY¦dRkÊ2¡†Ä´n 1TTÒt»ù'7z£Cû +Æ¥…1îÜ‹|!T©}“âñ­^öÚd‘\Èuõ™ÖE0‰ëR\1VœùÍlj<Ô}8>K +.Ig™îdçr$Žb b±HªÉÒˆ¿ÚÛí`vÑ4w[•{©[ët3³ fzÜ«é7ìýŸlUVÎßO[è9ÞE-ÔS·ÕG§ÂFŒC2”–<”+1ä€Aï\á5¼H²›©%d˜Î†B„ƒé¼!*ª¤ª¬†•$ûá‡×í߃DæÀß_µÿ~ ß_µÿ~ U›}~×ýø3}~×ýø1TNl +õû_÷àÍõû_÷àÅQ8WèBu»¹­®/šÞÉn"(YÄÍtÈOÄ$å"®ÛNý0_×í߃¸²7’ßGrÑM2[Å!Aéo$’…,ÈÍFõ˜ög‰©*£’;¥u2N®€Ê]D|I ÕˆW‘§øOóuÛÂôžuf½’@¦RQ½:0‘¹¨¡éö¹ñ¯Úÿ¿*‰Í¾¿kþü¾¿kþü«ó‘eq%õíÛÌR"²ª0àEä9P‚c¨Ùüzcìô‹A¨M%¾¤­$WL÷1*€Kž›·-ÜzkSïLSPÒt­JêK¹o.#‘ãh‚ÆéÁDˆ#rŠèÀ3(¡9qéZTw+r·SrYLÁ GÇvý?±^!¢SÖ¾ø«"Â=vIot“=ÚÚËò« ’Z@M^V5bNøªo›}~×ýø3}~×ýø1TNl +õû_÷àÍõû_÷àÅQ9°7×í߃7×í߃DæÀß_µÿ~ ß_µÿ~ U›}~×ýø3}~×ýø1TNl +õû_÷àÍõû_÷àÅQ9°7×í߃7×í߃DæÀß_µÿ~ ß_µÿ~ U›}~×ýø3}~×ýø1V5æ¯øíéŸó +wÿ¶Ä+yÂÙ-Õ}æ.%-GACÅ£R¬¬yxà­z¾Õ,nmÈh¡‚â9(Ò4%E=øÑ¡Ž5F¥EkMûâ¬reó/¦8z†OEC1ÞzqR•=}^|»S#:¸Õ¿å`ysÔåÏÕ»0Ô§÷\[•)û>ŸŽùÒœˆÓÔ…JÈšnLjI4È^½ÿ“ÊñŽûþL>di?¼—ü+7ý3’%Ëâ>÷ÿÒ‘~V$#ÉV(ÞÏRQNÂCÔ¶LU¬Y¸+Fͱâ2~!Qµ{_ËØ"»ü¿·³–cÍ$ಕ +OT’(á¡Û¦ +Óàõ/”hZ^\x /ÅZ‡¡V ôfF·ük?ü6{™ a¹Qÿ"Óúå'Ôßtà€ìˆv;ƒ±ï„vºE½¼P=ûIõ¡¼•^tJÖ¼ù«r¯³övÆG¢[Ç +ÅõÕv´ ++ª–Þ1 +²Q‡Ä^>ÙŽ–@Ô’RTÑ€64­þ/Ó·þQÿ"Óúäoô oôñÂB ±€ŒUV!¹D’¿Ó)D,*«¸U?à /`Ôõ;‰dK{SÌ*ÂV“7F1ðA°ö±5ÑnVS2\ˆKIJˆRª¡ÆÍʧß|U8áûí?àWúfáûí?àWúa:¡aQg!x†!ºúÆjìàl–5<¿z¯¶§+˜æµKɵQ‚°+¸8ª}Æ#Ñþ¦Ýj7I-…¼ÂÞIeô¿¼/@`—§e ÝÙ=­.ÙÄksÈ/§ 4´š¬ÜFçaËÇoøíßÆo×6*œD¼¢¦†4‘‘YÕe€$*¤üè1Ü#ÿ}§ü +ÿL s=Ú¢­¼, +µ°õWGdY8~CŠ“» +½ðžmS\C/¥nÎUæ©…èÜJZøqf`Ý銧:„ÂÎÎâé!Ì1< +€ QP*§Ý‰ƒxÎÑaÉe0"qñ—h†æÛìóB¼¾ÍE+nä–míî*¬aœrd(J)eGd¥G%Ò˜b.<¸’¼±E¨Deœ\Ì©cr’,¯qWWß㓯Z¾*®ÚV¶€»ÃaÁwn,äÐu ôFAuu-´7 +>#4QÍé±”$f¥V܃Á3ÓìÍHfó>šñ:¯*Ê@­ßùã‘ëÐeÓlÏéX[DŒb´¸( [Çm7õsQ$h¿JÐ4Ó¢³3iüW™$zÇhÔHÇknœH+üÝ«­ýH1Z)U Á¢ºR9*¦¶¿iÙ_´{ +ñÎ<³"4nº“FâDd6W(ñ¬?Þ~ŠŠük‚š÷ËE¤’+[¸eu‰RX´û…hÄ,$ŒGþŽ@Ô½±U-µ+¢ël4ÙY%p®æ‹(-?¸ý *0A•(„‰½¾Œn}åí+Õ6QjËq8{[׋ŸÄxÿxkM±Õ-g¹¢Î¯!bž­´ñOˆüRÆ‹ÓßAÚ]]ÝZÇséÙGÎîZ2'b«"G(Z¥±V`²­B“×ÛZêw†Aj4é=3F£IMÉR`ø…TŠªêÒ%ÐSJ´.—©vöpC=Žœî,0FB¿ êè}N ÔøᶟªèziÛ¦ D”{+£ÅC<œW÷ý¹XïS¿…1Tª)îäV,–1‘$Ѫ±±0É$?± +¹‰¸/V¡§Cõn:óÓ©ðk5(éêƒ_«ô ñ1ý‘Ö˜Ë+X™îEø”\ܺ˜lîJ×ëï|H'mºTü@Ó¯–À +¥Ä* S¹û+¶eÿyÿn3Fü)Š©‰®FÓŽÄõ› _SþYÿi$þaº×¶‡P¼Ål4÷uPär”lÀ7í[ÀaQÚ¢½q ùmz +O¥?Þ;Ž‹·‹þ=ÿÝq± ãû\°]†£¡ió4Ю ÌÊer@øQ‡ì"Zü¶¦*‡¿2éösË$0½ÌX¢Ý–¹­=é—¶¡*–´¶ +ÌŒ}VÙ…`sÔ>ü¬êv·ÖÚ—£ê¯¹¸š ¢!^¼M%Iû'§†.’ùi 7§¨³3Ë'#gtõ‡Ä£Œ`Ôa]ÃkŠ­)v¬Š_Lbéë*Ç)fhùæ£ÒÔ¶Ûï ¹º–¦q§ÄÒ$rØÊJú±‰¨H·§Â›±è£sATòìœCR’Dæè$³¸ É#¤Œæ–Ãrb_mºcl¤ÐÒ¹ý!õˆâŠ+”ŽÒસ·[ySýç'ãŒÐ÷î)Š¯Ü§tcÖnŠž­ÞÚO‰˜nµÊ3\­§ +ë7uø÷ý•<ŸùFíLT-ŽƒSèWýã¸è"6ÑÿÇ¿û®3Eÿ†®fÿ +·Q©ÖŒ+õ;÷db ¿ãßýÙ€|?g‰ÅPóé^bÕÒ¶·p±‚HÌ‘*ÍÉš'[Šs(¢µZ{á½ÿÊÆòœ4Zp¾dR¾ƒŠñɵ–±£iðKº_°•¹|vWF”E‰TR²ªããkSµ—óË(³‡ë«"ý^q &ÔýC³ƒ²ôÌ'÷’ÿ…fÿ¦rD¹|GÞÿÿÓ4üžòÖ‹¯yviµkv¸x'hâ"Yc +§ã¥"tI·ÿ*óÊõooúH¹ÿª¹Îÿ(.5H|·0Óî +Ãs[¼ìÍN ­Ä +v¦OåÔõøPË6§ekö¬œSMɽÌoøÖølÿÝ"?H÷*ÿʼò‡ý[Ûþ’.ê®oùWžPÿ«{ÒEÏýUÄV׊>©b¬¼y)³pG2BWý7öˆÛ50š•™ÿ£'ïÿG¹Ž•OùWžPÿ«{ÒEÏýUÂûO$yb}RþÖK"a¶H}Î)ÌÊZ¥d«Nø4_yˆŠBÐbÿö[€­®µÕÔ¯Z;ÛQ#$&W6r¼  õÍ©Š¦?ò¯<¡ÿVöÿ¤‹Ÿú«•ÿ*óÊõooúH¹ÿª¸ß¯yþ®Ÿô‚ÿö[›ëÞcÿ«…§ý ¿ý–â«¿å^yCþ­íÿI?õW7ü«Ï(Õ½¿é"çþªã~½æ?ú¸ZÒ ÿÙno¯yþ®Ÿô‚ÿö[Š®ÿ•yåú··ý$\ÿÕ\ßò¯<¡ÿVöÿ¤‹Ÿú«‰>¥¯ÇOSR³^D…ådâ¤ÆŸé¾ +NeÔ¼Àà2jVlB,œõÿ£ß|UWþUç”?êÞßô‘sÿUsʼò‡ý[Ûþ’.ê®7ëÞcÿ«…§ý ¿ý–æú÷˜ÿêáiÿH/ÿe¸ªñù{åA ÜssÿUpgøSFðºÿ¤ëÏú¯€>½æ?ú¸ZÒ ÿÙno¯yþ®Ÿô‚ÿö[Šµ¯hvŸ¢j7¶t—[Jñ9¼ºp!¡âó2Ÿ¤a‡øSFðºÿ¤ëÏú¯„:ÝÞºú=úÜÞÚÉ ·—ÔE³‘K/P놟<o|Æ ¤-64ÿxdÿ²ÜUþѼ.¿é:óþ«æÿ +hÞ_ôyÿUð×¼ÇÿW OúAû-Íõï1ÿÕÂÓþ_þËqTøSFðºÿ¤ëÏú¯“ËzU´fâ!sêGñ/;˧Z’fSôŒõï1ÿÕÂÓþ_þËp=Æ«¬*ÉÆ«bT4ŠÖnV†³ÿ-ö¿ô…'ý–b¨üØÐÖå¾×þ¤ÿ²ÌÞ†³ÿ-ö¿ô…'ý–b¨ü#oøíßÆo×6 +ô5Ÿùoµÿ¤)?ì³ ôù§¸¿¼–ᕤàˆZ41©MsÖ´½'G-½œ’æþ—Ö®võ±ÇÆ_´Þ³HMÊvî )§PHP/÷ßZºáO¬ý[ëúÇ÷|~*rÿeŠ´ÓùƒÖµU€zs2=ÁøI‰ µ)^‡Œ`óÛØóѬÔ^EIŒÝÝòÍõ«{ýçßVŸgökéVºeýÜÏ–&rÑÝ]oG™9¯ïÛáݺøª„Ô~Þµÿ0v¿ñ+¼ª.¨d€éìU;NèÑñššrÛo˜Á~bÑ´ý;I½6q²5Ä\ev–Gb7Gb)ÌôʼÓô«)¥Y,¦•u‰J]Ü‚À× +#r—sðïMû…R‹=KZ7¶–Ú„1Åë–æ¨ *’15,)ÅÕGÙ5®éßnûþb[þ ˜Úm[‡…ìf¡%¢áytOIeâk% €Õ]GF*-Î]iº5¼tðZÈ£P’2Ê×W5ýáAĘUèÕ¯ù?rª— 3A*Àü&*Â'" 5>A÷†Ÿ_õíPB=)ŠIpß 1š¦:ô^¬Ù µ¸1›«¾Kë Œþø|Mé‚»tn‡º©Þs»ÿü™7üÄÞÿÝ> ˜iPi·÷[=³”),·WUnq<ìV³îY='ëV?³öp£^ÐôÈ2|¥§Ç-¼ë$ÃÕ—›8¶?©ÏŸì/ífF“ûɳÓ9"\¾#ïÿÔg姙ô-A’ÛS½ŽÞi&i74%OÃËï%WÞròV¡·¸Õ#0ò è²på@h ! 7ßcÛ9_”¼Ÿ¯kjº¾h³ÙÀ¢ÞI °ÆVO_Õ(ÏÒ'îϳ½ –/Ë¿5!@ú\rq£Dn-(Æ#GõDÍ­«8x\–1L.òŠž=K9ß°ØS5¾±ä;fV‹WAÅ eÖƒêé騠¨ëA„‘þ_y¥ .Úz°A ¼¿X´«SšµÁýÿÚ¹ä·íö›¦"ÿ–^p–É­“LFB¶çý"֜֌Äi7ÙWa(Û탮@h4?ò”?ÓAx¥üÖkcç_'XÚÇi«$@…,Ë]É=©ã‡Ï^TKÛ©ÛS‡„«OŒuNuïþT—æ'ý[¢ÿ¤˜?漦~OyòÆñ.(âòIíØÖ›2|$ìßL°öogH×D×N(#ŽÍz‡ü¬(ÿÕÒø!ýsÊÀòý]!ÿ‚× ºgåÿœm‚Ú]ؤþ™#ŒÝÛ;‘¥J4à¦@Ux•¾,A?/¼ç£E§ÀëËpe7°©v{e´ŽVôîʬ庂vn¹_ä46G棷#Ç +ÓÅ/æ½þV”êéüþ¹¿å`yGþ®ÿÁëI,¼é$DtÐÌÖ‘Z„7ñY"NW/³;éM‡ÃÊŒ#ßò¤¿1?êÝý$Áÿ5äáÙÝŸ+½lcþtŽÍzÿœ<“¨¤qÜj‘ñ‰Ë€®æ7‹±ðà]sȶ“‹„ÖTºÈe^R*[‘öê)Ó¿PsÏùR_˜Ÿõn‹þ’`ÿšóÊ’üÄÿ«t_ô“ü×’þLìïùNúh~´qÏù¯\ÿ•åúºCÿ?®oùXQÿ«¤?ðCúç#ÿ•%ù‰ÿVè¿é&ù¯7ü©/ÌOú·EÿI0Íxÿ&vwü§Gý4?ZñÏù¯\ÿ•åúºCÿ?®oùXQÿ«¤?ðCúç#ÿ•%ù‰ÿVè¿é&ù¯7ü©/ÌOú·EÿI0Íxÿ&vwü§Gý4?ZñÏù¯QÕ|óå[­2òÚN–h$Ž1Ì +³!P*N +Ì(sjj‘SCÈg!ÿ•%ù‰ÿVè¿é&ù¯OÉ<ðS%•Œœ‚Íl@`?t3 +‰û^«‰ìÎÍò:?8¯ÿšõ_ùXQÿ«¤?ðCúæÿ•åúºCÿ?®r³ù5ù‹ +Koif= „ŒN­qn¥™B»)ã+UVN›ï@H ùR_˜Ÿõn‹þ’`ÿšñ™ÙßòôÑ^9ÿ5ëŸò°<£ÿWHà‡õÂÛ3ù*yæºý0‹,Û5]Y8Ò1ÇÓz­+=+ïœ×þT—æ'ý[¢ÿ¤˜?æ¼ßò¤¿1?êÝý$Áÿ5ãü™ÙßòôÐýkÇ?æ½_2y6kIl_] •=J².œ>DV§ÓÃoùXQÿ«¤?ðCúç#ÿ•%ù‰ÿVè¿é&ù¯7ü©/ÌOú·EÿI0Íxÿ&vwü§Gý4?ZñÏù¯\ÿ•åúºCÿ?®oùXQÿ«¤?ðCúç#ÿ•%ù‰ÿVè¿é&ù¯7ü©/ÌOú·EÿI0Íxÿ&vwü§Gý4?ZñÏù¯\ÿ•åúºCÿ?®!¡ÍijÜ@âHfA,N¤È÷7l¤ìs•Ê’üÄÿ«t_ô“ü×;ÊÚMö…k“©F#¼µ³·I£ e¹o´¤ƒ±ÌMvKƒeƒR3Hʈ&…sÙ”e"wÌ 1»A3 +¼h¼ +H¥TWn‡ Ÿ@³` <«Â$…hWaBu;þé}½°Ô}„ÿQ?â#6kY¥ºº,Z=Üh(©m"¨ö A‡Ï{® +Jî%ˆ}Q'¶[v1³r‰Ì+9VZ}žljkÓØá¶@Ò¯‰4ÞZŸö8:çS¿KûÀ·£ê’KÙ4O×Ó_QXrãÊ»T’{¨Â<…«+Â-^ÿU¶¾Xm#f·)y“ˆyf­ªÑ}ú3ý%§Ë\?ò1?®êÚؾQax¢Ó„^§¦ð½GõÊó©õ8pâ>xð˸ü•NßWó¯jÑ—xDÈ ’‰ÍÝ]xzj®[±4öÄâÖ|ÄË©nêEê·Õ¤ª«óõä¥>Ô$«ûUèq}S[åÖnÐpúá^ßy¿¨üKÊ錋T×8ÃêÞmH½bÛ—ë$–OÛÇw’§Úö©xó +JáeSF¸~j }®Š}«L©¼­óQ¡jwEæý/w÷pñá êQýN™ÿVµï†7×–“Û²C ð.nñ±¤«Èáh”'û¥ïÞHÉ5³]µíçªÄÛ! VN'—nU¿i~%0r3§/˜¦5Ù-¿CÛˆøˆØý`ÛÈA¤‹â#ÜšVŸ ©¡ýÔÎ¥¨‹ÊýPþ§P´ý®\xŠÿ/\ +§®^êv~èØLÌÁ‰Q8f +„b¿dpgjÿ“…¥õêèÉÃŽÒ}VJñúÏ¥êñ§Úô¾.4÷¦k‡YèŠò£T…Kòˆ“ŸEáêtïL+çæzøqØñ‡Ÿ¬õ§ï=ß…qV“Xó!uhÊIRËèI@æoLÅËù}ÞsñÚ½°f•¨ëEu ˆ^&gvãÜ<ËRX&ˆŸùUÀhþnæ¼ùÖ«ÈSÖÜtþïêû×ù»àÍ)µóy׌†ßÓoSÔX†üæû\<¸út§lU¯7ÿÇ&øÆß«j¦£ffxìZxÒâ("X•ÝÙ1+Êh(1áQ^ŸFóürgÿŒmú°M½ÝݵåÿépЙ•lÂBÒ¨oB6#~¼Ž*†´Õõ‹›Û('²kX݃\7§#)W¶y¸ó*zoÅ[—VØw?Vº¾¶{1gu–eIˆFz)e¼~ÈâIä|1 FÕẆÙã-iÎ~rÈK¿Rs\½vQ Ÿ _V:{?Ñü¸™—ëBŸƒ’×—?Ùã˦øªG±æ&X}KwPâ/U¾­%U¿¯'}¨¸¨UïZÐà™5_0Gd“}I¥œË x∂°ýY.&‘Å[Ÿ$îiBFá¢6q‡Õ3R‘zÇŒ<¸Uþ°Fßl|<‡Ž>ógÁëzœ¹[ú€,h҈˂ÜO0¿¹øéQSO U":n› ¶­q¬Äf·–åî]öõ$2Å<”_W‚p( +½Ž1´-!TW…;d@eÍH¨Å¥©1bzå]é¾^ôÞâþáV/­$· ŽD$‘™\ÈcáðÈj6®ßk’- ÖY%Ô¥FŠ23DËÇÒ’ æyƒ/µí¾*‹f˜·VòG©*4w‚T… |^Q 120<3Ƶ?;ä—#âÏHkØÒ+—YEקéÙ¤Š;yÚ:ñðµF-óß$«³fÍŠ»6lØ«³fÍŠ»t¼.Æ9¢T-Eh™ˆPz ­K²ið÷ +‹â ììËr襣`P€ÕÔUI¤ƒcáÚ˜ªø„Ê„NêïÉÈ(¥ÄÆ´,ûªP]Îô˜œHñ¡Y$2±g`̬ŕ>#¾ÛïŠb®Í›6*ìÙ³b®Í›6*ì‚êò’êñ†Ûþ%>N² ©ÿÊK¨Æoø”ت:C0Ž/AÛŠrå^"¤¯Sí…ŽÚ²ÝDªÎѤäx¥ |ââ…ØÌ”;×¹¸û þ¢ÄFlU'óJ<¾\ÕâŒrw²¸UQܘÈ9 +·åçšÒÂ)|·*Ën² Æh W“»íWI¯`É×;6µÿ«ßù‡“þ#ƒo´¥mNòìjñÛz·6’I$ZÄE"“`ǘŒŸm«™šMvM(˜„c.2÷ÐÓÞÆQæù·þUgæýXn>øÿæ¼;Òÿ.<Ù +“Gwåé +Áy}7xâ“‹2"Ã#Z¨Œ’ï×ìžÞ£Èî·§›‹ÿ¬›‹tHáäŠwãÊ8dg‘cH¤æ6ãa±Ìévþ¢BŽjòÿ˜å¿Öt¹líM¤± dãNlÑ¿ å9Úü¿§Ia-À’æ;‡ôíãfF,䢱å =*Üì0ÇRÿy[æ0eí¬ù0O„f'Ôe¿™)À7eŒéÚv±s£i’Ù\´P6o +"HÈD¦ÞOí´b¼yw 8ÐÈ,­ï¢Õ5 §‘šÒQÕ‘˜š0çψä@¨û#§~¹°°õtÝ&õn-„‹£Ú©µ¹eU1,OêHÿ 7 +ȵàÛâ‡Ú&™%Œ×4ˆé"BŠÌð?ZìÁAîn™ªf»\µÕn½Ñ’˜˜ƒ”UrÑ°wí/u¥ì6î +ÿFëô'ÔŸÓã´Yn|>³êz\½Oï=-¹röå†:öŸ%û[$W)lç”q³±²KÎ>Óñ‰–• ûoÑ“ëV^‘‹Õôý_Ýú_Zõý*ñ§£O‡•)þN*®š_™ƒ¯;—$ Âvâdz†^5þïÐýß}­øþÖ Ò¬u˜o ’îI Y&2oÎcÅf«Q£ø¼+â^š é2«j³¬‹ b癘Iõ¯VŸïïK÷\k^ò§Ã‚ôm1­ïm¦6î« Q!~G«1!6Jʵ>*6ÅU|ßÿ™ÿã~¬RêÏR–âñàÆÏ,ݾ°Â ˆ) v5bq§CÅ©º~oÿŽLÿñ¿VkÿQ®dHõ8aqqy\²2†G „| òøÀÿvR‡aŠ²¨[]\=Õ¥ô’‘-Æî9F±È8~íÒµr»zeiV—vVÞÝÁ¹„‰™÷hwßy0ö8[µúÃX¹ž8Dw‘ê··%aéø¹âTsŠ Gן¦oO¯õ&‹—©?ûÐõ«××ý¾/ø8¿è½WëEþ¶}uœyÏýÌVÞ›­=Û›z}-Ï ¢ÑÂG 7öl±ª»'­ð:[3úð“Çû¹y)¦Än®> ÆQ[Rµ‘‘í9Heø˜ñ—ƒž¿û…ê1TçNÓuH&³’îäȱC KÌÜ¥r´ûR²ž ȧò…È¿˜ÿòky3þ1jõ +&húgÕ¯­¤7¶ÒSáXã““Vž–1A_QÜK'ƒ +ù}¬'óþMo&Æ-Cþ¡¤Ì'÷’ÿ…fÿ¦rD¹|GÞÿÿÖ5ü¦6#Éw_Y?­¿ +9ÓÐs/Ú SÑç_nŸ2q;ùl =xî}.W>¦ã0òèܸÿwÆŸzí\ƒþRÍk’îÍÜXCvä'"›$,Ÿï¼H⟵^'brq>¡¢Æ$’]7”j×.ãÔ&«Æ~±åÍ>‹JŽƒ25¿ãYÿá³ÿtˆý#ܲgòïýX®ùƒ8Ÿtåë×:7|xò§ÃO±¾ÂÚM­øxVá'{‰cg P’ ÈCÿºÚY’”ßùp²kÝVt“LäÑ™á—÷ÎyKnck“Ë©æ~3ñIJ5=þ”u;ki­.¥»–(ËNIÍê7âÁ½4⻚Ðж:Yù€Ù +9ÍúÈÐפ4çÐÖœˆfµþ¸i…~`–Ú5Úî¬G_î¹è ‰w?>1T—S¹òä%àԌɚThK/KÆá¾Ãsâòª0åÈ‚œJeòәἴ–âC‡Ûõ¾4éÛ¾*²=JGD]^2«ÆÜ “Ö>·N\éS/ÃÏìþÍ9`íÊÊ;ëybÔc¸“Òf¢q-ûÉþ!Wjê°§]° vÞW/n +Ž(£‰ãèýcÔ—¥ö>±ûUëµpvŠ·Öíku<³úLQ%N þò‰¿t”j´€ +¶;⪾oÿŽLÿñ¿VUìPK0›QŽ;< +îäYb$UEq*†åY +iOµPGKóürgÿŒmú±=B +5ïfk»‹¿V‹{‚"DlÉ$Ýa'«wÙ¼ ÅY.Ô£¶yt÷¹˜Äbº +©`dÅ*ø4£{Po¶ÂýLX™4ó{ȲÝ)µURÀÌc/*@³Wn˜ªJ¶Z0±ô† æ?ÑæWœ´ô——9¾ßMþÙÁ?WÒ¾¸eúäœþ¹Æ>sWŸÕ=¢û]J|mâ>ÖØSËQâ ¾‡èöN~“WêëËœŸÝý§ß¶þ&ž_úáj?­õ»v)é5=ciÂû‹Øõ=±U].ÛM†{«Þ<ÒG‘D¼¤£€W›Ð±^"Ÿè?g#^cÿÉ­äÏøŨÔ4™#Ò—BYì~¥Í¥J¶ÌÑ°ª_RF%…>yóþMo&Æ-Cþ¡¤Ì'÷’ÿ…fÿ¦rD¹|GÞÿÿ×4ü§¼ŠËÉ7rÍWo᜕Hše­AÝŒ|Wü¢>Y7›^µ‰Æ›jì’] /2ʱsáûdIñû.C?(o/,¼™w5’s“ël +Q¤¥"gQÅ?ª§Ó“ÉuÍy9úv¡ÙZà"z2|^šÄQ+Ëü¶5ïLÈÖÿgÿ†ÏýÒ#ôr +]vÉ#˜~Ž²e‰:ñ^ –ì…mýÔ¥ÿwî;áÄšÁŠþ f´ˆ4·rGÈŸ„D‹ ÙO"³o@véVe×µåYŠ[† êúoõy~%BžŒ´åþî䩆ëy¨›µ‚P±Dg‘D¾“°d_I£ílX;|]*½3)¾ùŽò; +*[‰mã¹@Ê SPï]ùmÛl6ÂÝvòöÇN’âÂ?Vu â_cþJqT‘õh㽂4Ûp—7W\ T Í ©le’Ìy’Oíáñ`A«ÚÇ3éVpÈö‘»£¢-¤‡ˆ`9~ï÷»oÕ~ᚆµæ+_ŠPüšóÓOEÚ«¢Eö^¿–ozm¶:]oZP}8è„8BCñ"Zü-¾ÎâŸä×V‹S†Þê8“KHš{Ð,HJ©’—Õ‘Ò=¤o^Ÿ6å¿Œ“§¬-ÌQ< +§T4ÍQ…±ãZì@šB[§ÁL?Å]›6lUÙ³fÅ]›6lUØ +fÒ în +·Ö­ÒC!˜ne­U¹o_Q‰Œ1øwÁ¸ƒÞA´mÏ’4hi‘YO¡ B+ÔöïLUe¼z|”žÑ!oMçQ$ACõ‘Éz1•O©þPß|‰Å2N…㯷’²žQ±¶p +*»‡¨ÛÅ]›6lUÙ³fÅ]›6lUÙÖ-íæó5ùš$ˆ-À.¡©ñMã“ü‚jò’êñ†Ûþ%6*˜¨#P@ÿTeâ_[éõ!š‘m)!xü<þÍMxÖ˜^ðj¢ê"®íšF,]@^"9(¥G¦$P(w#犫ë_ñʽÿ˜y?â8cs¨èºµñx$úÜSÀ. +y=,E—Ôý•_„0©o° Âíkþ9W¿ó'üGjZ•‚Þê >—ë9¥™KÕ”À$v &ã‡À@%œªœU•ä{Z}(j(·pÏ%ÑX- =F’O«…äëGä„íârC‘íjæÎ=E!šÈO4‹QÊdd£Í$‹ÙŒy‰k·SŠ¥Ö³yišÜÛ[\„g€Ûž__VESC%x ƒòzö¦2 |¶V­ØcèG%ä%nTßÕ§><¸ž€}¬}®§¤LÖòA¥ª$¯ü|x¯«$ ðQÄq‘¸„בø±jS­º&”ªÒzÇûæd¹æÖßZŽOÆ>(ëD¨ÅS.ɤ»Lt¸¥}( +d5S€AɈ¡ +Z÷Ã-Kýåo˜Âß.ÝØÝ´Íef-TÅ‚µBUxÒ‹Ä«tëZõÃ-Kýåo˜ÅX®œÚDš~‘k<2½ûhöêBèèœp«H„/ÚåQÃìÔÖ˜w¢^é7—wfÁÞK„ŠÜ\úR ‰FE~R­ÈS®i÷VGLÒ,.,ÖVm*Î_¬<C(E.¨xÐèܾ-”ïI“xnn'Ilͤ±EܼWWö[ûDÖµÅT|ÂúJz'UŠI+韄GÎÞ ä¤PÇÒ¦¾Õ£&ƒR +µß­N$s^~¯ÖéJú¼yúÛ×ìÓ¾ù†îÆÓÑ{ÛAtˆ­#TÒˆ$†"8ž¯*-¶ÕêÍ:¦Ñ‹êÓÑ'×ï~·é}¾<¿¼øýOµíŠ¬Žo+—CµÇ…”×áô>±Â´õ+éýg·Ú®ôヴy4c}n-`¸Iý&âÓ0 ~ò}›‹·ÅQ%J`õ]4¥ +Ünã¥"úÇÕiÀ?ßü~ŸÙýºòÁÚ=݃ß[Ç€‚_I”H$/Äú“íñËû·<ŽûÓ¹ÅVyþäÙyoQ¼Uæmí¥”!4¢3R¿FrÑù½«ò]B?/Å:ݽ§×IUd Y +íÇ’õöaÒ¹Õ|ñwZ +å¬À˜§‚HäÐñu*wù‡ßþ]yJÈ<&ÂæK;R¶ÂHnå$,ÈÏ*È«ì¨^¤ˆT¨é¢É£€—æ±™’G +_-ï‘Ld%ü&’Åüçó1R_Ë–ñ’±´!¯ï}Y#…}?‡}å=|/_ÎýCSv2hŒÚk5Ârºv_QEø +DêÇ*žý3 ·åG”ØP‹ª|'k†«‰k·r꿘€MNÜ~Rù#Jkxí­.[ô„ÂÒJ\K²²;³| !û(Gn»°̱Ÿ²hñ`7Ò¸¿âØÖNö.ß™QE%¹òý‡£¼–î~³6ÖðÓÖoî+±ý¦÷ÇÉù¡Ï+ùzÀL’5ìËýí¼il£ûŠw"ñì=Žÿƒ¼¬öÆìØÝÖ¯|Èn'ÜtŸôzRNè݃â§È~VkƒlÖwlLñÚ7$“s»•Ímëð…ÄSâà2#?emû“ò?ñKSïc²~l^èñ-Úù~Ëý$Š"—2–Ⲥ3åÜHUXÏjŒ'Õ¿4.ùä鱬Ö/}n¶bV*Á¢H¹ãQý÷‡lÛ~^ùGW{{Y쮄W±É;Vâz*£-?Ý*?xÔfø€b+W;á6¹ùwå›o7ùWÊC(Ò.ÅüÓFebåÄ £õĹn,ÝšMcÃ! 2ëÃðåÄ>¤>§»æÿÿÐ8ü¡}E<™vÚg/[ëoRŠ¬ßÝ7Åà +xÛ`IÛ¨žK?šÇ?DH_•ÀLqq$,^š“Ä|#÷œMw=Î@ÿ(a¿ŸÉ—i§3,¿[zðM·‰•*®Ë)Fm÷õèg’Øy™¹ú2È®ZãÓs7 ,\ŽGá<_ˆ¦Äô²5¿ãYÿá³ÿtˆý#ܲ[5…›Ò3=_E½(¹êŸVr8}·øƒ­6—®ëM|¾“Mõ¬:䉲D +_‚þïÓõY5ØU‰

%Š}Z2yý¸¨ÆF¯ÄÚ~˜>;]qµ§Y4º‘§·•ƒóÓtGG7ÂX†áACÛmñÒŸáv¶ú‚X;iœ¾±QBŠ®Ô¡¥Ãµ@vé†8WK™,$ŽÑdiš}ºÔýµ,ñƒÇ­9 +ôÅRk™üÆ·ò-¿¬mép"ä‘ÐÊ *H„ü¹VurvÄšãÌô—‹OÀ+›Ñ‹™O]NWÓþðÅÏ’ÓoåíSL×ÞS.›4Èe7'‡®ÅP“ˆürÐrUs@¤/*¿lil|Ä}N/7‡ÓA=!š6Ž2yÞ$aÃ=w¯Ú8ªè§óG×aYý\ÍI¾À¾5PB’SУV¿j¿G(ÈûYk¢æ»Ä.Uä<ÀŸ ~B€§ÃU”C×¥O5b®Í›6*ìÙ³b®Í›6*ìAîJ;'¡+qh×’¨ ú†œ†ý«xbøƒÍp®Ê¶åÔ4a_’Š«;PŸØûöÅ\—%ÝSЕ9G&Pô›…NýªxŒ_‚Ý-Ä.Þ¤+fsÉÍH‰¢ŽÀl1\UÙ³fÅ]›6lUÙ³fÅ]]OþR]Cþ0ÛħÉÖA5?ùIuøÃmÿ›LGÙOõþ"2ð<×–öæ]××’.PÁPN‚"¸^þc²Ž•ŠSÉ=E ]À‰n«þÄn ü*qTFµÿ«ßù‡“þ#†Ój6§wm%„2EͪG,Š)…ZEû|™AÔ-)ß +u¯øå^ÿÌ<Ÿñ<{ÝpjWq,Cê‰=²Û±›”NaYʲÓìócS^žÇE躌š´“Ȫ¥\-´£IJ×⌹F÷S°è µÍF;kñm%½¼‚HbG–t V9¤ty¤V(ø˵j7Îl$º–ܵàa,ɲ”WHÈ IÝ5ï× 5{ýVÚùa´šÜ¤EäX^N!äuš@T´j«E÷èqT®ß]Yå…›O·‰æšÝ™*èìÏ +£¿yÁ© ¢šS¾#¹‘¦ÂÍTH‹zc‚Çr]¥Šÿu ZJ+ñ¹^˜6ßWó¯jÑ—xDÈ ’‰ÍÝ]xzj®[±4öÄâÖ|ÄË©nêEê·Õ¤ª«óõä¥>Ô$«ûUèqTÃËÚˆÔ%¸?UŠÙ½+ycZ=]XíZvèpËRÿy[æ0…}ª^<ÃR„ÃÆ8YTÆрßk¢ŸjÓê_ï+|Æ*Å,µƒIÒmn­à6gJ³w¹¹Œ:+´2qâ«PFh”Þ§âÎô 仚î?ªÃm$K%„)¢ühHeÛáØmÒ¢„•i—ú¥®•¤,1¹°U¡2E Lþ«Bû*¨ëûµëðŠïÔdƒL“P•æ{Ƭa! +¾™AêÃÊS SãWpAªÌ—èç´ah—LK²+[’ñJFz†"Bv h:R¬¤ÿ¦ àbú¥—¦"ô½OLz~ŸÖ½W/îoi_ÚÃÍv÷U³6ÿ£ 3—åÍx3‚A@ªJýš†c½:uìK?KëÔ#Ñ“‡¤ú¬•ãõŸKÕãOµé|\iïLUÒjÜ +JúdÈÓ£…#“34vÔ¸%%ãrÆ´? +îèw±ÝÍH-`ã qh+H¦Yˆ7ÙTÆ +þÐéÜ(Õ¼ÆÅ]íÙhÀI‚Jògtâ­ÁÔª¢«±$}­›j`½S×næµMFÍ¢à™äœ§ +Ê’" *ÅY>v)¿^Ø«¼ßÿ™ÿã~¬^ÚëK¹Õ5 g³Š9­d‰¤žEPdzŒj£uý“SôboÿŽLÿñ¿V)q%ÕÅìéé íึcžÙÍ&xœ€¤ÃâÞ”>تí#YºÔ^Ù'a/nÒÍBOïÛJ¼|Ǿûv©_WÔÆK ±£úÓªUûr":'ùTr~@à« .¥¶-x˜K2*PI]‚±'tã5 /‘ìRÉj$¹ tiöaÈìkCO‰@ü1V7™¤xáSon«*E5I ƒ)¿º·ãÅÅzž«Ó‡ÌÓJÈík;ÉnJy«L’)RvøÙcM>Ët=Ä-ç™MŸªb·Ôš~?Ýï^Ójn½Gzâþ¾¿õ£é}jƒSýÔ-½iÞ¼)ýïÃ^¶8ªHפ¼¼·¶0BŠêª0A*ñ<ì}„,‚'ÿ(þÏÙÂ/1ÿäÖògübÔ?êL”i·ܳYýqE$2KqðÒŒJúiºìhNÝ~yóþMo&Æ-Cþ¡¤Ì'÷’ÿ…fÿ¦rD¹|GÞÿÿÑ6ü¤³–÷ÉWqE*DEãTÊÅ–‰¢BHtwW]¾ÒŽDê] +úBê—°#³Ü…q+rVu‹ã_·û¶æ+°n§ Ÿ”Ö°]y*í..’ÑãVPy ÂE &üd$o±ɼÚ6/©k(w¹Cð¨"«!_Wí'¦9àô‘­ÿÏÿ +Ÿû¤GéçK¡^»ßÚÉ;O*z"06,Œ#ãêŸÞÆQ~:w;Tæ:YÖmäºÓå‚!/@Þ³˜Ô-w`ʯF³·\…šý­µæšö×·_SµvO^_„UCáY¤تGªhMs;=¥Õµ¼în½P%ý2¦Š…‹pCê©øy/ÂVm'I${ØUd†¡Ò8–ñîU¤ª‰kðšn½0%Öƒc<Á.µ˜=ÜW°ûsNEËOYUJI+¿s±ß6a(iX¶Sõu5(H ëD¢CÎrÅKAÆ„ýªïÛF~†‘o!š+¸ê/YT•SöÒEEã&a•¡5ìxUZK‘;mÍoâxõHdu—ÓXBŽMÆsÀ~óûÊ¡©öGNù,Å]›6lUÙ³fÅ]›6lU؃µàvÇNQð,ì RzHh@û"»÷¦/Yžê[hn F ”ÀP´‰³+¤«ýç¦á€ÍJb«…°â¸¼†?¬[¼¦Ý”—¬YÇ ´fímµHÈœAð:™f‰yªÄÊJ–¬ +P¢l懑Üqé‹â®Í›6*ìÙ³b®Í›6*ì‚jò’êñ†Ûþ%6Nò ©ÿÊK¨Æoø”øª?‚0‰™AeE +Än*¢´ùàFÒtçûVê~£®À”íU[ù†Æ£²Ÿê'üDeâ©~µÿ«ßù‡“þ#‡Ž¾`ý%vUȲõíªýÐ1 º‚:¹êOËl#Ö¿ã•{ÿ0òÄpñôí`êWwå¾­,öÒ[IJ0 F!2²³0£û(_z×eQú[]<2½×ª •½4T:¥ú`)î~šoJán­þ!:”‰¦–[Sj¼‘ñ”1«©5§é†Zd7P¥ÏÖyQçf…]Ì…c⢜™˜Ð°b7ïÑ~È«ÙêÓß,¶o ¶ ¨‘Ìcä©#´Ñ¨ ´wR¼[nŸhwUNOÓë,A^Vo]`¢#¤С*âûÊþ×J ù·Œ>©š”‹Ö!aåÃãúÉ}°xú~Þ8¥¾™æDh>±pîÈð™gn,UÝÂÔ|™T+MØV‡íbqi~dU‡Ôšf +"2¯Ö[“"súÄ`óûr’¥¿izb©®„u¢ó~—åýܾ°H&X¤r²*x(¿´´ ›iqòÕµÕË]ù¢ÊKwŠ„M{ ?5Võ ã+(­V´¹o˜î1Ïý)EŽöE®dz?¢+ÊP/Ê>"N}‡©Ó½0¯Ÿ™èhgáÇcÆ|>³Öœ¼ô;~À:¿tmÿEù£N„¯ íõØÔJf÷V¯Ùý¥,ÿi'üMiéñÚ?ÒqóáõŸSÒåëyémË—·,/›ýJéJØïdþnæ¼ùÖ«ÈSÖÜtþïêû×ù»àÍ)µóy׌†ßÓoSÔX†üæû\<¸út§l3hÆ(ùù»N2‹,„_lc[wŽÓ¨¡”«SˆÊœ~•ç ++»9.|Ëd Ž×„é%üoYƒIÈ×3Ö¼”Îèû%ü¾oõ)ÿ¥+c½ù¿þ93ÿÆ6ýX,Í­6¡$)% š0³'÷^›³õu«sUZôß 5ÍwEÖô‹¶Ñõ {õ…)1¶•%X<¸JÐá¾ ºùi +‹}hÚ=¢1ú![’ÑÇ>E©Ë~›¯uÊåDðÈGC±Ju…º±ÔƒÙþåÄÌ¿Xâü–¼¹þÏ]7ÂÆÍ̦“qQE…“‰Ô©£2†*´}ØR˜2æ×X–ÛLbn"hë£zA˜21Uj 7ÃS×¥Q?›8ÃꙩH½cÆ\*ÿX#o¶>ÃÇ[ÇægxŒò• +=·¨µŒR%´å?HÏÚ¸Û¯Ü0Z_™aõ&™‚ˆŒ«õ–äÈ…þ±<þܵR]€§%é‹­†¼D@¼Ë"5°•¾°LoÂ6J¿h\üKÄA±ßUÒ›Ìæîßëà}K‹ K•zžšr䨔â%äÓ­vÈ÷˜ÿòky3þ1jõ +&éZ~»ä^M#B¡y+L\1:MÈ<½IŠºu¢Š|?g<Çÿ“[ÉŸñ‹Pÿ¨i3#Iýä¿áY¿éœ‘._÷¿ÿÒ5ü¥ŽÆO%Ý‹ùšÞ1và­þêg$Ón½²q=¯—H³jf¹Y?vÀã!ËÓè¼RûU¦ùÍ?,¼Ëå-3Ë7w˜oþ­,— +""‡-ÅÄÛª°ø‘Ù~Ÿ™?œ¿+¤äV,¬d,¼e¡„vJÐzkNûfv¯K¨:œÄaÈAÉ2„·ßÜÆ27“i­¼¼Ë;I¨ÎŒòL}¨’S¹ø}/´Z§TêpÈÛirêªÒ^Kõ¹. +ˆ +ôø\*€P| õe<ÆÇ¥jr,þpü¯püµv&NfFã-KËÇÖ“ûºr ·€¸óÏå× úŒr]¬¦târêÜ tVôë@§õx §òz¯õ ¿é%ú“Åñóz.ù‚;)4×ó5¼5þò5. ƒE +ÕøIíï„òµ¼…ÿWuÿ‘rÿÍÿó#òïQ·6׸àMj©(>ö;‚F?“Õ¨eÿI/Ô¼Qï4~§§h7²ÜûË ü˜º"ËF‰¹2¢Q¨ŒmTßÇ-¢Ð¤†æF»¸õ8ªÏ?¡(âÂêIÊñô¸ó2ÌA_´Ó„Ïç¯ËGy¤m\ò¸æ³2üQÈ¡#û¿²Bò¶â +æÿËõ‚ßZë£b]„ž·2}×—o³íäõ_êÒKõ/{ÇÍ—Y¦˜—°Fnž[¡9{a$eX¯£2*(+N\XlHëRjœúÌ/˨8Ö‹•˜Ü'4ñvF†•ôè9AO ÿ+[È_õw_ù/üÑäõ_êÒKõ/{ÇÍ™æÈgü­o!ÕÝä\¿óFoùZÞBÿ«ºÿȹæŒ'ªÿPËþ’_©x£Þ>lÏ6C?åky þ®ëÿ"åÿš3ÊÖòý]×þEËÿ4cù=Wú†_ô’ýKÅñófy²ÿ+[È_õw_ù/üÑ›þV·¿êî¿ò._ù£Éê¿Ô2ÿ¤—ê^(÷›3±«ëw~…ÉPöFæ5EÚÝfºh¾&CQ!õTïQJŠV¤ƒþV·¿êî¿ò._ù£¿æGåãܽØÖJM"ÂŽÈ’­VÝÞT]“¡20oäõ_êÒKõ/{ÇÍ›$3««=˺©”²@;r@x¨?»§_Ú©Åò +Ÿ™¾AÕÿN3q2·}VçB8n¢x +±ùZÞBÿ«ºÿȹæŒ'ªÿPËþ’_©x£Þ>lÏ6C?åkù þ®ëÿ"åÿš3ÊÖòý]×þEËÿ4cù=Wú†_ô’ýKÅñófy²ÿ+[È_õw_ù/üÑ›þV·¿êî¿ò._ù£Éê¿Ô2ÿ¤—ê^(÷›3ÍÏùZÞBÿ«ºÿȹæŒßòµ¼…ÿWuÿ‘rÿÍþOUþ¡—ý$¿RñG¼|ÙžA5?ùIuøÃmÿ›ÊÖòý]×þEËÿ4dbóÏ>OŸX»¾Mb щTšµŒÈ[¤GùÆ?“Õ¨eÿI/Ô¼Qï6Es£+¨‰ÕmÀ´hø²U]Z`称ªk?01—Ñ•Õ‹Íé1—á”*ÄTü<(Øžƒ®'ÿ+É€(ý-⪵ôæìÿ}æÿ•‰äÏúº§ü‹—þhÇòz¯õ ¿é%ú—Š=ãæ‹Õ¬<µq%ß2î¯#n«4¦8•ß“Tª°®çærHÚJ¾«t—ˆ^K‹I^À4F# +ÂPŸQQ¨½E3ë>lò6¡opߤ–K†Œˆ•ÀNctøá±ß¦IçoËÉ/î/Û\Vyæ‚à+C+ÚÜÄG§È|<„T4®?“Õ¨eÿI/Ô¼Qï6q¡@-í§A|5S»Ã+µVá·*öÀ:Þžn/þ²n-Ñ#†7’)ß(á‘žD}"“˜ Û†ÇtßÌ"ið¼\Y¹h”E8XÓŠªÆýBã^´ß`ØRóß‘/ïRñ5ô‰£ôYÛÊãÔ·w‘ û5_ÞGãäõ_êÒKõ/{ÇÍ;ƒCš aY/à•ášÝdvówVyƒ°5ý碻¨ëÛo¤¬6q½¶s/êFe>‹Ç¶í%k°Fô\HÜvç½{Å-üÕäoASÌJRÜÄyjR9^à‚˜¼‡zl;¸Ø¼ÓäH½<È…áh$Rme¡’Ô:ÂH¯Ù£üB»ö#Éê¿Ô2ÿ¤—ê^(÷›=òþ%„·K˜îÓ·™³’ŠÇ”€ô¨ps°ÃKýåo˜È‹çÏ èæ@5å™Z8¢@`•Hs$“CZ™aƒ¯4|‹5¹DÕÓ•k¼rÿÍþOUþ¡—ý$¿RñG¼|ÞMcù{æ§Óè‘[ÇÓ6©ÿZdX$ôYdôÚD USVØŽ…N?Wü¥ó®ª‘ [(Ó’Y +ýb.*%âÊ«Ä÷§#°ë“«:y!-4Ée×+Ë[kV‰¢™ãW†)µ§Ä]˜n)±ß +4¯Ì/ËÝ.7Ž=e˜ˆ1ȵ1Dr4N¤G›8k{N5¦>ž_»Ÿ¹‡ ;þ×—é”ÞtÒYÖîÊÙÌì¢8Ì KÅ%äz|AúÐmÜ ÿ•æn!øÁÆž¯Ö~µoË¡õo­ÿ{ö¹ïö¿ÙdûZóç5‘¶º!T ®2’ÊÌàXÇPGµ@ ø¿È匟â8ýB}JýVn>¯Ö>µZr¯ÙãZûã-oiÈ™1³þ×5á‡ÚÅ%ü¹óX›X[Æd%#sZ´˜´ø+ûþŸkökj_•~s¾µ–ÞH™æHŒ÷üAR2;|@ÆGɺøÎSÎ?—´fqDwCo!ä¨-Iø™¬Ô–÷;wŬ|ïùyaymw»_«Û5¢Ãè¸N Ñ°â=:­=>€Ó¬í0Ac·û\׆ÎûXß“<“¯y3B×£×bŽ&¼ôšNE’¢5”5xôûC:šØjí{s;Î~¬×ñAëH9D¡Ýî÷`x­yq¡ØäCÌ_˜þJÔ4ù`µÕQ¤u*ªRQ¹÷áŒÏ>E:Œ×·:òN)ÕÖ9°¦@Ä +|Þ”'„ñ¤Šy³F”a»§.H=Ǩö8[­Y5ÛØ2ÎúW(ô‘¸ò †â›µÐ +~ ÂcóÇ"½´»]v2–+Ç·“á™þšŸ¥Åo‹Šô¦ Ô¿1<…¨=³ +q"ú¼‹!Œ­ÉC,œFËCTïòÊ¿'ªÿPËþ’_©> +stream +H‰|WÝoÛ6÷_q/¨¡Qõmû1]›¬Åt‰·bhú@Ë´¬V]’ª›ýõ»ãQV’nCà:žîówwT +Íâåõ] +]¤ÐÂ"VE¯ÖÓbÔbäëEïðð3$q^Á òxUÁ +|ü”ÀnQËx™Aé‰ý"ËÓ8™»ÅÝâÕfñò*ƒ6ûÅ +¼LEFL›u4øÛÔôï´ø(.áæíû;¸Í“$¾­>]+kµ=€àêýõetQ«¥Hs¸R[3JóY’dÑE–TI.²,ú´y‡Ê VžV^;.A}¾®âeAúÅàVÙ±s6Ú|žíM3~%;¿R­ã"¥W>ŠWÚPWV‰û<+~kë?ß9iVÒS)ä°›ÙþŠÒ$ªët„G§ã?)£xç”u*¼¤”b§¶Q–ˆ±i~8ÚCíZ=¨ð\kcTíºˆ.Ò8­ª%l^£ùã°Sz9Di)àÔºÃüDšcÞÙ[h‡ºwíЀ;(¸{ÿöî…ÏгÜX½w^ÙægTqŠÖBzgŠ•°m?vÒisa-¬êö|DÚ˜VëŠy{¸Úþص÷y^y¯û™¨ŒEG™Eïy}’d|Vâ0~'§è£GÌy¬mv³é–;‹@ÛE“•Ï"-pNÀŒÃ@!ƒaotïC£‡‹úÐáöò¶®¿°‰Da¦Øô(4Ù£R;‹vä9{‚k™ðzóëß¼™3‰Š@9ß½°ztìrI^ŒõT`;XgFŽ J¡ÞºÅP‘˜ +TàcŒ§Nó:Z5[Jve6¤;Ø6‡ÖzU…„>"¶rÛ=@-¸bTöçpI‡¶9 ðØm@,§bt½Y†q«¥ÙAM1<‡¾kû–bï4” `lb˜J*K +¦´ÿWÊzÕkó0këåñÈÃøãå”v+Qcú‘ŠD„Õ<{/{Ḟ›C(Ü ô¸‡ÖYÞR +0Ü“¦vàÕM²ÏUè:¬Tg[ R9 6Fã  <ð¼ZF:åAwT¦ÕaåNJ +°EX #8rÔ±Ésß;ë¨uÇPÀc£Çæ"(|€×´–̈Უ˜  +³hƒ¤ŒžŽêQwÈ5ª³š½ÆD¹Ü*õ÷%´ÂÓ^Ûu Ig½óG3ðp®Nš(]‰à±Ypq„ÊĦô`!»v7¹ÏF|ˆŠDPç£HØžòcæ¾÷´ÌÐG¾;Ñ]Ÿàœ6 +{ò¯×ñú'Nά³DZ +Jï¤/Ê*€ æÖZ&m[ìø†÷î ‡go£ƒõ6ˆÅH†7<ŒüÎÇtnixc4˜J–ÑòA©­A4a—9j§‡ã0Œ´^ÉPóŠî›!ÜsSßs[}/¿?ºð`Ö|&Ó3R†o'‡R2ˆ7TW†Ò_x÷‘»Õîbámy!gšùäÍÊí fWƒÇŒ§ïy¸ ȃviŒ|4 9…œc¶§À»ÁØ|úôÖ[<¹Åæó8³¾¯ø@ÎqË—Rr8—‡‡œ'†+m.²ûöœ5çöÈ#ëÍfñÕtäkX.ù³"-ãuy¾Ž«”¾b>À€\EZÄy–®ý7qÆŸøf‘a§Î î/ßö)¼Ö‹ßñϺL_  +$e\.aY¥q™ñ§ÀÕÛë?nß@ºŒá®Æa´Ø^íA;*½_Òà4ð¡Á~ká¯PÝdü? ;NÜ› +endstream +endobj +409 0 obj +<< +/ProcSet [/PDF /Text /ImageC ] +/Font << +/F2 19 0 R +/F4 20 0 R +>> +/XObject << +/Im1 407 0 R +>> +/ExtGState << +/GS1 21 0 R +>> +>> +endobj +410 0 obj +<< +/D [404 0 R /XYZ null 699 null] +>> +endobj +411 0 obj +<< +/D [404 0 R /XYZ null 672 null] +>> +endobj +412 0 obj +<< +/D [404 0 R /XYZ null 380 null] +>> +endobj +413 0 obj +<< +/D [404 0 R /XYZ null 870 null] +>> +endobj +414 0 obj +<< +/D [404 0 R /XYZ null 849 null] +>> +endobj +415 0 obj +<< +/D [404 0 R /XYZ null 849 null] +>> +endobj +416 0 obj +<< +/D [404 0 R /XYZ null 833 null] +>> +endobj +417 0 obj +<< +/D [404 0 R /XYZ null 833 null] +>> +endobj +418 0 obj +<< +/D [404 0 R /XYZ null 805 null] +>> +endobj +419 0 obj +<< +/D [404 0 R /XYZ null 805 null] +>> +endobj +420 0 obj +<< +/D [404 0 R /XYZ null 789 null] +>> +endobj +421 0 obj +<< +/D [404 0 R /XYZ null 789 null] +>> +endobj +422 0 obj +<< +/D [404 0 R /XYZ null 773 null] +>> +endobj +423 0 obj +<< +/D [404 0 R /XYZ null 773 null] +>> +endobj +424 0 obj +<< +/D [404 0 R /XYZ null 757 null] +>> +endobj +425 0 obj +<< +/D [404 0 R /XYZ null 757 null] +>> +endobj +426 0 obj +<< +/D [404 0 R /XYZ null 741 null] +>> +endobj +427 0 obj +<< +/D [404 0 R /XYZ null 741 null] +>> +endobj +428 0 obj +<< +/D [404 0 R /XYZ null null null] +>> +endobj +429 0 obj +<< +/D [404 0 R /XYZ null 877 null] +>> +endobj +430 0 obj +<< +/D [404 0 R /XYZ null 877 null] +>> +endobj +406 0 obj +<< +/P 399 0 R +/R [222 56 657 780] +/V 395 0 R +/N 431 0 R +>> +endobj +432 0 obj +<< +/Length 2386 +/Filter /FlateDecode +>> +stream +H‰|W[Û¶~÷¯˜G©ØUt±e»o›4Éi A➃"éƒ,Ó6³’hˆRœý÷çuñ6(‚¬hr8·onLè´xñösB'»HHÓ"¦˜6Ë$Úl‡O«Gl¿]¤ô‡ß(Ž²œ®”E›œ>З¿c:,òå:Z§´r›õ"Í’(~V‹Ï‹—»Å‹7)%´;.6ä„'Z¦L´«!ã„ÿ»’ÿ\_‚úðûÇÏô)‹ã˜j]¶æÒšRYkZ2 + +½ùøö!¼_-7ë ÉèÚ·}Ñ>QÇixŸÆyœiþ½{·HR'/ugüØ/Aw +“80¸’mƒ¯Yº ãà/lŪ*¦«àÊg›àsW´+!-‹f¸“嘦óŒ +Ùøo˜Çn;&«à‡ìÍAmï9hÿ½mQUªŠäüFÉ‚µú‰J[§Ò ÑfÒHÕý½3<¦û$Jò|M»ß`jUt +ž3ÝYµtPÌè».Uú‘}€3‚1kStº9ÑÅ覣¾Ñ]䘽x³“\Ü™î\­Vpg°!zeš²ê­6M¸û6ÿVéfawV|¿©²#‡Ga©4õ¥R:íKFþØWÕY¥jìu†à?‚¿$PJsPøÓ¶`Q=9…w¿€sQugÓŸÎðÌ2`»¸Èñ’e§,„ÈúIu‘¬vgme%ú *ì Úmð!UíÀ¤øŸ‰oˆüðhתAƒk¸s­TÑ6ƒÇÖÔ“ € dŽä¨6­"]_LÛ¨âœh,i‹Ó¢#6‡á;e©(»¾`¯u NqešÐi3“Àž®UÓyÓDôZŒkH|Gª(Ï.FpÄi´WGÖf¯X"Âqž§¶à³«îάð$fÊàëÌ`‡/A°í4ð<:ºÂ‰nÈj˜ÀjYj$dÅñ¸Þ”J‡iîwí +§rPÙ*ì“ðªhÃdœ8§’epPVŸ8_pÕø/\ÂjÁÙãj9ÒÿZÿü/Ä.íñí­’s6É-ØHÓÀµÖS#/­HΪ¸x¶ÍŒjT½Så¹1•9=…ù*à`êß}zø@ûÊ”H QIŽ)ÈàÝVÛŽ±Ó$èá#»ñ¨Z¹RËÃœ'‘»p½½õ$Ùš“9 AБ8q®ÃU#¼p`ˆ±¼kõ¾R._|ZÎâ#™³dH}¼¨ÆÐ%šþhr`$»0[Þ&Ùañ­a²ÏÊð*G¢â;ÿ1úಠǒšX˜ÆÕбæþîY +Ê5 +Q{?©m¹Í¨èÑoEWP‰äpÎ…¨Z@f¯èÐê)V±óÄAþ+.“àŽjÀõ9ÖÂÆp\ Õ\¢V­sÒ †3rÖÐY¬âÔ+(KiÏù óБ8—¾£º¯:Ôfwþði#í®¯„bȪUá š¡ÿ =æCÀÉö bGP*¸XÈ‘9Ê·“b ùÆ>þ±@–Ú®íKÎàç—<ÉîýK¹<ø{ũО­ÄUVÞ•O¨—r6Oj;ãóå?Œ_ýÄxï<·Ïa¾W€Ih¡Y]áSDo&Û1„þ-©"zàÒvS÷jmÕX<[®§HÎ%Ѩ}ä”ÂÖ˜¿W´z4„„-j×Q„#Ëu‘[ÁàÜ™,öóÐ)æCû¢y”zÀ<Ïúè6öçË‚Ù;SõŽÙØ]!8ÌÝÍí¡sÔH'õ_j +rÀAI'X§ÊT8ØTíšXÁΫͬ;íÂ"ªgš¦,{—çXs7‘•$/i€ú- ®•öNÖ…°j•E€ÈÖX@¬œ ÏÙÕulì•çßõIËuýÚzæS³­húzÃI® +:‹¹BÂäÆ`¤yÑÖ'Ì¡]Ê Iû»NtgnÄ¡;I@ráÐ.óaNÙ <œ+³õÍü‚ÉáÐÈÁÐåàÆÁ9k‹ üÂóH18(fÝt6ë>p|3kñ‰‰Ü +Óïu×I +[Ïgè4ür?¥a!Å*ü†ÕÍ8ˆß]ËwíÓ(F"¥9 ø;é5iÌG˜'ÔÍ‘ÞÕ¾Ÿ í2q$~ÄH‚ÇÆ„N +÷«Ø#yCñº¸aÉ;±Xpw¬H~ýO—æ ÃBdlq¥>™É&ÆC5RÞØ1+uC¿×SÙw©.eá*“ƒ+\(Öí4BúÙ‹nGѱøMóñAÁï.xöù +_ûn¼AƒîTê¶ìugeF)~Ú!ݨRÌ +Â[–b{Ù™vÈׯœ¥:ªCèYÇ•íØT¦»çã+©~³ŠgÌ£çà _ë¦ç’ £±N”{ + >õ/âb„1ß<ºÁ€ éÍQ̹¤<øY柡gw_ø, +Ü[Á¶g,~­lú„O;‰¢’ÓÒÖ³íúƒÏkyheÁïUm7î»bàø½áiü—שûàý„¾é^ªþåèÞ?W~Bœžõïé84;ž~p?öþµóŸ‚›•Ÿ£js€ñ­mo›ƒË „£Yò‹§•çJéŠö0$›L’ÙÍÃ?Kxó$ÄÙ¼ãjå'ZÅré}ËBoÇ(\Ϻôi;lÍ_ +‚®h‘ÿœ¥T5;ÎÐÒ°;ù]õå£Æbßéý¥³ª50wðàRͯÌ8ðç3K’ñöú™ïžåö8'µä+{Œ(tä´ó/]7«ºç©e +׿r”’‰_ÝSSû˜!Flè¢yÊç)ÍAÝ!UÕ…G÷$qÎõéL¨Î„hD¢„ –T…ê…r$yüâÍ’ÚILüŸt¹ŠRZ§y”å´«Ý+k/ß¿¦uDo¹@–¦Gns±DÕÐ¥ðŠ£8Ë\{“–ðjx…÷Ùr“ÓM/:Ñ®“ärç/xÈ .!n{S»I…èëÐDªþàª%Ï©_CG·‰ ŠIó*}øðgx¿Ú€,¾ÛlÓÙûã=Nò%R8½KâÙÁg™[qm½Úâ¯éðÓ|Ü}‰q¶‰Ó ¹‹óÄ‘½ÞAwCƒ+-²MeKÿ¦T/Òl­²áwµxùËâÿ ¾¢ +endstream +endobj +433 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 19 0 R +/F4 20 0 R +>> +/ExtGState << +/GS1 21 0 R +>> +>> +endobj +435 0 obj +<< +/D [434 0 R /XYZ null 867 null] +>> +endobj +436 0 obj +<< +/D [434 0 R /XYZ null null null] +>> +endobj +437 0 obj +<< +/D [434 0 R /XYZ null null null] +>> +endobj +431 0 obj +<< +/P 404 0 R +/R [222 56 657 780] +/V 406 0 R +/N 438 0 R +>> +endobj +485 0 obj +<< +/Length 473 +/Filter /FlateDecode +>> +stream +H‰dQMoã ½ó+æ« رc»»‰Z©RÕxOMÔ&1Y"p7Ê¿ßÁvªH{0 ÃcÞ‡ÈÝf+àˆ„‡2I¹ºn^“=¶7DÂ^'igH“2‡gx{çÐ<+’BÂrlöD¦"á×cG¶ä¡"wk ª=)a$”ɪzä8àWÕq9“7zÏ/[xM9çЛڻ“wµÁyp”…õËæž-–YYP‘ÂZøOå/ 9—l!yÎS*3ö^=!GRÜfÖ"Oㆴ´u'ÝÀЪ4|ѨÝg×Àö:U#ÊØÁA¸„A÷azR£­‚é.7°Á±êˆ>"y^@õýôΚ!j÷8ÖÞupÒÞœZíUfrIÿdŽˆAœíú“³Ú!]à@y30Ƴ£¯;†Y4SNóÑkümLäô`PªŠ¼jt¯üœ¾Ÿ‚­XÁ©®[ë:w0:|‡G['h1Æpå»5ðõë“ÍVt;(”ë’"ûT8|觃C‹85a‹l•Ó­òÝõÁƒ×Ç©2sǺaš«ö1†]šæQ3Âì|k™XÒK<ôÌ°q™g3´$ZÅWßPrÅòÕÿÇû_ù'À}eÓJ +endstream +endobj +486 0 obj +<< +/ProcSet [/PDF /Text ] +/Font << +/F2 19 0 R +>> +/ExtGState << +/GS1 21 0 R +>> +>> +endobj +487 0 obj +<< +/ProcSet [/PDF ] +>> +endobj +40 0 obj +<< +/Type /Pattern +/PatternType 1 +/Resources 487 0 R +/Matrix [0.9 0 0 0.9 0 0] +/PaintType 2 +/TilingType 3 +/BBox [0 0 8 8] +/XStep 8 +/YStep 8 +/Length 89 +/Filter /FlateDecode +>> +stream +H‰È1@@„á~Nñ_€ìò"ö +…(¢£ KBãúžÌóÍ­ÈŽÖólš¸TÑùqPóR—mCϼVï©D"Ë(Ì+Ìåû—áÎ5è`rñW +endstream +endobj +488 0 obj +<< +/ProcSet [/PDF /ImageB ] +>> +endobj +300 0 obj +<< +/Type /Pattern +/PatternType 1 +/Resources 488 0 R +/Matrix [0.9 0 0 0.9 0 0] +/PaintType 2 +/TilingType 3 +/BBox [0 0 8 8] +/XStep 8 +/YStep 8 +/Length 64 +/Filter /FlateDecode +>> +stream +H‰*ä²P0B™œËåäÉ¥®`Á¥ï"œœ ¹ô=}JŠJS¹<]þÿa.WO®@.€«[P +endstream +endobj +489 0 obj +<< +/Type /Halftone +/HalftoneType 1 +/HalftoneName (Default) +/Frequency 60 +/Angle 45 +/SpotFunction /Round +>> +endobj +21 0 obj +<< +/Type /ExtGState +/SA false +/OP false +/HT /Default +>> +endobj +19 0 obj +<< +/Type /Font +/Subtype /Type1 +/Name /F2 +/Encoding 490 0 R +/BaseFont /Times-Roman +>> +endobj +20 0 obj +<< +/Type /Font +/Subtype /Type1 +/Name /F4 +/Encoding 490 0 R +/BaseFont /Times-Bold +>> +endobj +171 0 obj +<< +/Type /Font +/Subtype /Type1 +/Name /F5 +/BaseFont /Courier +>> +endobj +109 0 obj +<< +/Type /Font +/Subtype /Type1 +/Name /F6 +/Encoding 491 0 R +/BaseFont /Courier +>> +endobj +490 0 obj +<< +/Type /Encoding +/Differences [ 39/quotesingle 96/grave 128/Adieresis/Aring/Ccedilla/Eacute/Ntilde/Odieresis +/Udieresis/aacute/agrave/acircumflex/adieresis/atilde/aring/ccedilla +/eacute/egrave/ecircumflex/edieresis/iacute/igrave/icircumflex/idieresis +/ntilde/oacute/ograve/ocircumflex/odieresis/otilde/uacute/ugrave +/ucircumflex/udieresis/dagger/.notdef 164/section/bullet/paragraph/germandbls +/registered/copyright/trademark/acute/dieresis/.notdef/AE/Oslash + 177/.notdef/.notdef/.notdef/yen 182/.notdef/.notdef/.notdef/.notdef +/.notdef/ordfeminine/ordmasculine/.notdef/ae/oslash/questiondown/exclamdown +/logicalnot/.notdef/florin/.notdef/.notdef/guillemotleft/guillemotright/ellipsis +/.notdef/Agrave/Atilde/Otilde/OE/oe/endash/emdash +/quotedblleft/quotedblright/quoteleft/quoteright 216/ydieresis/Ydieresis/fraction/currency +/guilsinglleft/guilsinglright/fi/fl/daggerdbl/periodcentered/quotesinglbase/quotedblbase +/perthousand/Acircumflex/Ecircumflex/Aacute/Edieresis/Egrave/Iacute/Icircumflex +/Idieresis/Igrave/Oacute/Ocircumflex 241/Ograve/Uacute/Ucircumflex/Ugrave + 246/circumflex/tilde/macron/breve/dotaccent/ring/cedilla/hungarumlaut +/ogonek/caron +] +>> +endobj +491 0 obj +<< +/Type /Encoding +/Differences [ 39/quotesingle 96/grave 128/Adieresis/Aring/Ccedilla/Eacute/Ntilde/Odieresis +/Udieresis/aacute/agrave/acircumflex/adieresis/atilde/aring/ccedilla +/eacute/egrave/ecircumflex/edieresis/iacute/igrave/icircumflex/idieresis +/ntilde/oacute/ograve/ocircumflex/odieresis/otilde/uacute/ugrave +/ucircumflex/udieresis/dagger/.notdef 164/section/bullet/paragraph/germandbls +/registered/copyright/trademark/acute/dieresis/.notdef/AE/Oslash + 177/.notdef/.notdef/.notdef/yen 182/.notdef/.notdef/.notdef/.notdef +/.notdef/ordfeminine/ordmasculine/.notdef/ae/oslash/questiondown/exclamdown +/logicalnot/.notdef/florin/.notdef/.notdef/guillemotleft/guillemotright/ellipsis +/.notdef/Agrave/Atilde/Otilde/OE/oe/endash/emdash +/quotedblleft/quotedblright/quoteleft/quoteright 216/ydieresis/Ydieresis/fraction/currency +/guilsinglleft/guilsinglright/fi/fl/daggerdbl/periodcentered/quotesinglbase/quotedblbase +/perthousand/Acircumflex/Ecircumflex/Aacute/Edieresis/Egrave/Iacute/Icircumflex +/Idieresis/Igrave/Oacute/Ocircumflex 241/Ograve/Uacute/Ucircumflex/Ugrave + 246/circumflex/tilde/macron/breve/dotaccent/ring/cedilla/hungarumlaut +/ogonek/caron +] +>> +endobj +1 0 obj +<< +/Type /Page +/Parent 22 0 R +/Resources 18 0 R +/Contents 17 0 R +/CropBox [123 0 719 842] +/B [16 0 R] +>> +endobj +23 0 obj +<< +/Type /Page +/Parent 22 0 R +/Resources 39 0 R +/Contents 38 0 R +/CropBox [123 0 719 842] +/Annots [36 0 R] +/B [37 0 R] +>> +endobj +42 0 obj +<< +/Type /Page +/Parent 22 0 R +/Resources 56 0 R +/Contents 55 0 R +/CropBox [123 0 719 842] +/B [54 0 R] +>> +endobj +57 0 obj +<< +/Type /Page +/Parent 22 0 R +/Resources 82 0 R +/Contents 81 0 R +/CropBox [123 0 719 842] +/B [80 0 R] +>> +endobj +83 0 obj +<< +/Type /Page +/Parent 22 0 R +/Resources 108 0 R +/Contents 107 0 R +/CropBox [123 0 719 842] +/Annots [105 0 R] +/B [106 0 R] +>> +endobj +110 0 obj +<< +/Type /Page +/Parent 22 0 R +/Resources 142 0 R +/Contents 141 0 R +/CropBox [123 0 719 842] +/Annots [139 0 R] +/B [140 0 R] +>> +endobj +143 0 obj +<< +/Type /Page +/Parent 22 0 R +/Resources 157 0 R +/Contents 156 0 R +/CropBox [123 0 719 842] +/Annots [153 0 R 154 0 R] +/B [155 0 R] +>> +endobj +152 0 obj +<< +/Type /Page +/Parent 22 0 R +/Resources 170 0 R +/Contents 169 0 R +/CropBox [123 0 719 842] +/B [168 0 R] +>> +endobj +172 0 obj +<< +/Type /Page +/Parent 22 0 R +/Resources 179 0 R +/Contents 178 0 R +/CropBox [123 0 719 842] +/B [177 0 R] +>> +endobj +180 0 obj +<< +/Type /Page +/Parent 22 0 R +/Resources 186 0 R +/Contents 185 0 R +/CropBox [123 0 719 842] +/B [184 0 R] +>> +endobj +187 0 obj +<< +/Type /Page +/Parent 200 0 R +/Resources 198 0 R +/Contents 197 0 R +/CropBox [123 0 719 842] +/B [196 0 R] +>> +endobj +201 0 obj +<< +/Type /Page +/Parent 200 0 R +/Resources 211 0 R +/Contents 210 0 R +/CropBox [123 0 719 842] +/B [209 0 R] +>> +endobj +212 0 obj +<< +/Type /Page +/Parent 200 0 R +/Resources 264 0 R +/Contents 263 0 R +/CropBox [123 0 719 842] +/B [262 0 R] +>> +endobj +265 0 obj +<< +/Type /Page +/Parent 200 0 R +/Resources 276 0 R +/Contents 275 0 R +/CropBox [123 0 719 842] +/B [274 0 R] +>> +endobj +277 0 obj +<< +/Type /Page +/Parent 200 0 R +/Resources 289 0 R +/Contents 288 0 R +/CropBox [123 0 719 842] +/B [287 0 R] +>> +endobj +290 0 obj +<< +/Type /Page +/Parent 200 0 R +/Resources 299 0 R +/Contents 298 0 R +/CropBox [123 0 719 842] +/B [297 0 R] +>> +endobj +301 0 obj +<< +/Type /Page +/Parent 200 0 R +/Resources 351 0 R +/Contents 350 0 R +/CropBox [123 0 719 842] +/Annots [348 0 R] +/B [349 0 R] +>> +endobj +352 0 obj +<< +/Type /Page +/Parent 200 0 R +/Resources 364 0 R +/Contents 363 0 R +/CropBox [123 0 719 842] +/B [362 0 R] +>> +endobj +365 0 obj +<< +/Type /Page +/Parent 200 0 R +/Resources 377 0 R +/Contents 376 0 R +/CropBox [123 0 719 842] +/Annots [372 0 R 373 0 R 374 0 R] +/B [375 0 R] +>> +endobj +378 0 obj +<< +/Type /Page +/Parent 200 0 R +/Resources 386 0 R +/Contents 385 0 R +/CropBox [123 0 719 842] +/B [384 0 R] +>> +endobj +387 0 obj +<< +/Type /Page +/Parent 398 0 R +/Resources 397 0 R +/Contents 396 0 R +/CropBox [123 0 719 842] +/B [395 0 R] +>> +endobj +399 0 obj +<< +/Type /Page +/Parent 398 0 R +/Resources 409 0 R +/Contents 408 0 R +/CropBox [123 0 719 842] +/Annots [405 0 R] +/B [406 0 R] +>> +endobj +404 0 obj +<< +/Type /Page +/Parent 398 0 R +/Resources 433 0 R +/Contents 432 0 R +/CropBox [123 0 719 842] +/B [431 0 R] +>> +endobj +434 0 obj +<< +/Type /Page +/Parent 398 0 R +/Resources 486 0 R +/Contents 485 0 R +/CropBox [123 0 719 842] +/B [438 0 R] +>> +endobj +22 0 obj +<< +/Type /Pages +/Kids [1 0 R 23 0 R 42 0 R 57 0 R 83 0 R 110 0 R 143 0 R 152 0 R 172 0 R 180 0 R] +/Count 10 +/Parent 199 0 R +>> +endobj +200 0 obj +<< +/Type /Pages +/Kids [187 0 R 201 0 R 212 0 R 265 0 R 277 0 R 290 0 R 301 0 R 352 0 R 365 0 R 378 0 R] +/Count 10 +/Parent 199 0 R +>> +endobj +398 0 obj +<< +/Type /Pages +/Kids [387 0 R 399 0 R 404 0 R 434 0 R] +/Count 4 +/Parent 199 0 R +>> +endobj +199 0 obj +<< +/Type /Pages +/Kids [22 0 R 200 0 R 398 0 R ] +/Count 24 +/MediaBox [0 0 842 842] +>> +endobj +492 0 obj +<< +/Count 8 +/First 439 0 R +/Last 484 0 R +>> +endobj +439 0 obj +<< +/Title (1 Introduction) +/Dest [1 0 R /XYZ null 797 null] +/Parent 492 0 R +/Next 446 0 R +/First 440 0 R +/Last 445 0 R +/Count -6 +>> +endobj +440 0 obj +<< +/Title (1.1 History of RISC) +/Dest [1 0 R /XYZ null 679 null] +/Parent 439 0 R +/Next 441 0 R +>> +endobj +441 0 obj +<< +/Title (1.2 Load Store Architecture) +/Dest [1 0 R /XYZ null 423 null] +/Parent 439 0 R +/Prev 440 0 R +/Next 442 0 R +>> +endobj +442 0 obj +<< +/Title (1.3 History of pipelining) +/Dest [23 0 R /XYZ null 732 null] +/Parent 439 0 R +/Prev 441 0 R +/Next 443 0 R +>> +endobj +443 0 obj +<< +/Title (1.4 Powerview) +/Dest [42 0 R /XYZ null 693 null] +/Parent 439 0 R +/Prev 442 0 R +/Next 444 0 R +>> +endobj +444 0 obj +<< +/Title (1.5 FPGAs) +/Dest [42 0 R /XYZ null 563 null] +/Parent 439 0 R +/Prev 443 0 R +/Next 445 0 R +>> +endobj +445 0 obj +<< +/Title (1.6 Source Material) +/Dest [42 0 R /XYZ null 419 null] +/Parent 439 0 R +/Prev 444 0 R +>> +endobj +446 0 obj +<< +/Title (2 MIPS Microprocessor Specifications) +/Dest [42 0 R /XYZ null 311 null] +/Parent 492 0 R +/Prev 439 0 R +/Next 454 0 R +/First 447 0 R +/Last 451 0 R +/Count -5 +>> +endobj +447 0 obj +<< +/Title (2.1 Instructions) +/Dest [42 0 R /XYZ null 275 null] +/Parent 446 0 R +/Next 448 0 R +>> +endobj +448 0 obj +<< +/Title (2.2 Registers) +/Dest [57 0 R /XYZ null 709 null] +/Parent 446 0 R +/Prev 447 0 R +/Next 449 0 R +>> +endobj +449 0 obj +<< +/Title (2.3 Conditions) +/Dest [57 0 R /XYZ null 523 null] +/Parent 446 0 R +/Prev 448 0 R +/Next 450 0 R +>> +endobj +450 0 obj +<< +/Title (2.4 Memory) +/Dest [83 0 R /XYZ null 796 null] +/Parent 446 0 R +/Prev 449 0 R +/Next 451 0 R +>> +endobj +451 0 obj +<< +/Title (2.5 Pipeline Interlocking) +/Dest [83 0 R /XYZ null 638 null] +/Parent 446 0 R +/Prev 450 0 R +/First 452 0 R +/Last 453 0 R +/Count -2 +>> +endobj +452 0 obj +<< +/Title (2.5.1 Branch shadow) +/Dest [83 0 R /XYZ null 480 null] +/Parent 451 0 R +/Next 453 0 R +>> +endobj +453 0 obj +<< +/Title (2.5.2 Load Delay) +/Dest [110 0 R /XYZ null 831 null] +/Parent 451 0 R +/Prev 452 0 R +>> +endobj +454 0 obj +<< +/Title (3 MIPS Microprocessor Construction) +/Dest [110 0 R /XYZ null 499 null] +/Parent 492 0 R +/Prev 446 0 R +/Next 462 0 R +/First 455 0 R +/Last 461 0 R +/Count -7 +>> +endobj +455 0 obj +<< +/Title (3.1 Pipeline) +/Dest [110 0 R /XYZ null 395 null] +/Parent 454 0 R +/Next 456 0 R +>> +endobj +456 0 obj +<< +/Title (3.2 Register Bank) +/Dest [143 0 R /XYZ null 301 null] +/Parent 454 0 R +/Prev 455 0 R +/Next 457 0 R +>> +endobj +457 0 obj +<< +/Title (3.3 ALU) +/Dest [152 0 R /XYZ null 473 null] +/Parent 454 0 R +/Prev 456 0 R +/Next 458 0 R +>> +endobj +458 0 obj +<< +/Title (3.4 Shifter) +/Dest [152 0 R /XYZ null 287 null] +/Parent 454 0 R +/Prev 457 0 R +/Next 459 0 R +>> +endobj +459 0 obj +<< +/Title (3.5 Memory) +/Dest [172 0 R /XYZ null 289 null] +/Parent 454 0 R +/Prev 458 0 R +/Next 460 0 R +>> +endobj +460 0 obj +<< +/Title (3.6 Branch) +/Dest [180 0 R /XYZ null 533 null] +/Parent 454 0 R +/Prev 459 0 R +/Next 461 0 R +>> +endobj +461 0 obj +<< +/Title (3.7 Forwarding) +/Dest [187 0 R /XYZ null 636 null] +/Parent 454 0 R +/Prev 460 0 R +>> +endobj +462 0 obj +<< +/Title (4 Advanced MIPS specifications) +/Dest [187 0 R /XYZ null 402 null] +/Parent 492 0 R +/Prev 454 0 R +/Next 472 0 R +/First 463 0 R +/Last 471 0 R +/Count -7 +>> +endobj +463 0 obj +<< +/Title (4.1 Cache) +/Dest [201 0 R /XYZ null 915 null] +/Parent 462 0 R +/Next 464 0 R +>> +endobj +464 0 obj +<< +/Title (4.2 Memory Management) +/Dest [201 0 R /XYZ null 771 null] +/Parent 462 0 R +/Prev 463 0 R +/Next 465 0 R +>> +endobj +465 0 obj +<< +/Title (4.3 Coprocessors) +/Dest [201 0 R /XYZ null 473 null] +/Parent 462 0 R +/Prev 464 0 R +/Next 466 0 R +>> +endobj +466 0 obj +<< +/Title (4.4 Coprocessor Zero \(CP0\)) +/Dest [212 0 R /XYZ null 915 null] +/Parent 462 0 R +/Prev 465 0 R +/Next 469 0 R +/First 467 0 R +/Last 468 0 R +/Count -2 +>> +endobj +467 0 obj +<< +/Title (4.4.1 CP0 Interrupt) +/Dest [212 0 R /XYZ null 827 null] +/Parent 466 0 R +/Next 468 0 R +>> +endobj +468 0 obj +<< +/Title (4.4.2 CP0 Memory Management) +/Dest [265 0 R /XYZ null 771 null] +/Parent 466 0 R +/Prev 467 0 R +>> +endobj +469 0 obj +<< +/Title (4.5 Exceptions) +/Dest [265 0 R /XYZ null 545 null] +/Parent 462 0 R +/Prev 466 0 R +/Next 470 0 R +>> +endobj +470 0 obj +<< +/Title (4.6 Memory Map) +/Dest [265 0 R /XYZ null 415 null] +/Parent 462 0 R +/Prev 469 0 R +/Next 471 0 R +>> +endobj +471 0 obj +<< +/Title (4.7 Multiplier Divider) +/Dest [277 0 R /XYZ null 649 null] +/Parent 462 0 R +/Prev 470 0 R +>> +endobj +472 0 obj +<< +/Title (5 Advanced MIPS Microprocessor Construction) +/Dest [277 0 R /XYZ null 541 null] +/Parent 492 0 R +/Prev 462 0 R +/Next 480 0 R +/First 473 0 R +/Last 479 0 R +/Count -7 +>> +endobj +473 0 obj +<< +/Title (5.1 Cache) +/Dest [277 0 R /XYZ null 451 null] +/Parent 472 0 R +/Next 474 0 R +>> +endobj +474 0 obj +<< +/Title (5.2 Memory Management) +/Dest [352 0 R /XYZ null 915 null] +/Parent 472 0 R +/Prev 473 0 R +/Next 475 0 R +>> +endobj +475 0 obj +<< +/Title (5.3 Coprocessors) +/Dest [352 0 R /XYZ null 617 null] +/Parent 472 0 R +/Prev 474 0 R +/Next 476 0 R +>> +endobj +476 0 obj +<< +/Title (5.4 Coprocessor Zero) +/Dest [352 0 R /XYZ null 361 null] +/Parent 472 0 R +/Prev 475 0 R +/Next 477 0 R +>> +endobj +477 0 obj +<< +/Title (5.5 Exceptions) +/Dest [365 0 R /XYZ null 436 null] +/Parent 472 0 R +/Prev 476 0 R +/Next 478 0 R +>> +endobj +478 0 obj +<< +/Title (5.6 Memory Map) +/Dest [378 0 R /XYZ null 743 null] +/Parent 472 0 R +/Prev 477 0 R +/Next 479 0 R +>> +endobj +479 0 obj +<< +/Title (5.7 Multiplier and Divider) +/Dest [378 0 R /XYZ null 431 null] +/Parent 472 0 R +/Prev 478 0 R +>> +endobj +480 0 obj +<< +/Title (6 Debug) +/Dest [387 0 R /XYZ null 591 null] +/Parent 492 0 R +/Prev 472 0 R +/Next 483 0 R +/First 481 0 R +/Last 482 0 R +/Count -2 +>> +endobj +481 0 obj +<< +/Title (6.1 Binutils and GCC) +/Dest [387 0 R /XYZ null 555 null] +/Parent 480 0 R +/Next 482 0 R +>> +endobj +482 0 obj +<< +/Title (6.2 Charlie\220s Angel) +/Dest [387 0 R /XYZ null 397 null] +/Parent 480 0 R +/Prev 481 0 R +>> +endobj +483 0 obj +<< +/Title (7 Results) +/Dest [399 0 R /XYZ null 552 null] +/Parent 492 0 R +/Prev 480 0 R +/Next 484 0 R +>> +endobj +484 0 obj +<< +/Title (8 Conclusion) +/Dest [404 0 R /XYZ null 710 null] +/Parent 492 0 R +/Prev 483 0 R +>> +endobj +438 0 obj +<< +/P 434 0 R +/R [222 56 657 780] +/V 431 0 R +/N 16 0 R +>> +endobj +16 0 obj +<< +/T 15 0 R +/P 1 0 R +/R [222 56 657 780] +/V 438 0 R +/N 37 0 R +>> +endobj +493 0 obj +[ 15 0 R ] +endobj +494 0 obj +<< +/Limits [(F) (G742131)] +/Names [(F) 14 0 R (G473549) 2 0 R (G473550) 3 0 R (G742028) 7 0 R (G742031) 9 0 R (G742033) 10 0 R (G742034) 11 0 R (G742038) 26 0 R +(G742039) 27 0 R (G742041) 28 0 R (G742045) 44 0 R (G742047) 45 0 R (G742048) 46 0 R (G742050) 49 0 R (G742051) 50 0 R (G742052) 51 0 R +(G742056) 58 0 R (G742059) 60 0 R (G742060) 61 0 R (G742062) 84 0 R (G742063) 85 0 R (G742065) 86 0 R (G742066) 87 0 R (G742068) 88 0 R +(G742069) 89 0 R (G742071) 90 0 R (G742072) 91 0 R (G742073) 92 0 R (G742074) 93 0 R (G742075) 94 0 R (G742076) 95 0 R (G742077) 111 0 R +(G742078) 112 0 R (G742079) 113 0 R (G742080) 114 0 R (G742081) 115 0 R (G742082) 116 0 R (G742083) 117 0 R (G742084) 118 0 R (G742086) 119 0 R +(G742087) 120 0 R (G742088) 121 0 R (G742089) 122 0 R (G742090) 123 0 R (G742091) 124 0 R (G742092) 125 0 R (G742093) 126 0 R (G742094) 127 0 R +(G742095) 128 0 R (G742096) 129 0 R (G742097) 130 0 R (G742098) 131 0 R (G742100) 132 0 R (G742102) 134 0 R (G742103) 135 0 R (G742119) 144 0 R +(G742121) 145 0 R (G742122) 146 0 R (G742124) 158 0 R (G742125) 159 0 R (G742127) 160 0 R (G742128) 161 0 R (G742130) 173 0 R (G742131) 174 0 R] +>> +endobj +495 0 obj +<< +/Limits [(G742134) (G745060)] +/Names [(G742134) 181 0 R (G742135) 182 0 R (G742139) 188 0 R (G742140) 189 0 R (G742142) 190 0 R (G742146) 203 0 R (G742148) 204 0 R (G742149) 205 0 R +(G742151) 206 0 R (G742152) 207 0 R (G742154) 213 0 R (G742155) 214 0 R (G742156) 215 0 R (G742157) 216 0 R (G742164) 217 0 R (G742178) 266 0 R +(G742180) 267 0 R (G742181) 268 0 R (G742186) 269 0 R (G742191) 282 0 R (G742192) 283 0 R (G742207) 302 0 R (G742217) 304 0 R (G742219) 353 0 R +(G742220) 354 0 R (G742222) 355 0 R (G742223) 356 0 R (G742225) 357 0 R (G742226) 358 0 R (G742228) 366 0 R (G742229) 367 0 R (G742231) 379 0 R +(G742630) 43 0 R (G742758) 25 0 R (G742901) 8 0 R (G742928) 5 0 R (G742936) 6 0 R (G743506) 284 0 R (G743531) 191 0 R (G743532) 202 0 R +(G743581) 270 0 R (G743587) 271 0 R (G743588) 272 0 R (G743914) 380 0 R (G744033) 388 0 R (G744049) 390 0 R (G744052) 389 0 R (G744055) 391 0 R +(G744057) 392 0 R (G744086) 400 0 R (G744087) 401 0 R (G744107) 410 0 R (G744112) 411 0 R (G744115) 47 0 R (G744116) 48 0 R (G744516) 59 0 R +(G744860) 281 0 R (G744865) 291 0 R (G745007) 293 0 R (G745025) 292 0 R (G745033) 285 0 R (G745041) 192 0 R (G745052) 175 0 R (G745060) 162 0 R] +>> +endobj +496 0 obj +<< +/Limits [(G745068) (G745638)] +/Names [(G745068) 148 0 R (G745076) 147 0 R (G745084) 78 0 R (G745092) 368 0 R (G745100) 393 0 R (G745109) 402 0 R (G745390) 303 0 R (G745394) 331 0 R +(G745400) 332 0 R (G745402) 333 0 R (G745404) 334 0 R (G745406) 335 0 R (G745408) 336 0 R (G745410) 337 0 R (G745412) 338 0 R (G745414) 339 0 R +(G745416) 340 0 R (G745418) 341 0 R (G745420) 342 0 R (G745422) 343 0 R (G745424) 344 0 R (G745426) 345 0 R (G745428) 346 0 R (G745454) 305 0 R +(G745460) 306 0 R (G745462) 307 0 R (G745464) 308 0 R (G745466) 309 0 R (G745468) 310 0 R (G745470) 311 0 R (G745472) 312 0 R (G745474) 313 0 R +(G745476) 314 0 R (G745478) 315 0 R (G745480) 316 0 R (G745482) 317 0 R (G745484) 318 0 R (G745486) 319 0 R (G745488) 320 0 R (G745521) 321 0 R +(G745527) 322 0 R (G745529) 323 0 R (G745531) 324 0 R (G745533) 325 0 R (G745535) 326 0 R (G745537) 327 0 R (G745539) 328 0 R (G745541) 329 0 R +(G745543) 330 0 R (G745580) 218 0 R (G745586) 219 0 R (G745588) 220 0 R (G745590) 221 0 R (G745592) 222 0 R (G745594) 223 0 R (G745596) 224 0 R +(G745598) 225 0 R (G745600) 226 0 R (G745602) 227 0 R (G745604) 231 0 R (G745606) 232 0 R (G745608) 233 0 R (G745634) 234 0 R (G745638) 235 0 R] +>> +endobj +497 0 obj +<< +/Limits [(G745640) (G746533)] +/Names [(G745640) 236 0 R (G745642) 237 0 R (G745644) 238 0 R (G745646) 239 0 R (G745648) 240 0 R (G745650) 241 0 R (G745652) 242 0 R (G745654) 243 0 R +(G745656) 244 0 R (G745658) 245 0 R (G745660) 246 0 R (G745662) 247 0 R (G745664) 248 0 R (G745670) 249 0 R (G745672) 250 0 R (G745674) 251 0 R +(G745676) 252 0 R (G745678) 253 0 R (G745680) 254 0 R (G745682) 259 0 R (G745684) 260 0 R (G745698) 255 0 R (G745700) 256 0 R (G745858) 62 0 R +(G745864) 63 0 R (G745866) 64 0 R (G745868) 65 0 R (G745870) 66 0 R (G745872) 67 0 R (G745874) 68 0 R (G745876) 69 0 R (G745878) 70 0 R +(G745880) 71 0 R (G745882) 72 0 R (G745884) 73 0 R (G745886) 74 0 R (G745888) 75 0 R (G745890) 76 0 R (G745892) 77 0 R (G745894) 96 0 R +(G745896) 97 0 R (G745898) 98 0 R (G745900) 99 0 R (G745902) 100 0 R (G745904) 101 0 R (G746029) 4 0 R (G746125) 52 0 R (G746133) 30 0 R +(G746151) 24 0 R (G746153) 29 0 R (G746212) 133 0 R (G746223) 228 0 R (G746225) 229 0 R (G746227) 230 0 R (G746242) 257 0 R (G746244) 258 0 R +(G746317) 381 0 R (G746318) 382 0 R (G746321) 278 0 R (G746326) 279 0 R (G746329) 280 0 R (G746476) 412 0 R (G746529) 413 0 R (G746533) 414 0 R] +>> +endobj +498 0 obj +<< +/Limits [(G746535) (P.9)] +/Names [(G746535) 415 0 R (G746537) 416 0 R (G746539) 417 0 R (G746541) 418 0 R (G746543) 419 0 R (G746545) 420 0 R (G746547) 421 0 R (G746549) 422 0 R +(G746551) 423 0 R (G746553) 424 0 R (G746555) 425 0 R (G746557) 426 0 R (G746559) 427 0 R (G746750) 435 0 R (I1.744359) 33 0 R (I1.744582) 165 0 R +(I1.745197) 361 0 R (I1.745201) 371 0 R (I1.745205) 195 0 R (I1.745560) 296 0 R (I1.745995) 151 0 R (I1.746164) 35 0 R (I1.746213) 167 0 R (I1.746386) 104 0 R +(I1.746390) 138 0 R (I1.746528) 430 0 R (L) 437 0 R (M9.10006.TableTitle.TABLE.6.Gate.count.statistics) 429 0 R (M9.10346.Heading2.52.Memory.Management) 360 0 R (M9.11592.Figure.FIGURE.8.Simple.five.stage.pipeline) 150 0 R (M9.13838.Figure.FIGURE.16.Cache.bus.structure) 295 0 R (M9.14957.Figure.FIGURE.10.Register.Bank.schematic) 164 0 R +(M9.19634.Figure.FIGURE.5.Assembly.example) 103 0 R (M9.26823.Figure.FIGURE.9.Register.Bank.schematic) 166 0 R (M9.33022.Heading2.55.Exceptions) 370 0 R (M9.36770.Figure.FIGURE.1.Five.stage.pipeline) 34 0 R (M9.37874.Figure.FIGURE.12.Branch.Schematic) 194 0 R (M9.40007.Figure.FIGURE.6.Assembly.example) 137 0 R (M9.42456.Figure.FIGURE.1.Five.stage.pipeline) 32 0 R (P.1) 13 0 R +(P.10) 183 0 R (P.11) 193 0 R (P.12) 208 0 R (P.13) 261 0 R (P.14) 273 0 R (P.15) 286 0 R (P.16) 294 0 R (P.17) 347 0 R +(P.18) 359 0 R (P.19) 369 0 R (P.2) 31 0 R (P.20) 383 0 R (P.21) 394 0 R (P.22) 403 0 R (P.23) 428 0 R (P.24) 436 0 R +(P.3) 53 0 R (P.4) 79 0 R (P.5) 102 0 R (P.6) 136 0 R (P.7) 149 0 R (P.8) 163 0 R (P.9) 176 0 R] +>> +endobj +499 0 obj +<< +/Kids [494 0 R 495 0 R 496 0 R 497 0 R 498 0 R] +>> +endobj +500 0 obj +<< +/Dests 499 0 R +>> +endobj +501 0 obj +<< +/Type /Catalog +/Pages 199 0 R +/Outlines 492 0 R +/Threads 493 0 R +/Names 500 0 R +/OpenAction [1 0 R /XYZ null null null] +/PageMode /UseOutlines +>> +endobj +xref +0 502 +0000000000 65535 f +0000189107 00000 n +0000000016 00000 n +0000000067 00000 n +0000000118 00000 n +0000000169 00000 n +0000000220 00000 n +0000000271 00000 n +0000000322 00000 n +0000000373 00000 n +0000000424 00000 n +0000000476 00000 n +0000000528 00000 n +0000000697 00000 n +0000000750 00000 n +0000000803 00000 n +0000198902 00000 n +0000000855 00000 n +0000002930 00000 n +0000186372 00000 n +0000186473 00000 n +0000186300 00000 n +0000192252 00000 n +0000189227 00000 n +0000003038 00000 n +0000003091 00000 n +0000003144 00000 n +0000003197 00000 n +0000003250 00000 n +0000003303 00000 n +0000003356 00000 n +0000003409 00000 n +0000003463 00000 n +0000003516 00000 n +0000003569 00000 n +0000003622 00000 n +0000003675 00000 n +0000006768 00000 n +0000003799 00000 n +0000005980 00000 n +0000185558 00000 n +0000006144 00000 n +0000189365 00000 n +0000006184 00000 n +0000006237 00000 n +0000006290 00000 n +0000006343 00000 n +0000006396 00000 n +0000006449 00000 n +0000006502 00000 n +0000006555 00000 n +0000006608 00000 n +0000006661 00000 n +0000006714 00000 n +0000010339 00000 n +0000006840 00000 n +0000009064 00000 n +0000189486 00000 n +0000009172 00000 n +0000009225 00000 n +0000009278 00000 n +0000009331 00000 n +0000009384 00000 n +0000009437 00000 n +0000009490 00000 n +0000009543 00000 n +0000009596 00000 n +0000009649 00000 n +0000009702 00000 n +0000009755 00000 n +0000009808 00000 n +0000009861 00000 n +0000009914 00000 n +0000009967 00000 n +0000010020 00000 n +0000010073 00000 n +0000010126 00000 n +0000010179 00000 n +0000010232 00000 n +0000010285 00000 n +0000013954 00000 n +0000010411 00000 n +0000012602 00000 n +0000189607 00000 n +0000012710 00000 n +0000012763 00000 n +0000012816 00000 n +0000012869 00000 n +0000012922 00000 n +0000012975 00000 n +0000013028 00000 n +0000013081 00000 n +0000013134 00000 n +0000013187 00000 n +0000013240 00000 n +0000013293 00000 n +0000013346 00000 n +0000013399 00000 n +0000013452 00000 n +0000013505 00000 n +0000013558 00000 n +0000013612 00000 n +0000013666 00000 n +0000013721 00000 n +0000013775 00000 n +0000013829 00000 n +0000017691 00000 n +0000014027 00000 n +0000015903 00000 n +0000186653 00000 n +0000189749 00000 n +0000016024 00000 n +0000016079 00000 n +0000016134 00000 n +0000016189 00000 n +0000016244 00000 n +0000016299 00000 n +0000016354 00000 n +0000016409 00000 n +0000016464 00000 n +0000016519 00000 n +0000016574 00000 n +0000016629 00000 n +0000016684 00000 n +0000016739 00000 n +0000016794 00000 n +0000016849 00000 n +0000016904 00000 n +0000016959 00000 n +0000017014 00000 n +0000017069 00000 n +0000017124 00000 n +0000017179 00000 n +0000017234 00000 n +0000017289 00000 n +0000017344 00000 n +0000017399 00000 n +0000017455 00000 n +0000017510 00000 n +0000017565 00000 n +0000020332 00000 n +0000017765 00000 n +0000019518 00000 n +0000189892 00000 n +0000019639 00000 n +0000019694 00000 n +0000019749 00000 n +0000019804 00000 n +0000019859 00000 n +0000019914 00000 n +0000019970 00000 n +0000020025 00000 n +0000190043 00000 n +0000020080 00000 n +0000020206 00000 n +0000023638 00000 n +0000020408 00000 n +0000022922 00000 n +0000023087 00000 n +0000023142 00000 n +0000023197 00000 n +0000023252 00000 n +0000023307 00000 n +0000023362 00000 n +0000023418 00000 n +0000023473 00000 n +0000023528 00000 n +0000023583 00000 n +0000028664 00000 n +0000023714 00000 n +0000028322 00000 n +0000186573 00000 n +0000190168 00000 n +0000028443 00000 n +0000028498 00000 n +0000028553 00000 n +0000028608 00000 n +0000059385 00000 n +0000028740 00000 n +0000059098 00000 n +0000190293 00000 n +0000059219 00000 n +0000059274 00000 n +0000059329 00000 n +0000062602 00000 n +0000059461 00000 n +0000062052 00000 n +0000190418 00000 n +0000062161 00000 n +0000062216 00000 n +0000062271 00000 n +0000062326 00000 n +0000062381 00000 n +0000062436 00000 n +0000062492 00000 n +0000062547 00000 n +0000068128 00000 n +0000062678 00000 n +0000067621 00000 n +0000192646 00000 n +0000192395 00000 n +0000190544 00000 n +0000067742 00000 n +0000067797 00000 n +0000067852 00000 n +0000067907 00000 n +0000067962 00000 n +0000068017 00000 n +0000068072 00000 n +0000073310 00000 n +0000068204 00000 n +0000070505 00000 n +0000190670 00000 n +0000070614 00000 n +0000070669 00000 n +0000070724 00000 n +0000070779 00000 n +0000070834 00000 n +0000070889 00000 n +0000070944 00000 n +0000070999 00000 n +0000071054 00000 n +0000071109 00000 n +0000071164 00000 n +0000071219 00000 n +0000071274 00000 n +0000071329 00000 n +0000071384 00000 n +0000071439 00000 n +0000071494 00000 n +0000071549 00000 n +0000071604 00000 n +0000071659 00000 n +0000071714 00000 n +0000071769 00000 n +0000071824 00000 n +0000071879 00000 n +0000071934 00000 n +0000071989 00000 n +0000072044 00000 n +0000072099 00000 n +0000072154 00000 n +0000072209 00000 n +0000072264 00000 n +0000072319 00000 n +0000072374 00000 n +0000072429 00000 n +0000072484 00000 n +0000072539 00000 n +0000072594 00000 n +0000072649 00000 n +0000072704 00000 n +0000072759 00000 n +0000072814 00000 n +0000072869 00000 n +0000072924 00000 n +0000072979 00000 n +0000073034 00000 n +0000073089 00000 n +0000073144 00000 n +0000073199 00000 n +0000073254 00000 n +0000075593 00000 n +0000073386 00000 n +0000075043 00000 n +0000190796 00000 n +0000075152 00000 n +0000075207 00000 n +0000075262 00000 n +0000075317 00000 n +0000075372 00000 n +0000075427 00000 n +0000075482 00000 n +0000075537 00000 n +0000078306 00000 n +0000075669 00000 n +0000077701 00000 n +0000190922 00000 n +0000077810 00000 n +0000077865 00000 n +0000077920 00000 n +0000077975 00000 n +0000078030 00000 n +0000078085 00000 n +0000078140 00000 n +0000078195 00000 n +0000078250 00000 n +0000080803 00000 n +0000078382 00000 n +0000080351 00000 n +0000191048 00000 n +0000080472 00000 n +0000080527 00000 n +0000080582 00000 n +0000080637 00000 n +0000080693 00000 n +0000080748 00000 n +0000086621 00000 n +0000080879 00000 n +0000083798 00000 n +0000185903 00000 n +0000191174 00000 n +0000083964 00000 n +0000084019 00000 n +0000084074 00000 n +0000084129 00000 n +0000084184 00000 n +0000084239 00000 n +0000084294 00000 n +0000084349 00000 n +0000084404 00000 n +0000084459 00000 n +0000084514 00000 n +0000084569 00000 n +0000084624 00000 n +0000084679 00000 n +0000084734 00000 n +0000084789 00000 n +0000084844 00000 n +0000084899 00000 n +0000084954 00000 n +0000085009 00000 n +0000085064 00000 n +0000085119 00000 n +0000085174 00000 n +0000085229 00000 n +0000085284 00000 n +0000085339 00000 n +0000085394 00000 n +0000085449 00000 n +0000085504 00000 n +0000085559 00000 n +0000085614 00000 n +0000085669 00000 n +0000085724 00000 n +0000085779 00000 n +0000085834 00000 n +0000085889 00000 n +0000085944 00000 n +0000085999 00000 n +0000086054 00000 n +0000086109 00000 n +0000086164 00000 n +0000086219 00000 n +0000086274 00000 n +0000086329 00000 n +0000086384 00000 n +0000086439 00000 n +0000086495 00000 n +0000088952 00000 n +0000086697 00000 n +0000088347 00000 n +0000191318 00000 n +0000088456 00000 n +0000088511 00000 n +0000088566 00000 n +0000088621 00000 n +0000088676 00000 n +0000088731 00000 n +0000088786 00000 n +0000088842 00000 n +0000088897 00000 n +0000092198 00000 n +0000089028 00000 n +0000091380 00000 n +0000191444 00000 n +0000091489 00000 n +0000091544 00000 n +0000091599 00000 n +0000091654 00000 n +0000091710 00000 n +0000091765 00000 n +0000091820 00000 n +0000091946 00000 n +0000092072 00000 n +0000133471 00000 n +0000092274 00000 n +0000133074 00000 n +0000191604 00000 n +0000133195 00000 n +0000133250 00000 n +0000133305 00000 n +0000133360 00000 n +0000133415 00000 n +0000136567 00000 n +0000133547 00000 n +0000136072 00000 n +0000191730 00000 n +0000136181 00000 n +0000136236 00000 n +0000136291 00000 n +0000136346 00000 n +0000136401 00000 n +0000136456 00000 n +0000136511 00000 n +0000139034 00000 n +0000136643 00000 n +0000138566 00000 n +0000192545 00000 n +0000191856 00000 n +0000138687 00000 n +0000138742 00000 n +0000138797 00000 n +0000138852 00000 n +0000192000 00000 n +0000138908 00000 n +0000181984 00000 n +0000139110 00000 n +0000178984 00000 n +0000180683 00000 n +0000180828 00000 n +0000180883 00000 n +0000180938 00000 n +0000180993 00000 n +0000181048 00000 n +0000181103 00000 n +0000181158 00000 n +0000181213 00000 n +0000181268 00000 n +0000181323 00000 n +0000181378 00000 n +0000181433 00000 n +0000181488 00000 n +0000181543 00000 n +0000181598 00000 n +0000181653 00000 n +0000181708 00000 n +0000181763 00000 n +0000181818 00000 n +0000181874 00000 n +0000181929 00000 n +0000184797 00000 n +0000182060 00000 n +0000184521 00000 n +0000192126 00000 n +0000184630 00000 n +0000184685 00000 n +0000184741 00000 n +0000198827 00000 n +0000192809 00000 n +0000192958 00000 n +0000193073 00000 n +0000193210 00000 n +0000193346 00000 n +0000193470 00000 n +0000193590 00000 n +0000193706 00000 n +0000193892 00000 n +0000194005 00000 n +0000194129 00000 n +0000194254 00000 n +0000194375 00000 n +0000194536 00000 n +0000194652 00000 n +0000194766 00000 n +0000194951 00000 n +0000195061 00000 n +0000195190 00000 n +0000195309 00000 n +0000195432 00000 n +0000195554 00000 n +0000195676 00000 n +0000195788 00000 n +0000195969 00000 n +0000196076 00000 n +0000196209 00000 n +0000196337 00000 n +0000196516 00000 n +0000196633 00000 n +0000196758 00000 n +0000196884 00000 n +0000197010 00000 n +0000197130 00000 n +0000197324 00000 n +0000197431 00000 n +0000197564 00000 n +0000197692 00000 n +0000197824 00000 n +0000197950 00000 n +0000198076 00000 n +0000198200 00000 n +0000198358 00000 n +0000198476 00000 n +0000198596 00000 n +0000198717 00000 n +0000184873 00000 n +0000185420 00000 n +0000185518 00000 n +0000185855 00000 n +0000186176 00000 n +0000186751 00000 n +0000187929 00000 n +0000192748 00000 n +0000198984 00000 n +0000199012 00000 n +0000200179 00000 n +0000201382 00000 n +0000202595 00000 n +0000203783 00000 n +0000205343 00000 n +0000205414 00000 n +0000205452 00000 n +trailer +<< +/Size 502 +/Root 501 0 R +/Info 12 0 R +/ID [] +>> +startxref +205618 +%%EOF Index: yellowstar/web_uploads/yellow_star.tar.gz =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: yellowstar/web_uploads/yellow_star.tar.gz =================================================================== --- yellowstar/web_uploads/yellow_star.tar.gz (nonexistent) +++ yellowstar/web_uploads/yellow_star.tar.gz (revision 6)

yellowstar/web_uploads/yellow_star.tar.gz Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: yellowstar/web_uploads/ys_logo.jpg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: yellowstar/web_uploads/ys_logo.jpg =================================================================== --- yellowstar/web_uploads/ys_logo.jpg (nonexistent) +++ yellowstar/web_uploads/ys_logo.jpg (revision 6)
yellowstar/web_uploads/ys_logo.jpg Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: yellowstar/web_uploads/yellowstar_schematics.tar.gz =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: yellowstar/web_uploads/yellowstar_schematics.tar.gz =================================================================== --- yellowstar/web_uploads/yellowstar_schematics.tar.gz (nonexistent) +++ yellowstar/web_uploads/yellowstar_schematics.tar.gz (revision 6)
yellowstar/web_uploads/yellowstar_schematics.tar.gz Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.