OpenCores
URL https://opencores.org/ocsvn/apbtoaes128/apbtoaes128/trunk

Subversion Repositories apbtoaes128

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

/apbtoaes128/trunk/testbench/aes_tb_vpi.v
130,7 → 130,7
initial PCLK = 1'b0;
always #(5) PCLK = ~PCLK;
 
//
//ECB
always@(posedge PCLK)
$bfm_encryption_ecb_aes128;
 
140,8 → 140,29
always@(posedge PCLK)
$bfm_encryption_ccfie_ecb_aes128;
 
//
//CBC
always@(posedge PCLK)
$bfm_encryption_cbc_aes128;
 
always@(posedge PCLK)
$bfm_encryption_cbc_dma_aes128;
 
always@(posedge PCLK)
$bfm_encryption_ccfie_cbc_aes128;
 
//CTR
always@(posedge PCLK)
$bfm_encryption_ctr_aes128;
 
always@(posedge PCLK)
$bfm_encryption_ctr_dma_aes128;
 
always@(posedge PCLK)
$bfm_encryption_ccfie_ctr_aes128;
 
 
//ECB
always@(posedge PCLK)
$bfm_key_generation_ecb_aes128;
 
always@(posedge PCLK)
150,8 → 171,28
always@(posedge PCLK)
$bfm_key_generation_ccfie_ecb_aes128;
 
//
//CBC
always@(posedge PCLK)
$bfm_key_generation_cbc_aes128;
 
always@(posedge PCLK)
$bfm_key_generation_dma_cbc_aes128;
 
always@(posedge PCLK)
$bfm_key_generation_ccfie_cbc_aes128;
 
//CTR
always@(posedge PCLK)
$bfm_key_generation_ctr_aes128;
 
always@(posedge PCLK)
$bfm_key_generation_dma_ctr_aes128;
 
always@(posedge PCLK)
$bfm_key_generation_ccfie_ctr_aes128;
 
//ECB
always@(posedge PCLK)
$bfm_decryption_ecb_aes128;
 
always@(posedge PCLK)
160,8 → 201,28
always@(posedge PCLK)
$bfm_decryption_ccfie_ecb_aes128;
 
//
//CBC
always@(posedge PCLK)
$bfm_decryption_cbc_aes128;
 
always@(posedge PCLK)
$bfm_decryption_cbc_dma_aes128;
 
always@(posedge PCLK)
$bfm_decryption_ccfie_cbc_aes128;
 
//CTR
always@(posedge PCLK)
$bfm_decryption_ctr_aes128;
 
always@(posedge PCLK)
$bfm_decryption_ctr_dma_aes128;
 
always@(posedge PCLK)
$bfm_decryption_ccfie_ctr_aes128;
 
//ECB
always@(posedge PCLK)
$bfm_derivation_decryption_ecb_aes128;
 
always@(posedge PCLK)
170,6 → 231,26
always@(posedge PCLK)
$bfm_derivation_decryption_ccfie_ecb_aes128;
 
//CTR
always@(posedge PCLK)
$bfm_derivation_decryption_ctr_aes128;
 
always@(posedge PCLK)
$bfm_derivation_decryption_dma_ctr_aes128;
 
always@(posedge PCLK)
$bfm_derivation_decryption_ccfie_ctr_aes128;
 
//CBC
always@(posedge PCLK)
$bfm_derivation_decryption_cbc_aes128;
 
always@(posedge PCLK)
$bfm_derivation_decryption_dma_cbc_aes128;
 
always@(posedge PCLK)
$bfm_derivation_decryption_ccfie_cbc_aes128;
 
//
always@(posedge PCLK)
$bfm_wr_aes128;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.