OpenCores
URL https://opencores.org/ocsvn/open_hitter/open_hitter/trunk

Subversion Repositories open_hitter

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

/open_hitter/trunk/bench/vhdl/hitter_sim.vhd
103,6 → 103,7
LEDS_POSITIONS_TRI_O(1) <= result_is_ok;
LEDS_POSITIONS_TRI_O(2) <= result_is_ok;
LEDS_POSITIONS_TRI_O(3) <= processing;
LEDS_POSITIONS_TRI_O(4) <= alight;
restart <= PUSH_BUTTONS_5BITS_TRI_I(0);
end implementation;
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.