OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 54 to Rev 55
    Reverse comparison

Rev 54 → Rev 55

/ha1588/trunk/rtl/top/ha1588_hw.tcl
146,8 → 146,10
add_interface_port gmii_monitor rx_gmii_clk export Input 1
add_interface_port gmii_monitor rx_gmii_ctrl export Input 1
add_interface_port gmii_monitor rx_gmii_data export Input 8
add_interface_port gmii_monitor rx_giga_mode export Input 1
add_interface_port gmii_monitor tx_gmii_clk export Input 1
add_interface_port gmii_monitor tx_gmii_ctrl export Input 1
add_interface_port gmii_monitor tx_gmii_data export Input 8
add_interface_port gmii_monitor tx_giga_mode export Input 1
# |
# +-----------------------------------
/ha1588/trunk/rtl/sopc/ha1588_inst.v
548,9 → 548,11
rtc_clk_to_the_ha1588_comp,
rtc_time_ptp_ns_from_the_ha1588_comp,
rtc_time_ptp_sec_from_the_ha1588_comp,
rx_giga_mode_to_the_ha1588_comp,
rx_gmii_clk_to_the_ha1588_comp,
rx_gmii_ctrl_to_the_ha1588_comp,
rx_gmii_data_to_the_ha1588_comp,
tx_giga_mode_to_the_ha1588_comp,
tx_gmii_clk_to_the_ha1588_comp,
tx_gmii_ctrl_to_the_ha1588_comp,
tx_gmii_data_to_the_ha1588_comp
562,9 → 564,11
input clk_0;
input reset_n;
input rtc_clk_to_the_ha1588_comp;
input rx_giga_mode_to_the_ha1588_comp;
input rx_gmii_clk_to_the_ha1588_comp;
input rx_gmii_ctrl_to_the_ha1588_comp;
input [ 7: 0] rx_gmii_data_to_the_ha1588_comp;
input tx_giga_mode_to_the_ha1588_comp;
input tx_gmii_clk_to_the_ha1588_comp;
input tx_gmii_ctrl_to_the_ha1588_comp;
input [ 7: 0] tx_gmii_data_to_the_ha1588_comp;
629,9 → 633,11
.rtc_clk (rtc_clk_to_the_ha1588_comp),
.rtc_time_ptp_ns (rtc_time_ptp_ns_from_the_ha1588_comp),
.rtc_time_ptp_sec (rtc_time_ptp_sec_from_the_ha1588_comp),
.rx_giga_mode (rx_giga_mode_to_the_ha1588_comp),
.rx_gmii_clk (rx_gmii_clk_to_the_ha1588_comp),
.rx_gmii_ctrl (rx_gmii_ctrl_to_the_ha1588_comp),
.rx_gmii_data (rx_gmii_data_to_the_ha1588_comp),
.tx_giga_mode (tx_giga_mode_to_the_ha1588_comp),
.tx_gmii_clk (tx_gmii_clk_to_the_ha1588_comp),
.tx_gmii_ctrl (tx_gmii_ctrl_to_the_ha1588_comp),
.tx_gmii_data (tx_gmii_data_to_the_ha1588_comp),
735,9 → 741,11
wire rtc_clk_to_the_ha1588_comp;
wire [ 31: 0] rtc_time_ptp_ns_from_the_ha1588_comp;
wire [ 47: 0] rtc_time_ptp_sec_from_the_ha1588_comp;
wire rx_giga_mode_to_the_ha1588_comp;
wire rx_gmii_clk_to_the_ha1588_comp;
wire rx_gmii_ctrl_to_the_ha1588_comp;
wire [ 7: 0] rx_gmii_data_to_the_ha1588_comp;
wire tx_giga_mode_to_the_ha1588_comp;
wire tx_gmii_clk_to_the_ha1588_comp;
wire tx_gmii_ctrl_to_the_ha1588_comp;
wire [ 7: 0] tx_gmii_data_to_the_ha1588_comp;
755,9 → 763,11
.rtc_clk_to_the_ha1588_comp (rtc_clk_to_the_ha1588_comp),
.rtc_time_ptp_ns_from_the_ha1588_comp (rtc_time_ptp_ns_from_the_ha1588_comp),
.rtc_time_ptp_sec_from_the_ha1588_comp (rtc_time_ptp_sec_from_the_ha1588_comp),
.rx_giga_mode_to_the_ha1588_comp (rx_giga_mode_to_the_ha1588_comp),
.rx_gmii_clk_to_the_ha1588_comp (rx_gmii_clk_to_the_ha1588_comp),
.rx_gmii_ctrl_to_the_ha1588_comp (rx_gmii_ctrl_to_the_ha1588_comp),
.rx_gmii_data_to_the_ha1588_comp (rx_gmii_data_to_the_ha1588_comp),
.tx_giga_mode_to_the_ha1588_comp (tx_giga_mode_to_the_ha1588_comp),
.tx_gmii_clk_to_the_ha1588_comp (tx_gmii_clk_to_the_ha1588_comp),
.tx_gmii_ctrl_to_the_ha1588_comp (tx_gmii_ctrl_to_the_ha1588_comp),
.tx_gmii_data_to_the_ha1588_comp (tx_gmii_data_to_the_ha1588_comp)
777,4 → 787,4
endmodule
 
 
//synthesis translate_on
//synthesis translate_on
/ha1588/trunk/rtl/sopc/ha1588_comp.v
17,9 → 17,11
input wire rx_gmii_clk, // gmii_monitor.export
input wire rx_gmii_ctrl, // .export
input wire [7:0] rx_gmii_data, // .export
input wire rx_giga_mode, // .export
input wire tx_gmii_clk, // .export
input wire tx_gmii_ctrl, // .export
input wire [7:0] tx_gmii_data // .export
input wire [7:0] tx_gmii_data, // .export
input wire tx_giga_mode // .export
);
 
ha1588 #(
38,9 → 40,11
.rx_gmii_clk (rx_gmii_clk), // gmii_monitor.export
.rx_gmii_ctrl (rx_gmii_ctrl), // .export
.rx_gmii_data (rx_gmii_data), // .export
.rx_giga_mode (rx_giga_mode), // .export
.tx_gmii_clk (tx_gmii_clk), // .export
.tx_gmii_ctrl (tx_gmii_ctrl), // .export
.tx_gmii_data (tx_gmii_data) // .export
.tx_gmii_data (tx_gmii_data), // .export
.tx_giga_mode (tx_giga_mode) // .export
);
 
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.