OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 55 to Rev 56
    Reverse comparison

Rev 55 → Rev 56

/trunk/src_verilog/top.v
3,7 → 3,7
reg clk = 0;
reg reset = 0;
always #5 clk <= ~clk; // this corresponds to 10ns duty cycle?
always #5 clk = ~clk; // this corresponds to 10ns duty cycle?
 
mkTH th( .CLK(clk), .RST_N(reset) );
10,10 → 10,20
initial
begin
// This turns on VCD (plus) output
$vcdpluson(0);
clk <= 0;
#600000;
$finish;
end
endmodule
$sdf_annotate("./top.sdf",th.h264);
$dumpfile("dump.vcd");
$dumpvars;
$dumpoff;
clk = 0;
#30;
reset = 1;
#7200;
$dumpon;
#60000;
$dumpoff;
#1000;
$finish;
end
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.