OpenCores
URL https://opencores.org/ocsvn/tv80/tv80/trunk

Subversion Repositories tv80

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 6 to Rev 7
    Reverse comparison

Rev 6 → Rev 7

/branches/s80_env_devel/env/tb_top.v
94,7 → 94,8
int_n = 1;
nmi_n = 1;
busrq_n = 1;
$readmemh (`PROGRAM_FILE, tb_top.rom.mem);
$readmemh (`ROM_FILE, tb_top.rom.mem);
$readmemh (`RAM_FILE, tb_top.ram.mem);
repeat (20) @(negedge clk);
reset_n = 1;
end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.