OpenCores
URL https://opencores.org/ocsvn/usbhostslave/usbhostslave/trunk

Subversion Repositories usbhostslave

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 6 to Rev 7
    Reverse comparison

Rev 6 → Rev 7

/trunk/RTL/hostController/directcontrol.v
42,7 → 42,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: directcontrol.v,v 1.2 2004-12-18 14:36:09 sfielding Exp $
// $Id: directcontrol.v,v 1.3 2004-12-31 14:40:41 sfielding Exp $
//
// CVS Revision History
//
/trunk/RTL/hostController/getpacket.asf
6,7 → 6,7
ENTITY="getPacket"
FRAMES=ON
FREEOID=259
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// getpacket\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: getpacket.asf,v 1.2 2004-12-18 14:36:09 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`include \"usbSerialInterfaceEngine_h.v\"\n`include \"usbConstants_h.v\"\n"
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// getpacket\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: getpacket.asf,v 1.3 2004-12-31 14:40:41 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`include \"usbSerialInterfaceEngine_h.v\"\n`include \"usbConstants_h.v\"\n"
END
BUNDLES
B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3333 0 0000 1 "Arial" 0
61,227 → 61,227
GRIDSIZE 0,0 10000,10000
END
OBJECTS
S 15 6 65536 ELLIPSE "States" | 139950,113336 6500 6500
L 14 15 0 TEXT "State Labels" | 139950,113336 1 0 0 "CHK_PKT_START\n/14/"
S 11 6 61440 ELLIPSE "States" | 103150,148136 6500 6500
L 10 11 0 TEXT "State Labels" | 103150,148136 1 0 0 "WAIT_PKT\n/13/"
S 9 6 57344 ELLIPSE "States" | 74582,196764 6500 6500
L 8 9 0 TEXT "State Labels" | 74582,196764 1 0 0 "START_GP\n/12/"
L 7 6 0 TEXT "Labels" | 19389,212093 1 0 0 "getPkt"
F 6 0 671089152 185 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15236 200200,215950
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 97950,263700 1 0 0 "Module: getPacket"
F 6 0 671089152 185 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15236 200200,215950
L 7 6 0 TEXT "Labels" | 19389,212093 1 0 0 "getPkt"
L 8 9 0 TEXT "State Labels" | 74582,196764 1 0 0 "START_GP\n/12/"
S 9 6 57344 ELLIPSE "States" | 74582,196764 6500 6500
L 10 11 0 TEXT "State Labels" | 103150,148136 1 0 0 "WAIT_PKT\n/13/"
S 11 6 61440 ELLIPSE "States" | 103150,148136 6500 6500
L 14 15 0 TEXT "State Labels" | 139950,113336 1 0 0 "CHK_PKT_START\n/14/"
S 15 6 65536 ELLIPSE "States" | 139950,113336 6500 6500
A 31 18 16 TEXT "Actions" | 117968,133698 1 0 0 "RXByte <= RXDataIn;\nRXStreamStatus <= RXStreamStatusIn;"
A 30 23 4 TEXT "Actions" | 121604,184804 1 0 0 "RXPacketRdy <= 1'b0;"
C 26 25 0 TEXT "Conditions" | 87910,175600 1 0 0 "getPacketEn == 1'b1"
W 25 6 0 23 11 BEZIER "Transitions" | 103028,178064 102828,172064 102811,160604 102611,154604
W 24 6 0 9 23 BEZIER "Transitions" | 80937,195399 85165,197611 97342,194836 103310,191016
S 23 6 69632 ELLIPSE "States" | 103550,184536 6500 6500
L 22 23 0 TEXT "State Labels" | 103550,184536 1 0 0 "WAIT_EN\n/15/"
C 20 18 0 TEXT "Conditions" | 110328,141940 1 0 0 "RXDataValid == 1'b1"
W 18 6 0 11 15 BEZIER "Transitions" | 107724,143520 114924,137020 128014,124286 135214,117786
C 20 18 0 TEXT "Conditions" | 110328,141940 1 0 0 "RXDataValid == 1'b1"
L 22 23 0 TEXT "State Labels" | 103550,184536 1 0 0 "WAIT_EN\n/15/"
S 23 6 69632 ELLIPSE "States" | 103550,184536 6500 6500
W 24 6 0 9 23 BEZIER "Transitions" | 80937,195399 85165,197611 97342,194836 103310,191016
W 25 6 0 23 11 BEZIER "Transitions" | 103028,178064 102828,172064 102811,160604 102611,154604
C 26 25 0 TEXT "Conditions" | 87910,175600 1 0 0 "getPacketEn == 1'b1"
A 30 23 4 TEXT "Actions" | 121604,184804 1 0 0 "RXPacketRdy <= 1'b0;"
A 31 18 16 TEXT "Actions" | 117968,133698 1 0 0 "RXByte <= RXDataIn;\nRXStreamStatus <= RXStreamStatusIn;"
H 46 33 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
A 45 44 16 TEXT "Actions" | 155714,31240 1 0 0 "RXTimeOut <= 1'b1;"
C 35 34 0 TEXT "Conditions" | 122408,97630 1 0 0 "RXStreamStatus == `RX_PACKET_START"
W 34 6 8193 15 33 BEZIER "Transitions" | 139672,106864 139470,99693 141270,86456 141068,79285
S 33 6 77828 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 141010,72814 6500 6500
L 32 33 0 TEXT "State Labels" | 141010,72814 1 0 0 "PROC_PKT"
L 39 40 0 TEXT "State Labels" | 106676,27624 1 0 0 "PKT_RDY\n/16/"
S 40 6 73728 ELLIPSE "States" | 106676,27624 6500 6500
W 41 6 0 11 40 BEZIER "Transitions" | 96829,146625 92570,132664 92057,131084 90299,121915\
88541,112746 87971,105860 87641,93102 87312,80344\
87761,70127 92565,59363 97370,48599 95270,45542\
101102,30966
A 42 41 16 TEXT "Actions" | 81060,99034 1 0 0 "RXTimeOut <= 1'b1;"
C 43 41 0 TEXT "Conditions" | 74897,110510 1 0 0 "SIERxTimeOut == 1'b1"
W 44 6 8194 15 40 BEZIER "Transitions" | 146436,112921 157397,112582 178653,111583 184472,109549\
190292,107515 191648,100057 191987,92429 192326,84802\
192326,61750 188540,53162 184755,44574 169613,33274\
159556,30336 149499,27398 125714,27614 113171,27388
C 43 41 0 TEXT "Conditions" | 74897,110510 1 0 0 "SIERxTimeOut == 1'b1"
A 42 41 16 TEXT "Actions" | 81060,99034 1 0 0 "RXTimeOut <= 1'b1;"
W 41 6 0 11 40 BEZIER "Transitions" | 96829,146625 92570,132664 92057,131084 90299,121915\
88541,112746 87971,105860 87641,93102 87312,80344\
87761,70127 92565,59363 97370,48599 95270,45542\
101102,30966
S 40 6 73728 ELLIPSE "States" | 106676,27624 6500 6500
L 39 40 0 TEXT "State Labels" | 106676,27624 1 0 0 "PKT_RDY\n/16/"
L 32 33 0 TEXT "State Labels" | 141010,72814 1 0 0 "PROC_PKT"
S 33 6 77828 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 141010,72814 6500 6500
W 34 6 8193 15 33 BEZIER "Transitions" | 139672,106864 139470,99693 141270,86456 141068,79285
C 35 34 0 TEXT "Conditions" | 122408,97630 1 0 0 "RXStreamStatus == `RX_PACKET_START"
A 45 44 16 TEXT "Actions" | 155714,31240 1 0 0 "RXTimeOut <= 1'b1;"
H 46 33 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 50 46 0 Builtin Exit | 180308,72140
I 49 46 0 Builtin Entry | 47660,248640
L 53 54 0 TEXT "State Labels" | 102500,220700 1 0 0 "CHK_PID\n/0/"
S 54 46 0 ELLIPSE "States" | 102500,220700 6500 6500
L 55 56 0 TEXT "State Labels" | 53900,151400 1 0 0 "HS\n/1/"
S 56 46 4096 ELLIPSE "States" | 53900,151400 6500 6500
L 57 58 0 TEXT "State Labels" | 164600,152300 1 0 0 "DATA"
S 58 46 8196 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 164600,152300 6500 6500
W 59 46 0 49 54 BEZIER "Transitions" | 52133,248640 63746,242665 85368,230107 96981,224132
W 60 46 8193 54 56 BEZIER "Transitions" | 98533,215553 88273,200670 67711,171725 57451,156842
W 61 46 8194 54 58 BEZIER "Transitions" | 106682,215726 120437,200731 146339,171979 160094,156984
C 62 60 0 TEXT "Conditions" | 58179,193710 1 0 0 "RXByte[1:0] == `HANDSHAKE"
C 63 61 0 TEXT "Conditions" | 120868,199573 1 0 0 "RXByte[1:0] == `DATA"
C 62 60 0 TEXT "Conditions" | 58179,193710 1 0 0 "RXByte[1:0] == `HANDSHAKE"
W 61 46 8194 54 58 BEZIER "Transitions" | 106682,215726 120437,200731 146339,171979 160094,156984
W 60 46 8193 54 56 BEZIER "Transitions" | 98533,215553 88273,200670 67711,171725 57451,156842
W 59 46 0 49 54 BEZIER "Transitions" | 52133,248640 63746,242665 85368,230107 96981,224132
S 58 46 8196 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 164600,152300 6500 6500
L 57 58 0 TEXT "State Labels" | 164600,152300 1 0 0 "DATA"
S 56 46 4096 ELLIPSE "States" | 53900,151400 6500 6500
L 55 56 0 TEXT "State Labels" | 53900,151400 1 0 0 "HS\n/1/"
S 54 46 0 ELLIPSE "States" | 102500,220700 6500 6500
L 53 54 0 TEXT "State Labels" | 102500,220700 1 0 0 "CHK_PID\n/0/"
I 49 46 0 Builtin Entry | 47660,248640
I 50 46 0 Builtin Exit | 180308,72140
W 69 46 0 56 251 BEZIER "Transitions" | 54000,144905 54225,137689 107734,98899 116203,93057
C 70 69 0 TEXT "Conditions" | 56338,138027 1 0 0 "RXDataValid == 1'b1"
A 71 69 16 TEXT "Actions" | 64339,118484 1 0 0 "RXOverflow <= RXDataIn[`RX_OVERFLOW_BIT];\nNAKRxed <= RXDataIn[`NAK_RXED_BIT];\nstallRxed <= RXDataIn[`STALL_RXED_BIT];\nACKRxed <= RXDataIn[`ACK_RXED_BIT];"
H 72 58 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 75 72 0 Builtin Entry | 33260,254940
I 76 72 0 Builtin Exit | 187140,27160
L 79 80 0 TEXT "State Labels" | 73724,251728 1 0 0 "W_D1\n/2/"
I 76 72 0 Builtin Exit | 187140,27160
I 75 72 0 Builtin Entry | 33260,254940
H 72 58 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
A 71 69 16 TEXT "Actions" | 64339,118484 1 0 0 "RXOverflow <= RXDataIn[`RX_OVERFLOW_BIT];\nNAKRxed <= RXDataIn[`NAK_RXED_BIT];\nstallRxed <= RXDataIn[`STALL_RXED_BIT];\nACKRxed <= RXDataIn[`ACK_RXED_BIT];"
C 70 69 0 TEXT "Conditions" | 56338,138027 1 0 0 "RXDataValid == 1'b1"
W 69 46 0 56 251 BEZIER "Transitions" | 54000,144905 54225,137689 107734,98899 116203,93057
S 80 72 12288 ELLIPSE "States" | 73724,251728 6500 6500
W 87 72 0 75 80 BEZIER "Transitions" | 37733,254940 43032,249077 61954,258197 67253,252334
L 88 89 0 TEXT "State Labels" | 76219,218966 1 0 0 "CHK_D1\n/3/"
S 89 72 16384 ELLIPSE "States" | 76219,218966 6500 6500
L 90 91 0 TEXT "State Labels" | 78474,190102 1 0 0 "W_D2\n/4/"
S 91 72 20480 ELLIPSE "States" | 78474,190102 6500 6500
W 92 72 0 80 89 BEZIER "Transitions" | 74019,245253 74357,241194 75110,229474 75448,225415
W 93 72 8193 89 91 BEZIER "Transitions" | 76671,212483 76896,208199 77562,200846 77787,196562
C 94 92 0 TEXT "Conditions" | 75213,244607 1 0 0 "RXDataValid == 1'b1"
C 95 93 0 TEXT "Conditions" | 80158,211576 1 0 0 "RXStreamStatus == `RX_PACKET_STREAM"
C 94 92 0 TEXT "Conditions" | 75213,244607 1 0 0 "RXDataValid == 1'b1"
W 93 72 8193 89 91 BEZIER "Transitions" | 76671,212483 76896,208199 77562,200846 77787,196562
W 92 72 0 80 89 BEZIER "Transitions" | 74019,245253 74357,241194 75110,229474 75448,225415
S 91 72 20480 ELLIPSE "States" | 78474,190102 6500 6500
L 90 91 0 TEXT "State Labels" | 78474,190102 1 0 0 "W_D2\n/4/"
S 89 72 16384 ELLIPSE "States" | 76219,218966 6500 6500
L 88 89 0 TEXT "State Labels" | 76219,218966 1 0 0 "CHK_D1\n/3/"
W 87 72 0 75 80 BEZIER "Transitions" | 37733,254940 43032,249077 61954,258197 67253,252334
S 80 72 12288 ELLIPSE "States" | 73724,251728 6500 6500
L 111 110 0 TEXT "State Labels" | 88335,98360 1 0 0 "CHK_D3\n/8/"
S 110 72 36864 ELLIPSE "States" | 88335,98360 6500 6500
W 109 72 8194 100 97 BEZIER "Transitions" | 75612,157154 66950,155917 49612,152612 44747,149322\
39882,146032 37743,135343 38221,127384 38700,119425\
42750,98275 45281,87925 47812,77575 53888,57325\
56840,51109 59793,44894 65013,39901 67881,37595
A 108 104 16 TEXT "Actions" | 70336,179814 1 0 0 "RXByte <= RXDataIn;\nRXStreamStatus <= RXStreamStatusIn;"
C 107 105 0 TEXT "Conditions" | 86926,150786 1 0 0 "RXStreamStatus == `RX_PACKET_STREAM"
C 106 104 0 TEXT "Conditions" | 83294,185177 1 0 0 "RXDataValid == 1'b1"
W 105 72 8193 100 102 BEZIER "Transitions" | 82387,152177 82612,147893 83278,140540 83503,136256
W 104 72 0 91 100 BEZIER "Transitions" | 78991,183628 79329,179569 80970,169186 81308,165127
L 103 102 0 TEXT "State Labels" | 84190,129796 1 0 0 "W_D3\n/7/"
S 102 72 32768 ELLIPSE "States" | 84190,129796 6500 6500
L 101 100 0 TEXT "State Labels" | 81935,158660 1 0 0 "CHK_D2\n/6/"
S 100 72 28672 ELLIPSE "States" | 81935,158660 6500 6500
A 99 92 16 TEXT "Actions" | 65099,238365 1 0 0 "RXByte <= RXDataIn;\nRXStreamStatus <= RXStreamStatusIn;"
L 96 97 0 TEXT "State Labels" | 72160,32703 1 0 0 "FIN\n/5/"
S 97 72 24576 ELLIPSE "States" | 72160,32703 6500 6500
W 98 72 8194 89 97 BEZIER "Transitions" | 69883,217517 58947,215375 37094,210735 31682,199460\
26270,188186 26497,147369 28526,126511 30555,105653\
38448,63032 43352,51475 48257,39919 60065,36353\
65928,34549
S 97 72 24576 ELLIPSE "States" | 72160,32703 6500 6500
L 96 97 0 TEXT "State Labels" | 72160,32703 1 0 0 "FIN\n/5/"
A 99 92 16 TEXT "Actions" | 65099,238365 1 0 0 "RXByte <= RXDataIn;\nRXStreamStatus <= RXStreamStatusIn;"
S 100 72 28672 ELLIPSE "States" | 81935,158660 6500 6500
L 101 100 0 TEXT "State Labels" | 81935,158660 1 0 0 "CHK_D2\n/6/"
S 102 72 32768 ELLIPSE "States" | 84190,129796 6500 6500
L 103 102 0 TEXT "State Labels" | 84190,129796 1 0 0 "W_D3\n/7/"
W 104 72 0 91 100 BEZIER "Transitions" | 78991,183628 79329,179569 80970,169186 81308,165127
W 105 72 8193 100 102 BEZIER "Transitions" | 82387,152177 82612,147893 83278,140540 83503,136256
C 106 104 0 TEXT "Conditions" | 83294,185177 1 0 0 "RXDataValid == 1'b1"
C 107 105 0 TEXT "Conditions" | 86926,150786 1 0 0 "RXStreamStatus == `RX_PACKET_STREAM"
A 108 104 16 TEXT "Actions" | 70336,179814 1 0 0 "RXByte <= RXDataIn;\nRXStreamStatus <= RXStreamStatusIn;"
W 109 72 8194 100 97 BEZIER "Transitions" | 75612,157154 66950,155917 49612,152612 44747,149322\
39882,146032 37743,135343 38221,127384 38700,119425\
42750,98275 45281,87925 47812,77575 53888,57325\
56840,51109 59793,44894 65013,39901 67881,37595
S 110 72 36864 ELLIPSE "States" | 88335,98360 6500 6500
L 111 110 0 TEXT "State Labels" | 88335,98360 1 0 0 "CHK_D3\n/8/"
S 112 72 40964 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 90590,69496 6500 6500
L 113 112 0 TEXT "State Labels" | 90590,69496 1 0 0 "LOOP"
W 114 72 0 102 110 BEZIER "Transitions" | 84969,123346 85307,119287 87370,108886 87708,104827
W 115 72 8193 110 112 BEZIER "Transitions" | 88787,91877 89012,87593 89678,80240 89903,75956
C 116 114 0 TEXT "Conditions" | 89464,124470 1 0 0 "RXDataValid == 1'b1"
C 117 115 0 TEXT "Conditions" | 93326,90938 1 0 0 "RXStreamStatus == `RX_PACKET_STREAM"
A 118 114 16 TEXT "Actions" | 76583,119322 1 0 0 "RXByte <= RXDataIn;\nRXStreamStatus <= RXStreamStatusIn;"
I 124 120 0 Builtin Exit | 117012,100084
I 123 120 0 Builtin Entry | 33260,254940
H 120 112 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
W 119 72 8194 110 97 BEZIER "Transitions" | 81900,97446 75007,95299 61133,92159 58082,88882\
55031,85605 56613,76791 58364,71028 60116,65265\
65540,51027 67235,46846 68930,42665 69902,40249\
70580,39006
H 120 112 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 123 120 0 Builtin Entry | 33260,254940
I 124 120 0 Builtin Exit | 117012,100084
W 131 120 0 150 245 BEZIER "Transitions" | 98038,146091 98376,140997 99442,128853 99780,125829
C 133 131 0 TEXT "Conditions" | 102150,147411 1 0 0 "RXDataValid == 1'b1"
A 135 131 16 TEXT "Actions" | 89016,140748 1 0 0 "RXByte <= RXDataIn;\nRXStreamStatus <= RXStreamStatusIn;"
L 136 137 0 TEXT "State Labels" | 90351,230929 1 0 0 "CHK_FIFO\n/9/"
S 137 120 45056 ELLIPSE "States" | 90351,230929 6500 6500
W 140 120 0 123 137 BEZIER "Transitions" | 37733,254940 42422,250307 79990,238736 84679,234103
L 141 142 0 TEXT "State Labels" | 158244,197584 1 0 0 "FIFO_FULL\n/10/"
S 142 120 49152 ELLIPSE "States" | 158244,197584 6500 6500
A 118 114 16 TEXT "Actions" | 76583,119322 1 0 0 "RXByte <= RXDataIn;\nRXStreamStatus <= RXStreamStatusIn;"
C 117 115 0 TEXT "Conditions" | 93326,90938 1 0 0 "RXStreamStatus == `RX_PACKET_STREAM"
C 116 114 0 TEXT "Conditions" | 89464,124470 1 0 0 "RXDataValid == 1'b1"
W 115 72 8193 110 112 BEZIER "Transitions" | 88787,91877 89012,87593 89678,80240 89903,75956
W 114 72 0 102 110 BEZIER "Transitions" | 84969,123346 85307,119287 87370,108886 87708,104827
L 113 112 0 TEXT "State Labels" | 90590,69496 1 0 0 "LOOP"
S 112 72 40964 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 90590,69496 6500 6500
W 143 120 8193 137 142 BEZIER "Transitions" | 96691,229500 102906,228257 113837,225951 118244,222730\
122651,219510 150577,206851 153176,201653
C 144 143 0 TEXT "Conditions" | 107923,229678 1 0 0 "RXFifoFull == 1'b1"
W 145 120 8194 137 150 BEZIER "Transitions" | 90837,224456 91407,218984 95945,164426 96515,158954
A 146 145 16 TEXT "Actions" | 79219,190029 1 0 0 "RXFifoWEn <= 1'b1;\nRXFifoData <= RXByteOldest;\nRXByteOldest <= RXByteOld;\nRXByteOld <= RXByte;"
A 147 143 16 TEXT "Actions" | 138187,216811 1 0 0 "RXOverflow <= 1'b1;"
L 149 150 0 TEXT "State Labels" | 97690,152564 1 0 0 "W_D\n/11/"
S 150 120 53248 ELLIPSE "States" | 97690,152564 6500 6500
S 142 120 49152 ELLIPSE "States" | 158244,197584 6500 6500
L 141 142 0 TEXT "State Labels" | 158244,197584 1 0 0 "FIFO_FULL\n/10/"
W 140 120 0 123 137 BEZIER "Transitions" | 37733,254940 42422,250307 79990,238736 84679,234103
S 137 120 45056 ELLIPSE "States" | 90351,230929 6500 6500
L 136 137 0 TEXT "State Labels" | 90351,230929 1 0 0 "CHK_FIFO\n/9/"
A 135 131 16 TEXT "Actions" | 89016,140748 1 0 0 "RXByte <= RXDataIn;\nRXStreamStatus <= RXStreamStatusIn;"
C 133 131 0 TEXT "Conditions" | 102150,147411 1 0 0 "RXDataValid == 1'b1"
W 131 120 0 150 245 BEZIER "Transitions" | 98038,146091 98376,140997 99442,128853 99780,125829
W 159 72 0 112 97 BEZIER "Transitions" | 87959,63554 84795,57000 78577,44883 75413,38329
A 158 150 4 TEXT "Actions" | 115287,153927 1 0 0 "RXFifoWEn <= 1'b0;"
W 157 120 8194 245 124 BEZIER "Transitions" | 102288,119530 105695,116239 110493,103375 113900,100084
C 156 154 0 TEXT "Conditions" | 30965,119453 1 0 0 "RXStreamStatusIn == `RX_PACKET_STREAM"
W 154 120 8193 245 257 BEZIER "Transitions" | 96734,122505 60508,122661 51147,137892 46430,164500
W 152 120 0 142 150 BEZIER "Transitions" | 155717,191596 153885,185528 149630,173716 143103,169022\
136577,164328 115116,157816 103895,154496
W 154 120 8193 245 257 BEZIER "Transitions" | 96734,122505 60508,122661 51147,137892 46430,164500
C 156 154 0 TEXT "Conditions" | 30965,119453 1 0 0 "RXStreamStatusIn == `RX_PACKET_STREAM"
W 157 120 8194 245 124 BEZIER "Transitions" | 102288,119530 105695,116239 110493,103375 113900,100084
A 158 150 4 TEXT "Actions" | 115287,153927 1 0 0 "RXFifoWEn <= 1'b0;"
W 159 72 0 112 97 BEZIER "Transitions" | 87959,63554 84795,57000 78577,44883 75413,38329
A 161 97 4 TEXT "Actions" | 87384,48020 1 0 0 "CRCError <= RXByte[`CRC_ERROR_BIT];\nbitStuffError <= RXByte[`BIT_STUFF_ERROR_BIT];\ndataSequence <= RXByte[`DATA_SEQUENCE_BIT];"
A 162 105 16 TEXT "Actions" | 77440,144748 1 0 0 "RXByteOld <= RXByte;"
W 164 72 0 97 76 BEZIER "Transitions" | 73991,26470 75920,25222 78202,22776 88955,21953\
99709,21131 138868,20336 151863,21045 164858,21755\
177616,25344 184028,27160
I 169 6 0 Builtin Reset | 40672,207751
W 170 6 0 169 9 BEZIER "Transitions" | 40672,207751 50149,206219 60549,203961 70258,201617
A 173 40 4 TEXT "Actions" | 128094,45724 1 0 0 "RXPacketRdy <= 1'b1;"
S 150 120 53248 ELLIPSE "States" | 97690,152564 6500 6500
L 149 150 0 TEXT "State Labels" | 97690,152564 1 0 0 "W_D\n/11/"
A 147 143 16 TEXT "Actions" | 138187,216811 1 0 0 "RXOverflow <= 1'b1;"
A 146 145 16 TEXT "Actions" | 79219,190029 1 0 0 "RXFifoWEn <= 1'b1;\nRXFifoData <= RXByteOldest;\nRXByteOldest <= RXByteOld;\nRXByteOld <= RXByte;"
W 145 120 8194 137 150 BEZIER "Transitions" | 90837,224456 91407,218984 95945,164426 96515,158954
C 144 143 0 TEXT "Conditions" | 107923,229678 1 0 0 "RXFifoFull == 1'b1"
W 175 46 0 251 50 BEZIER "Transitions" | 120677,87962 123728,84233 127725,73445 133205,71354\
138686,69264 146640,68588 151838,68757 157036,68927\
164174,70167 165417,70562 166660,70958 172486,71065\
172450,70926 172415,70788 176799,72082 177196,72140
W 176 46 0 58 251 BEZIER "Transitions" | 162954,146013 160327,135160 154521,114308 149780,107568\
145039,100828 129179,95043 122324,92416
A 173 40 4 TEXT "Actions" | 128094,45724 1 0 0 "RXPacketRdy <= 1'b1;"
W 170 6 0 169 9 BEZIER "Transitions" | 40672,207751 50149,206219 60549,203961 70258,201617
I 169 6 0 Builtin Reset | 40672,207751
W 164 72 0 97 76 BEZIER "Transitions" | 73991,26470 75920,25222 78202,22776 88955,21953\
99709,21131 138868,20336 151863,21045 164858,21755\
177616,25344 184028,27160
A 162 105 16 TEXT "Actions" | 77440,144748 1 0 0 "RXByteOld <= RXByte;"
A 161 97 4 TEXT "Actions" | 87384,48020 1 0 0 "CRCError <= RXByte[`CRC_ERROR_BIT];\nbitStuffError <= RXByte[`BIT_STUFF_ERROR_BIT];\ndataSequence <= RXByte[`DATA_SEQUENCE_BIT];"
I 191 0 130 Builtin InPort | 114421,225994 "" ""
I 190 0 130 Builtin InPort | 114408,221254 "" ""
L 189 190 0 TEXT "Labels" | 120408,221254 1 0 0 "RXStreamStatusIn[7:0]"
C 188 170 0 TEXT "Conditions" | 56486,202566 1 0 0 "rst"
I 187 0 2 Builtin InPort | 140242,259912 "" ""
L 186 187 0 TEXT "Labels" | 146242,259912 1 0 0 "rst"
I 185 0 3 Builtin InPort | 140253,265199 "" ""
L 184 185 0 TEXT "Labels" | 146253,265199 1 0 0 "clk"
I 183 0 2 Builtin InPort | 114228,230646 "" ""
L 182 183 0 TEXT "Labels" | 120228,230646 1 0 0 "RXDataValid"
I 181 0 2 Builtin OutPort | 117932,252596 "" ""
L 180 181 0 TEXT "Labels" | 123932,252596 1 0 0 "RXPacketRdy"
I 179 0 2 Builtin InPort | 120132,247896 "" ""
L 178 179 0 TEXT "Labels" | 126132,247896 1 0 0 "getPacketEn"
W 177 46 8195 54 251 BEZIER "Transitions" | 108942,219837 124822,217895 156122,213249 166404,209593\
176686,205938 186055,195197 188340,185143 190625,175090\
190396,145613 187654,132589 184913,119565 174172,96942\
167317,90830 160463,84718 143756,82720 138170,83176\
132585,83633 124984,88032 122129,89345
L 178 179 0 TEXT "Labels" | 126132,247896 1 0 0 "getPacketEn"
I 179 0 2 Builtin InPort | 120132,247896 "" ""
L 180 181 0 TEXT "Labels" | 123932,252596 1 0 0 "RXPacketRdy"
I 181 0 2 Builtin OutPort | 117932,252596 "" ""
L 182 183 0 TEXT "Labels" | 120228,230646 1 0 0 "RXDataValid"
I 183 0 2 Builtin InPort | 114228,230646 "" ""
L 184 185 0 TEXT "Labels" | 146253,265199 1 0 0 "clk"
I 185 0 3 Builtin InPort | 140253,265199 "" ""
L 186 187 0 TEXT "Labels" | 146242,259912 1 0 0 "rst"
I 187 0 2 Builtin InPort | 140242,259912 "" ""
C 188 170 0 TEXT "Conditions" | 56486,202566 1 0 0 "rst"
L 189 190 0 TEXT "Labels" | 120408,221254 1 0 0 "RXStreamStatusIn[7:0]"
I 190 0 130 Builtin InPort | 114408,221254 "" ""
I 191 0 130 Builtin InPort | 114421,225994 "" ""
W 176 46 0 58 251 BEZIER "Transitions" | 162954,146013 160327,135160 154521,114308 149780,107568\
145039,100828 129179,95043 122324,92416
I 207 0 128 Builtin OutPort | 77404,226912 "" ""
L 206 207 0 TEXT "Labels" | 83404,226912 1 0 0 "RXPktStatus[7:0]"
I 205 0 2 Builtin Signal | 19416,234868 "" ""
L 204 205 0 TEXT "Labels" | 22880,234404 1 0 0 "ACKRxed"
I 203 0 2 Builtin Signal | 19840,230756 "" ""
L 202 203 0 TEXT "Labels" | 22840,230756 1 0 0 "stallRxed"
I 201 0 2 Builtin Signal | 19380,239536 "" ""
L 200 201 0 TEXT "Labels" | 22380,239536 1 0 0 "NAKRxed"
I 199 0 2 Builtin Signal | 19068,244340 "" ""
L 198 199 0 TEXT "Labels" | 22068,244340 1 0 0 "RXOverflow"
I 197 0 130 Builtin Signal | 19204,221408 "" ""
L 196 197 0 TEXT "Labels" | 22204,221408 1 0 0 "RXByte[7:0]"
K 195 194 0 TEXT "Comments" | 107584,237032 1 0 0 "Single cycle pulse"
I 194 0 2 Builtin InPort | 79500,237048 "" ""
L 193 194 0 TEXT "Labels" | 85500,237048 1 0 0 "SIERxTimeOut"
L 192 191 0 TEXT "Labels" | 120421,225994 1 0 0 "RXDataIn[7:0]"
L 193 194 0 TEXT "Labels" | 85500,237048 1 0 0 "SIERxTimeOut"
I 194 0 2 Builtin InPort | 79500,237048 "" ""
K 195 194 0 TEXT "Comments" | 107584,237032 1 0 0 "Single cycle pulse"
L 196 197 0 TEXT "Labels" | 22204,221408 1 0 0 "RXByte[7:0]"
I 197 0 130 Builtin Signal | 19204,221408 "" ""
L 198 199 0 TEXT "Labels" | 22068,244340 1 0 0 "RXOverflow"
I 199 0 2 Builtin Signal | 19068,244340 "" ""
L 200 201 0 TEXT "Labels" | 22380,239536 1 0 0 "NAKRxed"
I 201 0 2 Builtin Signal | 19380,239536 "" ""
L 202 203 0 TEXT "Labels" | 22840,230756 1 0 0 "stallRxed"
I 203 0 2 Builtin Signal | 19840,230756 "" ""
L 204 205 0 TEXT "Labels" | 22880,234404 1 0 0 "ACKRxed"
I 205 0 2 Builtin Signal | 19416,234868 "" ""
L 206 207 0 TEXT "Labels" | 83404,226912 1 0 0 "RXPktStatus[7:0]"
I 207 0 128 Builtin OutPort | 77404,226912 "" ""
I 222 0 130 Builtin Signal | 52956,259852 "" ""
L 221 222 0 TEXT "Labels" | 55956,259852 1 0 0 "RXByteOld[7:0]"
A 220 11 4 TEXT "Actions" | 125976,177552 1 0 0 "CRCError <= 1'b0;\nbitStuffError <= 1'b0; \nRXOverflow <= 1'b0; \nRXTimeOut <= 1'b0;\nNAKRxed <= 1'b0;\nstallRxed <= 1'b0;\nACKRxed <= 1'b0;\ndataSequence <= 1'b0;"
A 219 9 2 TEXT "Actions" | 18096,193444 1 0 0 "RXPacketRdy <= 1'b0;\nRXFifoWEn <= 1'b0;\nRXFifoData <= 8'h00;\nRXByteOld <= 8'h00;\nRXByteOldest <= 8'h00;\nCRCError <= 1'b0;\nbitStuffError <= 1'b0; \nRXOverflow <= 1'b0; \nRXTimeOut <= 1'b0;\nNAKRxed <= 1'b0;\nstallRxed <= 1'b0;\nACKRxed <= 1'b0;\ndataSequence <= 1'b0;\nRxPID <= 4'h0;\nRXByte <= 8'h00;\nRXStreamStatus <= 8'h00;"
L 217 216 0 TEXT "Labels" | 22488,226184 1 0 0 "RXStreamStatus[7:0]"
I 216 0 130 Builtin Signal | 19488,226184 "" ""
I 215 0 2 Builtin Signal | 19024,262928 "" ""
L 214 215 0 TEXT "Labels" | 22024,262928 1 0 0 "dataSequence"
I 213 0 2 Builtin Signal | 19024,258288 "" ""
L 212 213 0 TEXT "Labels" | 22024,258288 1 0 0 "bitStuffError"
I 211 0 2 Builtin Signal | 18792,253880 "" ""
L 210 211 0 TEXT "Labels" | 21792,253880 1 0 0 "CRCError"
I 209 0 2 Builtin Signal | 19024,249240 "" ""
L 208 209 0 TEXT "Labels" | 22024,249240 1 0 0 "RXTimeOut"
I 209 0 2 Builtin Signal | 19024,249240 "" ""
L 210 211 0 TEXT "Labels" | 21792,253880 1 0 0 "CRCError"
I 211 0 2 Builtin Signal | 18792,253880 "" ""
L 212 213 0 TEXT "Labels" | 22024,258288 1 0 0 "bitStuffError"
I 213 0 2 Builtin Signal | 19024,258288 "" ""
L 214 215 0 TEXT "Labels" | 22024,262928 1 0 0 "dataSequence"
I 215 0 2 Builtin Signal | 19024,262928 "" ""
I 216 0 130 Builtin Signal | 19488,226184 "" ""
L 217 216 0 TEXT "Labels" | 22488,226184 1 0 0 "RXStreamStatus[7:0]"
A 219 9 2 TEXT "Actions" | 18096,193444 1 0 0 "RXPacketRdy <= 1'b0;\nRXFifoWEn <= 1'b0;\nRXFifoData <= 8'h00;\nRXByteOld <= 8'h00;\nRXByteOldest <= 8'h00;\nCRCError <= 1'b0;\nbitStuffError <= 1'b0; \nRXOverflow <= 1'b0; \nRXTimeOut <= 1'b0;\nNAKRxed <= 1'b0;\nstallRxed <= 1'b0;\nACKRxed <= 1'b0;\ndataSequence <= 1'b0;\nRxPID <= 4'h0;\nRXByte <= 8'h00;\nRXStreamStatus <= 8'h00;"
A 220 11 4 TEXT "Actions" | 125976,177552 1 0 0 "CRCError <= 1'b0;\nbitStuffError <= 1'b0; \nRXOverflow <= 1'b0; \nRXTimeOut <= 1'b0;\nNAKRxed <= 1'b0;\nstallRxed <= 1'b0;\nACKRxed <= 1'b0;\ndataSequence <= 1'b0;"
L 221 222 0 TEXT "Labels" | 55956,259852 1 0 0 "RXByteOld[7:0]"
I 222 0 130 Builtin Signal | 52956,259852 "" ""
A 235 0 1 TEXT "Actions" | 156850,265490 1 0 0 "always @\n(CRCError or bitStuffError or\n RXOverflow or RXTimeOut or\n NAKRxed or stallRxed or\n ACKRxed or dataSequence)\nbegin\n RXPktStatus = { \n dataSequence, ACKRxed, \n stallRxed, NAKRxed,\n RXTimeOut, RXOverflow, \n bitStuffError, CRCError};\nend"
I 232 0 130 Builtin OutPort | 77780,242452 "" ""
L 231 232 0 TEXT "Labels" | 83780,242452 1 0 0 "RXFifoData[7:0]"
I 230 0 2 Builtin OutPort | 77548,248252 "" ""
L 229 230 0 TEXT "Labels" | 83548,248252 1 0 0 "RXFifoWEn"
I 228 0 2 Builtin InPort | 79868,253240 "" ""
L 227 228 0 TEXT "Labels" | 85868,253240 1 0 0 "RXFifoFull"
L 226 225 0 TEXT "Labels" | 55956,265100 1 0 0 "RXByteOldest[7:0]"
I 225 0 130 Builtin Signal | 52956,265100 "" ""
A 236 34 16 TEXT "Actions" | 139444,90956 1 0 0 "RxPID <= RXByte[3:0];"
L 237 238 0 TEXT "Labels" | 83500,221804 1 0 0 "RxPID[3:0]"
I 238 0 130 Builtin OutPort | 77500,221804 "" ""
W 239 6 0 33 40 BEZIER "Transitions" | 136204,68440 129157,59392 116484,42555 109437,33507
I 238 0 130 Builtin OutPort | 77500,221804 "" ""
L 237 238 0 TEXT "Labels" | 83500,221804 1 0 0 "RxPID[3:0]"
A 236 34 16 TEXT "Actions" | 139444,90956 1 0 0 "RxPID <= RXByte[3:0];"
I 225 0 130 Builtin Signal | 52956,265100 "" ""
L 226 225 0 TEXT "Labels" | 55956,265100 1 0 0 "RXByteOldest[7:0]"
L 227 228 0 TEXT "Labels" | 85868,253240 1 0 0 "RXFifoFull"
I 228 0 2 Builtin InPort | 79868,253240 "" ""
L 229 230 0 TEXT "Labels" | 83548,248252 1 0 0 "RXFifoWEn"
I 230 0 2 Builtin OutPort | 77548,248252 "" ""
L 231 232 0 TEXT "Labels" | 83780,242452 1 0 0 "RXFifoData[7:0]"
I 232 0 130 Builtin OutPort | 77780,242452 "" ""
A 235 0 1 TEXT "Actions" | 156850,265490 1 0 0 "always @\n(CRCError or bitStuffError or\n RXOverflow or RXTimeOut or\n NAKRxed or stallRxed or\n ACKRxed or dataSequence)\nbegin\n RXPktStatus = { \n dataSequence, ACKRxed, \n stallRxed, NAKRxed,\n RXTimeOut, RXOverflow, \n bitStuffError, CRCError};\nend"
W 255 252 0 253 254 BEZIER "Transitions" | 90833,167640 103003,150317 114258,129084 126428,111760
I 254 252 0 Builtin Exit | 129540,111760
I 253 252 0 Builtin Entry | 86360,167640
H 252 251 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 251 46 86036 ELLIPSE "Junction" | 119090,91080 3500 3500
L 250 251 0 TEXT "State Labels" | 119090,91080 1 0 0 "J2"
W 249 246 0 247 248 BEZIER "Transitions" | 90833,167640 103003,150317 114258,129084 126428,111760
I 248 246 0 Builtin Exit | 129540,111760
I 247 246 0 Builtin Entry | 86360,167640
H 246 245 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 245 120 81940 ELLIPSE "Junction" | 100230,122360 3500 3500
L 244 245 0 TEXT "State Labels" | 100230,122360 1 0 0 "J1"
A 243 93 16 TEXT "Actions" | 70474,205339 1 0 0 "RXByteOldest <= RXByte;"
W 240 6 0 40 23 BEZIER "Transitions" | 100228,28439 96139,31658 88201,35365 84938,41063\
81676,46762 76804,63118 74237,72992 71671,82867\
66277,106009 65842,118015 65407,130021 69061,154903\
71671,163168 74281,171433 81067,179611 84373,181742\
87679,183874 93835,184146 97054,184320
A 243 93 16 TEXT "Actions" | 70474,205339 1 0 0 "RXByteOldest <= RXByte;"
L 256 257 0 TEXT "State Labels" | 45141,170869 1 0 0 "DELAY\n/17/"
S 257 120 90112 ELLIPSE "States" | 45141,170869 6500 6500
L 244 245 0 TEXT "State Labels" | 100230,122360 1 0 0 "J1"
S 245 120 81940 ELLIPSE "Junction" | 100230,122360 3500 3500
H 246 245 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 247 246 0 Builtin Entry | 86360,167640
I 248 246 0 Builtin Exit | 129540,111760
W 249 246 0 247 248 BEZIER "Transitions" | 90833,167640 103003,150317 114258,129084 126428,111760
L 250 251 0 TEXT "State Labels" | 119090,91080 1 0 0 "J2"
S 251 46 86036 ELLIPSE "Junction" | 119090,91080 3500 3500
H 252 251 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 253 252 0 Builtin Entry | 86360,167640
I 254 252 0 Builtin Exit | 129540,111760
W 255 252 0 253 254 BEZIER "Transitions" | 90833,167640 103003,150317 114258,129084 126428,111760
W 258 120 0 257 137 BEZIER "Transitions" | 45666,177344 46444,185513 47864,201600 52775,208115\
57686,214631 75382,223396 84426,228258
S 257 120 90112 ELLIPSE "States" | 45141,170869 6500 6500
L 256 257 0 TEXT "State Labels" | 45141,170869 1 0 0 "DELAY\n/17/"
END
/trunk/RTL/hostController/softransmit.asf
6,7 → 6,7
ENTITY="SOFTransmit"
FRAMES=ON
FREEOID=73
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// softransmit\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: softransmit.asf,v 1.2 2004-12-18 14:36:11 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbHostControl_h.v\"\n\n"
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// softransmit\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: softransmit.asf,v 1.3 2004-12-31 14:40:41 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbHostControl_h.v\"\n\n"
END
BUNDLES
B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3333 0 0000 1 "Arial" 0
31,68 → 31,68
GRIDSIZE 5000,5000 10000,10000
END
OBJECTS
S 15 6 12288 ELLIPSE "States" | 122537,67111 6500 6500
L 14 15 0 TEXT "State Labels" | 122537,67111 1 0 0 "WAIT_SOF_NOW\n/3/"
S 13 6 8192 ELLIPSE "States" | 121510,105827 6500 6500
L 12 13 0 TEXT "State Labels" | 121510,105827 1 0 0 "WAIT_SP_GNT\n/2/"
S 11 6 4096 ELLIPSE "States" | 120061,145105 6500 6500
L 10 11 0 TEXT "State Labels" | 120061,145105 1 0 0 "WAIT_SOF_NEAR\n/1/"
S 9 6 0 ELLIPSE "States" | 118204,174817 6500 6500
L 8 9 0 TEXT "State Labels" | 118204,174817 1 0 0 "START_STX\n/0/"
L 7 6 0 TEXT "Labels" | 56120,190808 1 0 0 "SOFTx"
F 6 0 671089152 54 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28222,2382 211664,199561
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 110650,251000 1 0 0 "Module: SOFTransmit"
F 6 0 671089152 54 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28222,2382 211664,199561
L 7 6 0 TEXT "Labels" | 56120,190808 1 0 0 "SOFTx"
L 8 9 0 TEXT "State Labels" | 118204,174817 1 0 0 "START_STX\n/0/"
S 9 6 0 ELLIPSE "States" | 118204,174817 6500 6500
L 10 11 0 TEXT "State Labels" | 120061,145105 1 0 0 "WAIT_SOF_NEAR\n/1/"
S 11 6 4096 ELLIPSE "States" | 120061,145105 6500 6500
L 12 13 0 TEXT "State Labels" | 121510,105827 1 0 0 "WAIT_SP_GNT\n/2/"
S 13 6 8192 ELLIPSE "States" | 121510,105827 6500 6500
L 14 15 0 TEXT "State Labels" | 122537,67111 1 0 0 "WAIT_SOF_NOW\n/3/"
S 15 6 12288 ELLIPSE "States" | 122537,67111 6500 6500
I 31 0 130 Builtin InPort | 86106,205240 "" ""
L 30 31 0 TEXT "Labels" | 92106,205240 1 0 0 "SOFTimer[15:0]"
I 16 6 0 Builtin Reset | 76112,190530
W 17 6 0 16 9 BEZIER "Transitions" | 76112,190530 85242,187531 103162,180515 112292,177516
A 29 27 16 TEXT "Actions" | 136781,44343 1 0 0 "sendPacketWEn <= 1'b1;\nSOFTimerClr <= 1'b1;\nSOFSent <= 1'b1;"
C 28 27 0 TEXT "Conditions" | 141873,64536 1 0 0 "SOFTimer >= `SOF_TX_TIME"
W 27 6 8193 15 26 BEZIER "Transitions" | 127758,63214 198581,44766 138746,22583 123372,21429
S 26 6 16384 ELLIPSE "States" | 123851,14954 6500 6500
L 25 26 0 TEXT "State Labels" | 123851,14954 1 0 0 "SOF_FIN\n/4/"
C 23 20 0 TEXT "Conditions" | 123101,97583 1 0 0 "sendPacketArbiterGnt == 1'b1 && sendPacketRdy == 1'b1"
C 22 19 0 TEXT "Conditions" | 121150,136806 1 0 0 "SOFTimer >= `SOF_TX_TIME - `SOF_TX_MARGIN ||\n(SOFSyncEn == 1'b1 &&\nSOFEnable == 1'b1)"
W 20 6 0 13 15 BEZIER "Transitions" | 121100,99349 121564,91767 121564,81165 122028,73583
W 19 6 0 11 13 BEZIER "Transitions" | 120145,138606 120299,132262 120897,118647 121051,112303
W 18 6 0 9 11 BEZIER "Transitions" | 118406,168343 118715,164010 119133,156247 119287,154003\
119442,151760 119430,151725 119430,151571
W 19 6 0 11 13 BEZIER "Transitions" | 120145,138606 120299,132262 120897,118647 121051,112303
W 20 6 0 13 15 BEZIER "Transitions" | 121100,99349 121564,91767 121564,81165 122028,73583
C 22 19 0 TEXT "Conditions" | 121150,136806 1 0 0 "SOFTimer >= `SOF_TX_TIME - `SOF_TX_MARGIN ||\n(SOFSyncEn == 1'b1 &&\nSOFEnable == 1'b1)"
C 23 20 0 TEXT "Conditions" | 123101,97583 1 0 0 "sendPacketArbiterGnt == 1'b1 && sendPacketRdy == 1'b1"
L 25 26 0 TEXT "State Labels" | 123851,14954 1 0 0 "SOF_FIN\n/4/"
S 26 6 16384 ELLIPSE "States" | 123851,14954 6500 6500
W 27 6 8193 15 26 BEZIER "Transitions" | 127758,63214 198581,44766 138746,22583 123372,21429
C 28 27 0 TEXT "Conditions" | 141873,64536 1 0 0 "SOFTimer >= `SOF_TX_TIME"
A 29 27 16 TEXT "Actions" | 136781,44343 1 0 0 "sendPacketWEn <= 1'b1;\nSOFTimerClr <= 1'b1;\nSOFSent <= 1'b1;"
W 17 6 0 16 9 BEZIER "Transitions" | 76112,190530 85242,187531 103162,180515 112292,177516
I 16 6 0 Builtin Reset | 76112,190530
L 30 31 0 TEXT "Labels" | 92106,205240 1 0 0 "SOFTimer[15:0]"
I 31 0 130 Builtin InPort | 86106,205240 "" ""
I 32 0 2 Builtin OutPort | 29866,205279 "" ""
L 33 32 0 TEXT "Labels" | 35866,205279 1 0 0 "sendPacketWEn"
I 34 0 2 Builtin InPort | 85672,219426 "" ""
L 35 34 0 TEXT "Labels" | 91672,219426 1 0 0 "SOFSyncEn"
L 40 41 0 TEXT "Labels" | 89735,214646 1 0 0 "SOFSent"
I 41 0 2 Builtin OutPort | 83735,214646 "" ""
K 44 41 0 TEXT "Comments" | 107898,214935 1 0 0 "single cycle pulse"
A 45 9 2 TEXT "Actions" | 136108,187846 1 0 0 "SOFSent <= 1'b0;\nSOFTimerClr <= 1'b0;\nsendPacketArbiterReq <= 1'b0;\nsendPacketWEn <= 1'b0;"
L 46 47 0 TEXT "Labels" | 89987,210042 1 0 0 "SOFTimerClr"
I 47 0 2 Builtin OutPort | 83987,210042 "" ""
L 46 47 0 TEXT "Labels" | 89987,210042 1 0 0 "SOFTimerClr"
A 45 9 2 TEXT "Actions" | 136108,187846 1 0 0 "SOFSent <= 1'b0;\nSOFTimerClr <= 1'b0;\nsendPacketArbiterReq <= 1'b0;\nsendPacketWEn <= 1'b0;"
K 44 41 0 TEXT "Comments" | 107898,214935 1 0 0 "single cycle pulse"
I 41 0 2 Builtin OutPort | 83735,214646 "" ""
L 40 41 0 TEXT "Labels" | 89735,214646 1 0 0 "SOFSent"
L 35 34 0 TEXT "Labels" | 91672,219426 1 0 0 "SOFSyncEn"
I 34 0 2 Builtin InPort | 85672,219426 "" ""
L 33 32 0 TEXT "Labels" | 35866,205279 1 0 0 "sendPacketWEn"
I 32 0 2 Builtin OutPort | 29866,205279 "" ""
L 63 62 0 TEXT "Labels" | 35880,214737 1 0 0 "sendPacketArbiterReq"
I 62 0 2 Builtin OutPort | 29880,214737 "" ""
L 61 60 0 TEXT "Labels" | 91642,229951 1 0 0 "SOFEnable"
I 60 0 2 Builtin InPort | 85642,229951 "" ""
L 59 58 0 TEXT "Labels" | 38035,210006 1 0 0 "sendPacketRdy"
I 58 0 2 Builtin InPort | 32035,210006 "" ""
L 57 56 0 TEXT "Labels" | 206475,245251 1 0 0 "rst"
I 56 0 130 Builtin InPort | 200475,245251 "" ""
C 55 17 0 TEXT "Conditions" | 98239,182492 1 0 0 "rst"
I 54 0 1 Builtin InPort | 200335,250729 "" ""
L 53 54 0 TEXT "Labels" | 206335,250729 1 0 0 "clk"
K 49 47 0 TEXT "Comments" | 111272,209575 1 0 0 "Single cycle pulse"
A 50 26 4 TEXT "Actions" | 141965,16918 1 0 0 "sendPacketWEn <= 1'b0;\nSOFTimerClr <= 1'b0;\nSOFSent <= 1'b0;"
W 51 6 0 26 11 BEZIER "Transitions" | 117404,14128 103585,14128 76675,12449 68441,16586\
60208,20724 54912,37274 53629,49148 52346,61023\
52495,91978 54333,104221 56172,116465 66907,131666\
73940,137333 80974,143001 92272,144264 98160,144352\
104049,144440 109926,143957 113732,143626
A 50 26 4 TEXT "Actions" | 141965,16918 1 0 0 "sendPacketWEn <= 1'b0;\nSOFTimerClr <= 1'b0;\nSOFSent <= 1'b0;"
K 49 47 0 TEXT "Comments" | 111272,209575 1 0 0 "Single cycle pulse"
A 72 70 16 TEXT "Actions" | 88430,42600 1 0 0 "SOFTimerClr <= 1'b1;"
C 71 70 0 TEXT "Conditions" | 81824,61424 1 0 0 "SOFEnable == 1'b0"
L 53 54 0 TEXT "Labels" | 206335,250729 1 0 0 "clk"
I 54 0 1 Builtin InPort | 200335,250729 "" ""
C 55 17 0 TEXT "Conditions" | 98239,182492 1 0 0 "rst"
I 56 0 130 Builtin InPort | 200475,245251 "" ""
L 57 56 0 TEXT "Labels" | 206475,245251 1 0 0 "rst"
I 58 0 2 Builtin InPort | 32035,210006 "" ""
L 59 58 0 TEXT "Labels" | 38035,210006 1 0 0 "sendPacketRdy"
I 60 0 2 Builtin InPort | 85642,229951 "" ""
L 61 60 0 TEXT "Labels" | 91642,229951 1 0 0 "SOFEnable"
I 62 0 2 Builtin OutPort | 29880,214737 "" ""
L 63 62 0 TEXT "Labels" | 35880,214737 1 0 0 "sendPacketArbiterReq"
K 69 60 0 TEXT "Comments" | 78222,224799 1 0 0 "After host software asserts SOFEnable, must wait TBD time before asserting SOFSyncEn"
I 64 0 2 Builtin InPort | 32202,219273 "" ""
L 65 64 0 TEXT "Labels" | 38202,219273 1 0 0 "sendPacketArbiterGnt"
A 67 51 16 TEXT "Actions" | 33349,35565 1 0 0 "sendPacketArbiterReq <= 1'b0;"
A 68 19 16 TEXT "Actions" | 101850,122190 1 0 0 "sendPacketArbiterReq <= 1'b1;"
W 70 6 8194 15 26 BEZIER "Transitions" | 117343,63205 114476,60245 108317,54810 106883,51064\
105450,47318 105450,38252 107207,34228 108965,30205\
115846,23167 119361,19652
A 68 19 16 TEXT "Actions" | 101850,122190 1 0 0 "sendPacketArbiterReq <= 1'b1;"
A 67 51 16 TEXT "Actions" | 33349,35565 1 0 0 "sendPacketArbiterReq <= 1'b0;"
L 65 64 0 TEXT "Labels" | 38202,219273 1 0 0 "sendPacketArbiterGnt"
I 64 0 2 Builtin InPort | 32202,219273 "" ""
K 69 60 0 TEXT "Comments" | 78222,224799 1 0 0 "After host software asserts SOFEnable, must wait TBD time before asserting SOFSyncEn"
C 71 70 0 TEXT "Conditions" | 81824,61424 1 0 0 "SOFEnable == 1'b0"
A 72 70 16 TEXT "Actions" | 88430,42600 1 0 0 "SOFTimerClr <= 1'b1;"
END
/trunk/RTL/hostController/directcontrol.asf
6,7 → 6,7
ENTITY="directControl"
FRAMES=ON
FREEOID=180
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// directControl\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: directcontrol.asf,v 1.2 2004-12-18 14:36:09 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n"
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// directControl\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: directcontrol.asf,v 1.3 2004-12-31 14:40:41 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n"
END
BUNDLES
B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3333 0 0000 1 "Arial" 0
43,91 → 43,91
GRIDSIZE 0,0 10000,10000
END
OBJECTS
L 15 16 0 TEXT "Labels" | 187300,263800 1 0 0 "clk"
W 14 6 0 13 9 BEZIER "Transitions" | 48900,215400 60300,214600 83007,213291 94407,212491
I 13 6 0 Builtin Reset | 48900,215400
S 11 6 4096 ELLIPSE "States" | 102500,176200 6500 6500
L 10 11 0 TEXT "State Labels" | 102500,176200 1 0 0 "CHK_DRCT_CNTL\n/1/"
S 9 6 0 ELLIPSE "States" | 100900,212200 6500 6500
L 8 9 0 TEXT "State Labels" | 100900,212200 1 0 0 "START_DC\n/0/"
L 7 6 0 TEXT "Labels" | 18700,230700 1 0 0 "drctCntl"
F 6 0 671089152 16 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,233700
A 5 0 1 TEXT "Actions" | 17700,253700 1 0 0 "// diagram ACTION"
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 97950,263700 1 0 0 "Module: directControl"
A 5 0 1 TEXT "Actions" | 17700,253700 1 0 0 "// diagram ACTION"
F 6 0 671089152 16 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,233700
L 7 6 0 TEXT "Labels" | 18700,230700 1 0 0 "drctCntl"
L 8 9 0 TEXT "State Labels" | 100900,212200 1 0 0 "START_DC\n/0/"
S 9 6 0 ELLIPSE "States" | 100900,212200 6500 6500
L 10 11 0 TEXT "State Labels" | 102500,176200 1 0 0 "CHK_DRCT_CNTL\n/1/"
S 11 6 4096 ELLIPSE "States" | 102500,176200 6500 6500
I 13 6 0 Builtin Reset | 48900,215400
W 14 6 0 13 9 BEZIER "Transitions" | 48900,215400 60300,214600 83007,213291 94407,212491
L 15 16 0 TEXT "Labels" | 187300,263800 1 0 0 "clk"
C 28 27 0 TEXT "Conditions" | 80136,160617 1 0 0 "directControlEn == 1'b1"
W 27 6 8193 11 78 BEZIER "Transitions" | 99393,170493 94693,161093 75357,144887 70657,135487
W 26 6 0 9 11 BEZIER "Transitions" | 100525,205718 101125,199618 101292,188766 101892,182666
I 21 0 2 Builtin InPort | 57252,239123 "" ""
L 20 21 0 TEXT "Labels" | 63252,239123 1 0 0 "directControlEn"
C 19 14 0 TEXT "Conditions" | 76744,213569 1 0 0 "rst"
I 18 0 2 Builtin InPort | 181500,257400 "" ""
L 17 18 0 TEXT "Labels" | 187500,257400 1 0 0 "rst"
I 16 0 3 Builtin InPort | 181300,263800 "" ""
L 17 18 0 TEXT "Labels" | 187500,257400 1 0 0 "rst"
I 18 0 2 Builtin InPort | 181500,257400 "" ""
C 19 14 0 TEXT "Conditions" | 76744,213569 1 0 0 "rst"
L 20 21 0 TEXT "Labels" | 63252,239123 1 0 0 "directControlEn"
I 21 0 2 Builtin InPort | 57252,239123 "" ""
W 26 6 0 9 11 BEZIER "Transitions" | 100525,205718 101125,199618 101292,188766 101892,182666
W 27 6 8193 11 78 BEZIER "Transitions" | 99393,170493 94693,161093 75357,144887 70657,135487
C 28 27 0 TEXT "Conditions" | 80136,160617 1 0 0 "directControlEn == 1'b1"
W 51 6 8194 11 127 BEZIER "Transitions" | 108159,173005 122851,164817 139855,136277 144754,128309
H 79 78 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 78 6 8196 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 68590,129326 6500 6500
L 77 78 0 TEXT "State Labels" | 68590,129326 1 0 0 "DRCT_CNTL"
S 78 6 8196 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 68590,129326 6500 6500
H 79 78 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
W 88 79 4096 124 90 BEZIER "Transitions" | 105569,175900 100869,166500 70569,161175 65869,151775
S 90 79 12288 ELLIPSE "States" | 62621,146145 6500 6500
L 91 90 0 TEXT "State Labels" | 62621,146145 1 0 0 "WAIT_GNT\n/2/"
W 95 79 0 102 93 BEZIER "Transitions" | 65496,102474 65896,97574 67230,81067 67630,76167
A 94 93 4 TEXT "Actions" | 87021,72145 1 0 0 "HCTxPortWEn <= 1'b0;"
S 93 79 16384 ELLIPSE "States" | 68621,69745 6500 6500
W 92 79 8194 93 102 BEZIER "Transitions" | 62907,72842 59107,76242 50421,81945 48421,85645\
46421,89345 46021,97345 47471,100295 48921,103245\
55748,105011 58848,106911
S 93 79 16384 ELLIPSE "States" | 68621,69745 6500 6500
A 94 93 4 TEXT "Actions" | 87021,72145 1 0 0 "HCTxPortWEn <= 1'b0;"
W 95 79 0 102 93 BEZIER "Transitions" | 65496,102474 65896,97574 67230,81067 67630,76167
L 91 90 0 TEXT "State Labels" | 62621,146145 1 0 0 "WAIT_GNT\n/2/"
S 90 79 12288 ELLIPSE "States" | 62621,146145 6500 6500
W 88 79 4096 124 90 BEZIER "Transitions" | 105569,175900 100869,166500 70569,161175 65869,151775
L 103 102 0 TEXT "State Labels" | 65021,108945 1 0 0 "WAIT_RDY\n/4/"
S 102 79 20480 ELLIPSE "States" | 65021,108945 6500 6500
C 100 99 0 TEXT "Conditions" | 62221,136545 1 0 0 "HCTxPortGnt == 1'b1"
W 99 79 0 90 102 BEZIER "Transitions" | 62834,139649 63234,133449 64005,121613 64405,115413
L 98 93 0 TEXT "State Labels" | 68621,69745 1 0 0 "CHK_LOOP\n/3/"
C 97 95 0 TEXT "Conditions" | 67437,101104 1 0 0 "HCTxPortRdy == 1'b1"
A 96 95 16 TEXT "Actions" | 62372,93902 1 0 0 "HCTxPortWEn <= 1'b1; \nHCTxPortData <= {6'b000000, directControlLineState}; \nHCTxPortCntl <= `TX_DIRECT_CONTROL;"
C 97 95 0 TEXT "Conditions" | 67437,101104 1 0 0 "HCTxPortRdy == 1'b1"
L 98 93 0 TEXT "State Labels" | 68621,69745 1 0 0 "CHK_LOOP\n/3/"
W 99 79 0 90 102 BEZIER "Transitions" | 62834,139649 63234,133449 64005,121613 64405,115413
C 100 99 0 TEXT "Conditions" | 62221,136545 1 0 0 "HCTxPortGnt == 1'b1"
S 102 79 20480 ELLIPSE "States" | 65021,108945 6500 6500
L 103 102 0 TEXT "State Labels" | 65021,108945 1 0 0 "WAIT_RDY\n/4/"
I 122 79 0 Builtin Exit | 138103,36586
I 124 79 0 Builtin Entry | 109800,175900
S 127 6 24580 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 147819,122579 6500 6500
L 126 127 0 TEXT "State Labels" | 147819,122579 1 0 0 "IDLE"
W 125 6 0 78 11 BEZIER "Transitions" | 62548,131721 58511,135864 49941,141807 48613,147491\
47285,153175 50048,167625 56316,171290 62585,174956\
84856,175714 96012,175820
L 126 127 0 TEXT "State Labels" | 147819,122579 1 0 0 "IDLE"
S 127 6 24580 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 147819,122579 6500 6500
I 124 79 0 Builtin Entry | 109800,175900
I 122 79 0 Builtin Exit | 138103,36586
S 143 128 32768 ELLIPSE "States" | 110104,152646 6500 6500
A 142 137 4 TEXT "Actions" | 130303,68109 1 0 0 "HCTxPortWEn <= 1'b0;\nHCTxPortReq <= 1'b0;"
A 141 139 16 TEXT "Actions" | 109766,100293 1 0 0 "HCTxPortWEn <= 1'b1; \nHCTxPortData <= 8'h00; \nHCTxPortCntl <= `TX_IDLE;"
C 140 139 0 TEXT "Conditions" | 114907,107589 1 0 0 "HCTxPortRdy == 1'b1"
W 139 128 0 146 137 BEZIER "Transitions" | 112979,108975 113379,104075 114551,87365 114951,82465
L 138 137 0 TEXT "State Labels" | 115898,76040 1 0 0 "FIN\n/5/"
S 137 128 28672 ELLIPSE "States" | 115898,76040 6500 6500
C 136 135 0 TEXT "Conditions" | 109704,143046 1 0 0 "HCTxPortGnt == 1'b1"
W 135 128 0 143 146 BEZIER "Transitions" | 110317,146150 110717,139950 111488,128114 111888,121914
H 128 127 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
W 135 128 0 143 146 BEZIER "Transitions" | 110317,146150 110717,139950 111488,128114 111888,121914
C 136 135 0 TEXT "Conditions" | 109704,143046 1 0 0 "HCTxPortGnt == 1'b1"
S 137 128 28672 ELLIPSE "States" | 115898,76040 6500 6500
L 138 137 0 TEXT "State Labels" | 115898,76040 1 0 0 "FIN\n/5/"
W 139 128 0 146 137 BEZIER "Transitions" | 112979,108975 113379,104075 114551,87365 114951,82465
C 140 139 0 TEXT "Conditions" | 114907,107589 1 0 0 "HCTxPortRdy == 1'b1"
A 141 139 16 TEXT "Actions" | 109766,100293 1 0 0 "HCTxPortWEn <= 1'b1; \nHCTxPortData <= 8'h00; \nHCTxPortCntl <= `TX_IDLE;"
A 142 137 4 TEXT "Actions" | 130303,68109 1 0 0 "HCTxPortWEn <= 1'b0;\nHCTxPortReq <= 1'b0;"
S 143 128 32768 ELLIPSE "States" | 110104,152646 6500 6500
L 144 143 0 TEXT "State Labels" | 110104,152646 1 0 0 "WAIT_GNT\n/6/"
W 145 128 4096 150 143 BEZIER "Transitions" | 71299,204814 85991,196626 102015,166277 106914,158309
S 146 128 36864 ELLIPSE "States" | 112504,115446 6500 6500
L 147 146 0 TEXT "State Labels" | 112504,115446 1 0 0 "WAIT_RDY\n/7/"
A 148 145 16 TEXT "Actions" | 91825,176461 1 0 0 "HCTxPortReq <= 1'b1;"
I 150 128 0 Builtin Entry | 67068,204814
I 151 128 0 Builtin Exit | 67380,61048
L 159 158 0 TEXT "Labels" | 115163,245109 1 0 0 "HCTxPortWEn"
I 158 0 2 Builtin OutPort | 109163,245109 "" ""
L 157 156 0 TEXT "Labels" | 115440,251139 1 0 0 "HCTxPortData[7:0]"
I 156 0 130 Builtin OutPort | 109440,251139 "" ""
L 155 154 0 TEXT "Labels" | 114837,257571 1 0 0 "HCTxPortCntl[7:0]"
I 154 0 130 Builtin OutPort | 108837,257571 "" ""
W 153 6 0 127 11 BEZIER "Transitions" | 152988,126518 159136,134574 171720,147536 171773,153843\
171826,160150 159742,169266 150997,171704 142252,174142\
120424,175336 108976,175654
I 154 0 130 Builtin OutPort | 108837,257571 "" ""
L 155 154 0 TEXT "Labels" | 114837,257571 1 0 0 "HCTxPortCntl[7:0]"
I 156 0 130 Builtin OutPort | 109440,251139 "" ""
L 157 156 0 TEXT "Labels" | 115440,251139 1 0 0 "HCTxPortData[7:0]"
I 158 0 2 Builtin OutPort | 109163,245109 "" ""
L 159 158 0 TEXT "Labels" | 115163,245109 1 0 0 "HCTxPortWEn"
I 151 128 0 Builtin Exit | 67380,61048
I 150 128 0 Builtin Entry | 67068,204814
A 148 145 16 TEXT "Actions" | 91825,176461 1 0 0 "HCTxPortReq <= 1'b1;"
L 147 146 0 TEXT "State Labels" | 112504,115446 1 0 0 "WAIT_RDY\n/7/"
S 146 128 36864 ELLIPSE "States" | 112504,115446 6500 6500
W 145 128 4096 150 143 BEZIER "Transitions" | 71299,204814 85991,196626 102015,166277 106914,158309
L 144 143 0 TEXT "State Labels" | 110104,152646 1 0 0 "WAIT_GNT\n/6/"
W 173 128 0 137 151 BEZIER "Transitions" | 109732,73984 99784,70853 80467,64179 70519,61048
A 167 88 16 TEXT "Actions" | 75140,165538 1 0 0 "HCTxPortReq <= 1'b1;"
A 166 9 2 TEXT "Actions" | 121180,221292 1 0 0 "HCTxPortCntl <= 8'h00;\nHCTxPortData <= 8'h00;\nHCTxPortWEn <= 1'b0; \nHCTxPortReq <= 1'b0;"
L 165 164 0 TEXT "Labels" | 166587,239893 1 0 0 "HCTxPortReq"
I 164 0 2 Builtin OutPort | 160587,239893 "" ""
L 163 162 0 TEXT "Labels" | 168999,244717 1 0 0 "HCTxPortGnt"
I 162 0 2 Builtin InPort | 162999,244717 "" ""
L 161 160 0 TEXT "Labels" | 117543,239893 1 0 0 "HCTxPortRdy"
I 160 0 2 Builtin InPort | 111543,239893 "" ""
W 174 79 8193 93 122 BEZIER "Transitions" | 74339,66657 90586,60011 118717,43232 134964,36586
C 175 174 0 TEXT "Conditions" | 95181,61437 1 0 0 "directControlEn == 1'b0"
W 174 79 8193 93 122 BEZIER "Transitions" | 74339,66657 90586,60011 118717,43232 134964,36586
I 160 0 2 Builtin InPort | 111543,239893 "" ""
L 161 160 0 TEXT "Labels" | 117543,239893 1 0 0 "HCTxPortRdy"
I 162 0 2 Builtin InPort | 162999,244717 "" ""
L 163 162 0 TEXT "Labels" | 168999,244717 1 0 0 "HCTxPortGnt"
I 164 0 2 Builtin OutPort | 160587,239893 "" ""
L 165 164 0 TEXT "Labels" | 166587,239893 1 0 0 "HCTxPortReq"
A 166 9 2 TEXT "Actions" | 121180,221292 1 0 0 "HCTxPortCntl <= 8'h00;\nHCTxPortData <= 8'h00;\nHCTxPortWEn <= 1'b0; \nHCTxPortReq <= 1'b0;"
A 167 88 16 TEXT "Actions" | 75140,165538 1 0 0 "HCTxPortReq <= 1'b1;"
W 173 128 0 137 151 BEZIER "Transitions" | 109732,73984 99784,70853 80467,64179 70519,61048
A 177 174 16 TEXT "Actions" | 102566,47300 1 0 0 "HCTxPortReq <= 1'b0;"
L 178 179 0 TEXT "Labels" | 63352,249414 1 0 0 "directControlLineState[1:0]"
I 179 0 130 Builtin InPort | 57352,249414 "" ""
L 178 179 0 TEXT "Labels" | 63352,249414 1 0 0 "directControlLineState[1:0]"
A 177 174 16 TEXT "Actions" | 102566,47300 1 0 0 "HCTxPortReq <= 1'b0;"
END
/trunk/RTL/hostController/hostcontroller.v
42,7 → 42,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: hostcontroller.v,v 1.2 2004-12-18 14:36:10 sfielding Exp $
// $Id: hostcontroller.v,v 1.3 2004-12-31 14:40:41 sfielding Exp $
//
// CVS Revision History
//
150,22 → 150,22
end
`CHK_TYPE:
begin
if (transType == `IN_TRANS)
if (transType == `OUTDATA0_TRANS)
begin
NextState_hstCntrl <= `OUT0_WAIT_SP_RDY1;
end
else if (transType == `IN_TRANS)
begin
NextState_hstCntrl <= `IN_WAIT_SP_RDY1;
end
else if (transType == `OUTDATA0_TRANS)
else if (transType == `SETUP_TRANS)
begin
NextState_hstCntrl <= `OUT0_WAIT_SP_RDY1;
NextState_hstCntrl <= `SETUP_HC_WAIT_RDY;
end
else if (transType == `OUTDATA1_TRANS)
begin
NextState_hstCntrl <= `OUT1_WAIT_SP_RDY1;
end
else if (transType == `SETUP_TRANS)
begin
NextState_hstCntrl <= `SETUP_HC_WAIT_RDY;
end
end
`FLAG:
begin
/trunk/RTL/hostController/sendpacket.v
42,7 → 42,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: sendpacket.v,v 1.2 2004-12-18 14:36:10 sfielding Exp $
// $Id: sendpacket.v,v 1.3 2004-12-31 14:40:41 sfielding Exp $
//
// CVS Revision History
//
/trunk/RTL/hostController/hostcontroller.asf
6,7 → 6,7
ENTITY="hostcontroller"
FRAMES=ON
FREEOID=432
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// hostController\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: hostcontroller.asf,v 1.2 2004-12-18 14:36:10 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbHostControl_h.v\"\n`include \"usbConstants_h.v\"\n\n"
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// hostController\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: hostcontroller.asf,v 1.3 2004-12-31 14:40:41 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbHostControl_h.v\"\n`include \"usbConstants_h.v\"\n\n"
END
BUNDLES
B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3333 0 0000 1 "Arial" 0
55,231 → 55,231
GRIDSIZE 0,0 10000,10000
END
OBJECTS
C 285 97 0 TEXT "Conditions" | 92604,187877 1 0 0 "rst"
I 284 0 2 Builtin InPort | 194131,244906 "" ""
L 283 284 0 TEXT "Labels" | 200131,244906 1 0 0 "rst"
I 282 0 3 Builtin InPort | 194091,250840 "" ""
L 281 282 0 TEXT "Labels" | 202539,250534 1 0 0 "clk"
L 274 273 0 TEXT "Labels" | 159907,218602 1 0 0 "getPacketRdy"
I 273 0 130 Builtin InPort | 152377,218908 "" ""
L 272 271 0 TEXT "Labels" | 156136,213642 1 0 0 "getPacketREn"
S 15 6 0 ELLIPSE "States" | 111713,189976 6500 6500
L 14 15 0 TEXT "State Labels" | 111713,189976 1 0 0 "START_HC\n/0/"
L 7 6 0 TEXT "Labels" | 30788,196844 1 0 0 "hstCntrl"
F 6 0 671089152 282 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3000 212900,202584
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 110650,251000 1 0 0 "Module: hostcontroller"
F 6 0 671089152 282 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3000 212900,202584
L 7 6 0 TEXT "Labels" | 30788,196844 1 0 0 "hstCntrl"
L 14 15 0 TEXT "State Labels" | 111713,189976 1 0 0 "START_HC\n/0/"
S 15 6 0 ELLIPSE "States" | 111713,189976 6500 6500
L 272 271 0 TEXT "Labels" | 156136,213642 1 0 0 "getPacketREn"
I 273 0 130 Builtin InPort | 152377,218908 "" ""
L 274 273 0 TEXT "Labels" | 159907,218602 1 0 0 "getPacketRdy"
L 281 282 0 TEXT "Labels" | 202539,250534 1 0 0 "clk"
I 282 0 3 Builtin InPort | 194091,250840 "" ""
L 283 284 0 TEXT "Labels" | 200131,244906 1 0 0 "rst"
I 284 0 2 Builtin InPort | 194131,244906 "" ""
C 285 97 0 TEXT "Conditions" | 92604,187877 1 0 0 "rst"
L 303 304 0 TEXT "State Labels" | 192420,160790 1 0 0 "WAIT_GNT\n/10/"
A 302 83 16 TEXT "Actions" | 136700,161820 1 0 0 "sendPacketArbiterReq <= 1'b1;"
L 301 300 0 TEXT "Labels" | 38804,222186 1 0 0 "sendPacketRdy"
I 300 0 130 Builtin InPort | 31274,222492 "" ""
L 299 298 0 TEXT "Labels" | 34751,217674 1 0 0 "sendPacketWEn"
I 298 0 2 Builtin OutPort | 29102,217674 "" ""
A 296 294 4 TEXT "Actions" | 137744,29936 1 0 0 "transDone <= 1'b0;\nclearTXReq <= 1'b0;"
W 295 6 0 81 294 BEZIER "Transitions" | 118859,46885 118878,43940 119066,38166 119085,35221
S 294 6 53248 ELLIPSE "States" | 119561,28750 6500 6500
L 293 294 0 TEXT "State Labels" | 119561,28750 1 0 0 "FIN\n/9/"
A 291 81 4 TEXT "Actions" | 137367,55613 1 0 0 "transDone <= 1'b1;\nclearTXReq <= 1'b1;\nsendPacketArbiterReq <= 1'b0;"
A 288 15 2 TEXT "Actions" | 133652,198047 1 0 0 "transDone <= 1'b0;\nclearTXReq <= 1'b0;\ngetPacketREn <= 1'b0;\nsendPacketArbiterReq <= 1'b0;\nsendPacketPID <= 4'b0;\nsendPacketWEn <= 1'b0;"
A 291 81 4 TEXT "Actions" | 137367,55613 1 0 0 "transDone <= 1'b1;\nclearTXReq <= 1'b1;\nsendPacketArbiterReq <= 1'b0;"
L 293 294 0 TEXT "State Labels" | 119561,28750 1 0 0 "FIN\n/9/"
S 294 6 53248 ELLIPSE "States" | 119561,28750 6500 6500
W 295 6 0 81 294 BEZIER "Transitions" | 118859,46885 118878,43940 119066,38166 119085,35221
A 296 294 4 TEXT "Actions" | 137744,29936 1 0 0 "transDone <= 1'b0;\nclearTXReq <= 1'b0;"
I 298 0 2 Builtin OutPort | 29102,217674 "" ""
L 299 298 0 TEXT "Labels" | 34751,217674 1 0 0 "sendPacketWEn"
I 300 0 130 Builtin InPort | 31274,222492 "" ""
L 301 300 0 TEXT "Labels" | 38804,222186 1 0 0 "sendPacketRdy"
A 302 83 16 TEXT "Actions" | 136700,161820 1 0 0 "sendPacketArbiterReq <= 1'b1;"
L 303 304 0 TEXT "State Labels" | 192420,160790 1 0 0 "WAIT_GNT\n/10/"
S 47 6 16388 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 99705,96376 6500 6500
L 46 47 0 TEXT "State Labels" | 99705,96376 1 0 0 "IN"
S 45 6 12292 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 49893,95313 6500 6500
L 44 45 0 TEXT "State Labels" | 49893,95313 1 0 0 "SETUP"
S 43 6 8192 ELLIPSE "States" | 112976,136504 6500 6500
L 42 43 0 TEXT "State Labels" | 112976,136504 1 0 0 "CHK_TYPE\n/2/"
S 41 6 4096 ELLIPSE "States" | 112713,167568 6500 6500
L 40 41 0 TEXT "State Labels" | 112713,167263 1 0 0 "TX_REQ\n/1/"
S 304 6 57344 ELLIPSE "States" | 192420,160790 6500 6500
S 319 59 65536 ELLIPSE "States" | 151472,194918 6500 6500
L 318 319 0 TEXT "State Labels" | 151472,194918 1 0 0 "WAIT_IN_SENT\n/12/"
A 311 308 4 TEXT "Actions" | 123760,87560 1 0 0 "getPacketREn <= 1'b0;"
W 310 52 0 404 308 BEZIER "Transitions" | 144157,124978 133481,112866 122805,100754 112129,88642
A 309 110 4 TEXT "Actions" | 44904,115868 1 0 0 "sendPacketWEn <= 1'b0;"
S 308 52 61440 ELLIPSE "States" | 107020,84625 6500 6500
L 307 308 0 TEXT "State Labels" | 107020,84625 1 0 0 "WAIT_PKT_RXED\n/11/"
C 306 305 0 TEXT "Conditions" | 164748,145291 1 0 0 "sendPacketArbiterGnt == 1'b1"
W 305 6 0 304 43 BEZIER "Transitions" | 191002,154450 189652,152125 187950,148225 179100,146987\
170250,145750 137550,145450 128737,144962 119925,144475\
117963,142662 116688,141837
C 306 305 0 TEXT "Conditions" | 164748,145291 1 0 0 "sendPacketArbiterGnt == 1'b1"
L 307 308 0 TEXT "State Labels" | 107020,84625 1 0 0 "WAIT_PKT_RXED\n/11/"
S 308 52 61440 ELLIPSE "States" | 107020,84625 6500 6500
A 309 110 4 TEXT "Actions" | 44904,115868 1 0 0 "sendPacketWEn <= 1'b0;"
W 310 52 0 404 308 BEZIER "Transitions" | 144157,124978 133481,112866 122805,100754 112129,88642
A 311 308 4 TEXT "Actions" | 123760,87560 1 0 0 "getPacketREn <= 1'b0;"
L 318 319 0 TEXT "State Labels" | 151472,194918 1 0 0 "WAIT_IN_SENT\n/12/"
S 319 59 65536 ELLIPSE "States" | 151472,194918 6500 6500
S 304 6 57344 ELLIPSE "States" | 192420,160790 6500 6500
L 40 41 0 TEXT "State Labels" | 112713,167263 1 0 0 "TX_REQ\n/1/"
S 41 6 4096 ELLIPSE "States" | 112713,167568 6500 6500
L 42 43 0 TEXT "State Labels" | 112976,136504 1 0 0 "CHK_TYPE\n/2/"
S 43 6 8192 ELLIPSE "States" | 112976,136504 6500 6500
L 44 45 0 TEXT "State Labels" | 49893,95313 1 0 0 "SETUP"
S 45 6 12292 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 49893,95313 6500 6500
L 46 47 0 TEXT "State Labels" | 99705,96376 1 0 0 "IN"
S 47 6 16388 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 99705,96376 6500 6500
A 322 320 16 TEXT "Actions" | 162913,159521 1 0 0 "getPacketREn <= 1'b1;"
C 321 320 0 TEXT "Conditions" | 124852,185328 1 0 0 "sendPacketRdy == 1'b1"
W 320 59 0 319 150 BEZIER "Transitions" | 155623,189917 168842,179244 176612,152490 174355,142767
H 59 47 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3709 212900,251709
I 56 52 0 Builtin Exit | 155694,46048
I 55 52 0 Builtin Entry | 88756,239499
H 52 45 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,249826
S 51 6 24580 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 186458,96146 6500 6500
L 50 51 0 TEXT "State Labels" | 186458,96146 1 0 0 "OUT1"
L 48 49 0 TEXT "State Labels" | 129168,96024 1 0 0 "OUT0"
S 49 6 20484 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 129168,96024 6500 6500
W 327 66 0 215 390 BEZIER "Transitions" | 55251,240683 83254,240866 100464,243201 128467,243384
L 330 331 0 TEXT "State Labels" | 96476,72804 1 0 0 "WAIT_RX_DATA\n/13/"
S 331 66 69632 ELLIPSE "States" | 96476,72804 6500 6500
W 332 66 0 220 331 BEZIER "Transitions" | 82714,126587 85717,114267 91541,91328 94544,79008
C 333 332 0 TEXT "Conditions" | 48120,123470 1 0 0 "sendPacketRdy == 1'b1"
A 334 332 16 TEXT "Actions" | 87236,105298 1 0 0 "getPacketREn <= 1'b1;"
C 333 332 0 TEXT "Conditions" | 48120,123470 1 0 0 "sendPacketRdy == 1'b1"
W 332 66 0 220 331 BEZIER "Transitions" | 82714,126587 85717,114267 91541,91328 94544,79008
S 331 66 69632 ELLIPSE "States" | 96476,72804 6500 6500
L 330 331 0 TEXT "State Labels" | 96476,72804 1 0 0 "WAIT_RX_DATA\n/13/"
W 327 66 0 215 390 BEZIER "Transitions" | 55251,240683 83254,240866 100464,243201 128467,243384
S 49 6 20484 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 129168,96024 6500 6500
L 48 49 0 TEXT "State Labels" | 129168,96024 1 0 0 "OUT0"
L 50 51 0 TEXT "State Labels" | 186458,96146 1 0 0 "OUT1"
S 51 6 24580 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 186458,96146 6500 6500
H 52 45 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,249826
I 55 52 0 Builtin Entry | 88756,239499
I 56 52 0 Builtin Exit | 155694,46048
H 59 47 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3709 212900,251709
W 320 59 0 319 150 BEZIER "Transitions" | 155623,189917 168842,179244 176612,152490 174355,142767
C 321 320 0 TEXT "Conditions" | 124852,185328 1 0 0 "sendPacketRdy == 1'b1"
A 322 320 16 TEXT "Actions" | 162913,159521 1 0 0 "getPacketREn <= 1'b1;"
W 351 73 0 366 396 BEZIER "Transitions" | 70318,247790 89018,242122 119720,257393 138420,251725
L 350 349 0 TEXT "State Labels" | 114830,71242 1 0 0 "WAIT_RX_DATA\n/26/"
S 349 73 122880 ELLIPSE "States" | 114830,71242 6500 6500
C 348 346 0 TEXT "Conditions" | 66474,121908 1 0 0 "sendPacketRdy == 1'b1"
A 347 346 16 TEXT "Actions" | 105590,103736 1 0 0 "getPacketREn <= 1'b1;"
W 346 73 0 362 349 BEZIER "Transitions" | 101068,125025 104071,112705 109895,89766 112898,77446
C 345 344 0 TEXT "Conditions" | 101416,62024 1 0 0 "getPacketRdy == 1'b1"
H 73 51 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
H 66 49 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,251397
A 336 331 4 TEXT "Actions" | 111860,73393 1 0 0 "getPacketREn <= 1'b0;"
C 337 310 0 TEXT "Conditions" | 139571,117930 1 0 0 "sendPacketRdy == 1'b1"
A 338 310 16 TEXT "Actions" | 120456,106130 1 0 0 "getPacketREn <= 1'b1;"
W 339 52 0 308 56 BEZIER "Transitions" | 110024,78864 116338,69316 134242,47951 152734,46048
C 340 339 0 TEXT "Conditions" | 118224,73426 1 0 0 "getPacketRdy == 1'b1"
A 341 166 4 TEXT "Actions" | 157079,24225 1 0 0 "sendPacketWEn <= 1'b0;"
W 344 66 0 331 216 BEZIER "Transitions" | 97868,66457 100908,59161 105520,44696 108123,41048\
110726,37400 115182,37514 117348,37514
A 341 166 4 TEXT "Actions" | 157079,24225 1 0 0 "sendPacketWEn <= 1'b0;"
C 340 339 0 TEXT "Conditions" | 118224,73426 1 0 0 "getPacketRdy == 1'b1"
W 339 52 0 308 56 BEZIER "Transitions" | 110024,78864 116338,69316 134242,47951 152734,46048
A 338 310 16 TEXT "Actions" | 120456,106130 1 0 0 "getPacketREn <= 1'b1;"
C 337 310 0 TEXT "Conditions" | 139571,117930 1 0 0 "sendPacketRdy == 1'b1"
A 336 331 4 TEXT "Actions" | 111860,73393 1 0 0 "getPacketREn <= 1'b0;"
H 66 49 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,251397
H 73 51 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
L 367 358 0 TEXT "State Labels" | 111590,212057 1 0 0 "WAIT_OUT_SENT\n/27/"
I 366 73 0 Builtin Entry | 66816,246531
I 365 73 0 Builtin Exit | 138662,35952
L 363 362 0 TEXT "State Labels" | 99809,131397 1 0 0 "WAIT_DATA1_SENT\n/28/"
S 362 73 131072 ELLIPSE "States" | 99809,131397 6500 6500
W 361 73 0 358 428 BEZIER "Transitions" | 116309,207589 134815,192456 138465,176391 156971,161258
A 360 349 4 TEXT "Actions" | 131462,81560 1 0 0 "getPacketREn <= 1'b0;"
S 358 73 126976 ELLIPSE "States" | 111590,212057 6500 6500
C 357 356 0 TEXT "Conditions" | 119770,60462 1 0 0 "getPacketRdy == 1'b1"
W 356 73 0 349 365 BEZIER "Transitions" | 116222,64895 119262,57599 123874,43134 126477,39486\
129080,35838 133536,35952 135702,35952
L 80 81 0 TEXT "State Labels" | 119262,53366 1 0 0 "FLAG\n/3/"
S 81 6 28672 ELLIPSE "States" | 118903,53366 6500 6500
W 82 6 0 15 41 BEZIER "Transitions" | 111847,183487 112026,179538 111533,178559 112240,174040
W 83 6 0 41 304 BEZIER "Transitions" | 117910,163666 130378,160682 185875,165903 188529,165995
W 84 6 0 43 45 BEZIER "Transitions" | 107812,132557 93901,134173 58104,123053 54921,99430
W 85 6 0 43 47 BEZIER "Transitions" | 110447,130519 108204,123339 103740,109788 101162,102706
W 86 6 0 43 49 BEZIER "Transitions" | 115060,130351 118111,123351 123579,109006 126630,102006
W 87 6 0 43 51 BEZIER "Transitions" | 118220,132664 143150,136241 175043,109266 180818,99376
W 91 6 0 45 81 BEZIER "Transitions" | 54416,90646 64112,75509 98704,56843 113153,56395
W 92 6 0 47 81 BEZIER "Transitions" | 101355,90092 105711,82326 111806,66998 115844,59100
W 93 6 0 49 81 BEZIER "Transitions" | 127993,89635 125750,82007 122658,67311 120415,59683
W 94 6 0 51 81 BEZIER "Transitions" | 181493,91952 168874,83012 133822,65627 123950,57460
C 345 344 0 TEXT "Conditions" | 101416,62024 1 0 0 "getPacketRdy == 1'b1"
W 346 73 0 362 349 BEZIER "Transitions" | 101068,125025 104071,112705 109895,89766 112898,77446
A 347 346 16 TEXT "Actions" | 105590,103736 1 0 0 "getPacketREn <= 1'b1;"
C 348 346 0 TEXT "Conditions" | 66474,121908 1 0 0 "sendPacketRdy == 1'b1"
S 349 73 122880 ELLIPSE "States" | 114830,71242 6500 6500
L 350 349 0 TEXT "State Labels" | 114830,71242 1 0 0 "WAIT_RX_DATA\n/26/"
W 351 73 0 366 396 BEZIER "Transitions" | 70318,247790 89018,242122 119720,257393 138420,251725
W 95 6 0 294 41 BEZIER "Transitions" | 117484,22592 114800,20099 105581,15162 96803,16522\
88026,17883 53248,36150 43780,48625 34312,61101\
33772,117285 37441,132224 41110,147164 52980,154980\
61012,157537 69044,160095 94076,164012 106263,166770
C 383 381 0 TEXT "Conditions" | 106090,231041 1 0 0 "sendPacketRdy == 1'b1"
A 382 381 16 TEXT "Actions" | 89435,216617 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `IN;"
W 381 59 0 380 407 BEZIER "Transitions" | 147002,227324 124981,219947 108460,208500 86439,201123
S 380 59 86016 ELLIPSE "States" | 153043,229722 6500 6500
L 379 380 0 TEXT "State Labels" | 153043,229722 1 0 0 "WAIT_SP_RDY1\n/17/"
C 378 116 0 TEXT "Conditions" | 53258,169344 1 0 0 "sendPacketRdy == 1'b1"
A 377 375 16 TEXT "Actions" | 157108,200846 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `SETUP;"
C 376 375 0 TEXT "Conditions" | 177072,208441 1 0 0 "sendPacketRdy == 1'b1"
W 375 52 0 373 108 BEZIER "Transitions" | 178623,217239 177647,208722 175975,191756 174999,183239
S 373 52 81920 ELLIPSE "States" | 179395,223686 6500 6500
L 372 373 0 TEXT "State Labels" | 179395,223686 1 0 0 "HC_WAIT_RDY\n/16/"
C 370 361 0 TEXT "Conditions" | 86834,198917 1 0 0 "sendPacketRdy == 1'b1"
A 369 361 16 TEXT "Actions" | 126920,183824 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `DATA1;"
A 368 362 4 TEXT "Actions" | 121320,126002 1 0 0 "sendPacketWEn <= 1'b0;"
I 96 6 0 Builtin Reset | 67359,192312
W 97 6 0 96 15 BEZIER "Transitions" | 67359,192312 76513,189960 96079,191824 105233,189472
C 98 83 0 TEXT "Conditions" | 119681,168185 1 0 0 "transReq == 1'b1"
C 99 87 0 TEXT "Conditions" | 141093,129174 1 0 0 "transType == `OUTDATA1_TRANS"
C 100 84 0 TEXT "Conditions" | 49457,132403 1 0 0 "transType == `SETUP_TRANS"
C 101 86 0 TEXT "Conditions" | 113164,112165 1 0 0 "transType == `OUTDATA0_TRANS"
C 102 85 0 TEXT "Conditions" | 79876,119480 1 0 0 "transType == `IN_TRANS"
L 107 108 0 TEXT "State Labels" | 176450,177268 1 0 0 "CLR_SP_WEN1\n/7/"
S 108 52 45056 ELLIPSE "States" | 174498,176772 6500 6500
L 109 110 0 TEXT "State Labels" | 73617,129595 1 0 0 "CLR_SP_WEN2\n/8/"
S 110 52 49152 ELLIPSE "States" | 73617,129595 6500 6500
W 94 6 0 51 81 BEZIER "Transitions" | 181493,91952 168874,83012 133822,65627 123950,57460
W 93 6 0 49 81 BEZIER "Transitions" | 127993,89635 125750,82007 122658,67311 120415,59683
W 92 6 0 47 81 BEZIER "Transitions" | 101355,90092 105711,82326 111806,66998 115844,59100
W 91 6 0 45 81 BEZIER "Transitions" | 54416,90646 64112,75509 98704,56843 113153,56395
W 87 6 0 43 51 BEZIER "Transitions" | 118220,132664 143150,136241 175043,109266 180818,99376
W 86 6 0 43 49 BEZIER "Transitions" | 115060,130351 118111,123351 123579,109006 126630,102006
W 85 6 0 43 47 BEZIER "Transitions" | 110447,130519 108204,123339 103740,109788 101162,102706
W 84 6 0 43 45 BEZIER "Transitions" | 107812,132557 93901,134173 58104,123053 54921,99430
W 83 6 0 41 304 BEZIER "Transitions" | 117910,163666 130378,160682 185875,165903 188529,165995
W 82 6 0 15 41 BEZIER "Transitions" | 111847,183487 112026,179538 111533,178559 112240,174040
S 81 6 28672 ELLIPSE "States" | 118903,53366 6500 6500
L 80 81 0 TEXT "State Labels" | 119262,53366 1 0 0 "FLAG\n/3/"
W 356 73 0 349 365 BEZIER "Transitions" | 116222,64895 119262,57599 123874,43134 126477,39486\
129080,35838 133536,35952 135702,35952
C 357 356 0 TEXT "Conditions" | 119770,60462 1 0 0 "getPacketRdy == 1'b1"
S 358 73 126976 ELLIPSE "States" | 111590,212057 6500 6500
A 360 349 4 TEXT "Actions" | 131462,81560 1 0 0 "getPacketREn <= 1'b0;"
W 361 73 0 358 428 BEZIER "Transitions" | 116309,207589 134815,192456 138465,176391 156971,161258
S 362 73 131072 ELLIPSE "States" | 99809,131397 6500 6500
L 363 362 0 TEXT "State Labels" | 99809,131397 1 0 0 "WAIT_DATA1_SENT\n/28/"
I 365 73 0 Builtin Exit | 138662,35952
I 366 73 0 Builtin Entry | 66816,246531
L 367 358 0 TEXT "State Labels" | 111590,212057 1 0 0 "WAIT_OUT_SENT\n/27/"
W 371 59 2 152 411 BEZIER "Transitions" | 77326,102234 70334,100866 48368,97525 44264,93687\
40160,89849 37728,77233 37462,69633 37196,62033\
38564,44249 44378,36953 50192,29657 72080,18257\
79528,15331 86976,12405 94012,13028 97964,12876
S 110 52 49152 ELLIPSE "States" | 73617,129595 6500 6500
L 109 110 0 TEXT "State Labels" | 73617,129595 1 0 0 "CLR_SP_WEN2\n/8/"
S 108 52 45056 ELLIPSE "States" | 174498,176772 6500 6500
L 107 108 0 TEXT "State Labels" | 176450,177268 1 0 0 "CLR_SP_WEN1\n/7/"
C 102 85 0 TEXT "Conditions" | 79876,119480 1 0 0 "transType == `IN_TRANS"
C 101 86 0 TEXT "Conditions" | 113164,112165 1 0 0 "transType == `OUTDATA0_TRANS"
C 100 84 0 TEXT "Conditions" | 49457,132403 1 0 0 "transType == `SETUP_TRANS"
C 99 87 0 TEXT "Conditions" | 141093,129174 1 0 0 "transType == `OUTDATA1_TRANS"
C 98 83 0 TEXT "Conditions" | 119681,168185 1 0 0 "transReq == 1'b1"
W 97 6 0 96 15 BEZIER "Transitions" | 67359,192312 76513,189960 96079,191824 105233,189472
I 96 6 0 Builtin Reset | 67359,192312
A 368 362 4 TEXT "Actions" | 121320,126002 1 0 0 "sendPacketWEn <= 1'b0;"
A 369 361 16 TEXT "Actions" | 126920,183824 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `DATA1;"
C 370 361 0 TEXT "Conditions" | 86834,198917 1 0 0 "sendPacketRdy == 1'b1"
L 372 373 0 TEXT "State Labels" | 179395,223686 1 0 0 "HC_WAIT_RDY\n/16/"
S 373 52 81920 ELLIPSE "States" | 179395,223686 6500 6500
W 375 52 0 373 108 BEZIER "Transitions" | 178623,217239 177647,208722 175975,191756 174999,183239
C 376 375 0 TEXT "Conditions" | 177072,208441 1 0 0 "sendPacketRdy == 1'b1"
A 377 375 16 TEXT "Actions" | 157108,200846 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `SETUP;"
C 378 116 0 TEXT "Conditions" | 53258,169344 1 0 0 "sendPacketRdy == 1'b1"
L 379 380 0 TEXT "State Labels" | 153043,229722 1 0 0 "WAIT_SP_RDY1\n/17/"
S 380 59 86016 ELLIPSE "States" | 153043,229722 6500 6500
W 381 59 0 380 407 BEZIER "Transitions" | 147002,227324 124981,219947 108460,208500 86439,201123
A 382 381 16 TEXT "Actions" | 89435,216617 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `IN;"
C 383 381 0 TEXT "Conditions" | 106090,231041 1 0 0 "sendPacketRdy == 1'b1"
W 116 52 0 401 110 BEZIER "Transitions" | 84052,173279 81052,160831 78050,148381 75050,135933
W 115 52 0 55 373 BEZIER "Transitions" | 93011,239499 120749,236025 148029,232551 175767,229077
L 384 385 0 TEXT "State Labels" | 186620,71948 1 0 0 "WAIT_SP_RDY2\n/18/"
S 385 59 90112 ELLIPSE "States" | 186620,71948 6500 6500
W 386 59 0 385 166 BEZIER "Transitions" | 183486,66256 181045,60723 176976,50941 174535,45408
C 387 386 0 TEXT "Conditions" | 146475,66957 1 0 0 "sendPacketRdy == 1'b1"
A 388 386 16 TEXT "Actions" | 170128,59796 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `ACK;"
L 389 390 0 TEXT "State Labels" | 131725,237760 1 0 0 "WAIT_SP_RDY1\n/19/"
S 390 66 94208 ELLIPSE "States" | 131725,237760 6500 6500
W 391 66 0 390 416 BEZIER "Transitions" | 137913,235773 147939,230044 168013,221734 178039,216005
C 392 391 0 TEXT "Conditions" | 141274,239102 1 0 0 "sendPacketRdy == 1'b1"
A 394 391 16 TEXT "Actions" | 145667,230012 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `OUT;"
L 395 396 0 TEXT "State Labels" | 139675,245351 1 0 0 "WAIT_SP_RDY1\n/29/"
S 396 73 135168 ELLIPSE "States" | 139675,245351 6500 6500
W 397 73 0 396 424 BEZIER "Transitions" | 145412,242298 162962,235383 162946,223497 180496,216582
A 398 397 16 TEXT "Actions" | 151875,232674 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `OUT;"
C 399 397 0 TEXT "Conditions" | 153292,243294 1 0 0 "sendPacketRdy == 1'b1"
A 398 397 16 TEXT "Actions" | 151875,232674 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `OUT;"
W 397 73 0 396 424 BEZIER "Transitions" | 145412,242298 162962,235383 162946,223497 180496,216582
S 396 73 135168 ELLIPSE "States" | 139675,245351 6500 6500
L 395 396 0 TEXT "State Labels" | 139675,245351 1 0 0 "WAIT_SP_RDY1\n/29/"
A 394 391 16 TEXT "Actions" | 145667,230012 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `OUT;"
C 392 391 0 TEXT "Conditions" | 141274,239102 1 0 0 "sendPacketRdy == 1'b1"
W 391 66 0 390 416 BEZIER "Transitions" | 137913,235773 147939,230044 168013,221734 178039,216005
S 390 66 94208 ELLIPSE "States" | 131725,237760 6500 6500
L 389 390 0 TEXT "State Labels" | 131725,237760 1 0 0 "WAIT_SP_RDY1\n/19/"
A 388 386 16 TEXT "Actions" | 170128,59796 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `ACK;"
C 387 386 0 TEXT "Conditions" | 146475,66957 1 0 0 "sendPacketRdy == 1'b1"
W 386 59 0 385 166 BEZIER "Transitions" | 183486,66256 181045,60723 176976,50941 174535,45408
S 385 59 90112 ELLIPSE "States" | 186620,71948 6500 6500
L 384 385 0 TEXT "State Labels" | 186620,71948 1 0 0 "WAIT_SP_RDY2\n/18/"
W 115 52 0 55 373 BEZIER "Transitions" | 93011,239499 120749,236025 148029,232551 175767,229077
W 116 52 0 401 110 BEZIER "Transitions" | 84052,173279 81052,160831 78050,148381 75050,135933
L 415 416 0 TEXT "State Labels" | 184376,214561 1 0 0 "CLR_WEN1\n/24/"
C 414 413 0 TEXT "Conditions" | 77700,36125 1 0 0 "sendPacketRdy == 1'b1"
W 413 59 0 410 411 BEZIER "Transitions" | 116936,37395 112774,31799 108046,18472 103884,12876
A 412 407 4 TEXT "Actions" | 63480,178936 1 0 0 "sendPacketWEn <= 1'b0;"
I 411 59 0 Builtin Exit | 100924,12876
S 410 59 110592 ELLIPSE "States" | 120564,42788 6500 6500
L 409 410 0 TEXT "State Labels" | 120564,42788 1 0 0 "WAIT_ACK_SENT\n/23/"
W 408 59 0 407 319 BEZIER "Transitions" | 91076,194837 104710,194652 131341,194917 144975,194732
S 407 59 106496 ELLIPSE "States" | 84577,194898 6500 6500
L 406 407 0 TEXT "State Labels" | 84577,194898 1 0 0 "CLR_SP_WEN1\n/22/"
W 405 52 0 110 404 BEZIER "Transitions" | 80112,129363 96294,128712 126507,129297 142689,128646
S 404 52 102400 ELLIPSE "States" | 149172,129112 6500 6500
L 403 404 0 TEXT "State Labels" | 149172,129112 1 0 0 "WAIT_DATA_SENT\n/21/"
W 402 52 0 108 401 BEZIER "Transitions" | 167999,176830 148562,177853 110448,178550 91011,179573
S 401 52 98304 ELLIPSE "States" | 84514,179756 6500 6500
L 400 401 0 TEXT "State Labels" | 84514,179756 1 0 0 "WAIT_SETUP_SENT\n/20/"
A 128 116 16 TEXT "Actions" | 50284,154444 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `DATA0;"
L 400 401 0 TEXT "State Labels" | 84514,179756 1 0 0 "WAIT_SETUP_SENT\n/20/"
S 401 52 98304 ELLIPSE "States" | 84514,179756 6500 6500
W 402 52 0 108 401 BEZIER "Transitions" | 167999,176830 148562,177853 110448,178550 91011,179573
L 403 404 0 TEXT "State Labels" | 149172,129112 1 0 0 "WAIT_DATA_SENT\n/21/"
S 404 52 102400 ELLIPSE "States" | 149172,129112 6500 6500
W 405 52 0 110 404 BEZIER "Transitions" | 80112,129363 96294,128712 126507,129297 142689,128646
L 406 407 0 TEXT "State Labels" | 84577,194898 1 0 0 "CLR_SP_WEN1\n/22/"
S 407 59 106496 ELLIPSE "States" | 84577,194898 6500 6500
W 408 59 0 407 319 BEZIER "Transitions" | 91076,194837 104710,194652 131341,194917 144975,194732
L 409 410 0 TEXT "State Labels" | 120564,42788 1 0 0 "WAIT_ACK_SENT\n/23/"
S 410 59 110592 ELLIPSE "States" | 120564,42788 6500 6500
I 411 59 0 Builtin Exit | 100924,12876
A 412 407 4 TEXT "Actions" | 63480,178936 1 0 0 "sendPacketWEn <= 1'b0;"
W 413 59 0 410 411 BEZIER "Transitions" | 116936,37395 112774,31799 108046,18472 103884,12876
C 414 413 0 TEXT "Conditions" | 77700,36125 1 0 0 "sendPacketRdy == 1'b1"
L 415 416 0 TEXT "State Labels" | 184376,214561 1 0 0 "CLR_WEN1\n/24/"
S 150 59 32768 ELLIPSE "States" | 169272,138718 6500 6500
L 151 150 0 TEXT "State Labels" | 169272,138718 1 0 0 "WAIT_DATA_RXED\n/4/"
W 154 59 0 147 380 BEZIER "Transitions" | 52529,244510 85659,241682 118331,238852 151461,236024
W 155 59 0 150 152 BEZIER "Transitions" | 164444,143068 113233,163825 88034,130762 85264,109640
L 153 152 0 TEXT "State Labels" | 83733,103326 1 0 0 "CHK_FOR_ERROR\n/5/"
S 152 59 36864 ELLIPSE "States" | 83733,103326 6500 6500
I 147 59 0 Builtin Entry | 48274,244510
S 416 66 114688 ELLIPSE "States" | 184376,214561 6500 6500
A 417 416 4 TEXT "Actions" | 170200,200035 1 0 0 "sendPacketWEn <= 1'b0;"
W 418 66 0 416 213 BEZIER "Transitions" | 177907,213929 158066,213883 119562,213232 99721,213186
L 419 420 0 TEXT "State Labels" | 152255,157300 1 0 0 "CLR_WEN2\n/25/"
S 420 66 118784 ELLIPSE "States" | 152255,157300 6500 6500
A 421 420 4 TEXT "Actions" | 133015,141020 1 0 0 "sendPacketWEn <= 1'b0;"
W 422 66 0 420 220 BEZIER "Transitions" | 146017,155476 130385,151129 102866,140281 87234,135934
L 423 424 0 TEXT "State Labels" | 186239,213540 1 0 0 "CLR_WEN1\n/30/"
S 424 73 139264 ELLIPSE "States" | 186239,213540 6500 6500
A 425 424 4 TEXT "Actions" | 171069,199110 1 0 0 "sendPacketWEn <= 1'b0;"
A 431 428 4 TEXT "Actions" | 145169,147310 1 0 0 "sendPacketWEn <= 1'b0;"
W 429 73 0 428 362 BEZIER "Transitions" | 155810,154454 142213,150199 119040,138892 105443,134637
S 428 73 143360 ELLIPSE "States" | 161819,156930 6500 6500
L 427 428 0 TEXT "State Labels" | 161819,156930 1 0 0 "CLR_WEN2\n/31/"
W 426 73 0 424 358 BEZIER "Transitions" | 179954,211885 169687,210775 150256,207250 142255,207157\
134254,207065 123583,209376 117848,210301
L 427 428 0 TEXT "State Labels" | 161819,156930 1 0 0 "CLR_WEN2\n/31/"
S 428 73 143360 ELLIPSE "States" | 161819,156930 6500 6500
W 429 73 0 428 362 BEZIER "Transitions" | 155810,154454 142213,150199 119040,138892 105443,134637
A 431 428 4 TEXT "Actions" | 145169,147310 1 0 0 "sendPacketWEn <= 1'b0;"
A 425 424 4 TEXT "Actions" | 171069,199110 1 0 0 "sendPacketWEn <= 1'b0;"
S 424 73 139264 ELLIPSE "States" | 186239,213540 6500 6500
L 423 424 0 TEXT "State Labels" | 186239,213540 1 0 0 "CLR_WEN1\n/30/"
W 422 66 0 420 220 BEZIER "Transitions" | 146017,155476 130385,151129 102866,140281 87234,135934
A 421 420 4 TEXT "Actions" | 133015,141020 1 0 0 "sendPacketWEn <= 1'b0;"
S 420 66 118784 ELLIPSE "States" | 152255,157300 6500 6500
L 419 420 0 TEXT "State Labels" | 152255,157300 1 0 0 "CLR_WEN2\n/25/"
W 418 66 0 416 213 BEZIER "Transitions" | 177907,213929 158066,213883 119562,213232 99721,213186
A 417 416 4 TEXT "Actions" | 170200,200035 1 0 0 "sendPacketWEn <= 1'b0;"
S 416 66 114688 ELLIPSE "States" | 184376,214561 6500 6500
I 147 59 0 Builtin Entry | 48274,244510
S 152 59 36864 ELLIPSE "States" | 83733,103326 6500 6500
L 153 152 0 TEXT "State Labels" | 83733,103326 1 0 0 "CHK_FOR_ERROR\n/5/"
W 155 59 0 150 152 BEZIER "Transitions" | 164444,143068 113233,163825 88034,130762 85264,109640
W 154 59 0 147 380 BEZIER "Transitions" | 52529,244510 85659,241682 118331,238852 151461,236024
L 151 150 0 TEXT "State Labels" | 169272,138718 1 0 0 "WAIT_DATA_RXED\n/4/"
S 150 59 32768 ELLIPSE "States" | 169272,138718 6500 6500
C 161 155 0 TEXT "Conditions" | 100044,154159 1 0 0 "getPacketRdy == 1'b1"
A 164 150 4 TEXT "Actions" | 168621,121248 1 0 0 "getPacketREn <= 1'b0;"
L 165 166 0 TEXT "State Labels" | 172827,39140 1 0 0 "CLR_SP_WEN2\n/6/"
S 166 59 40960 ELLIPSE "States" | 172827,39140 6500 6500
W 167 59 1 152 385 BEZIER "Transitions" | 90058,101832 121384,93858 152710,85883 184036,77909
W 169 59 0 166 410 BEZIER "Transitions" | 166354,39725 153254,40876 140152,42028 127052,43179
C 171 167 0 TEXT "Conditions" | 127655,112448 1 0 0 "RXStatus [`HC_CRC_ERROR_BIT] == 1'b0 &&\nRXStatus [`HC_BIT_STUFF_ERROR_BIT] == 1'b0 &&\nRXStatus [`HC_RX_OVERFLOW_BIT] == 1'b0 &&\nRXStatus [`HC_NAK_RXED_BIT] == 1'b0 &&\nRXStatus [`HC_STALL_RXED_BIT] == 1'b0 &&\nRXStatus [`HC_RX_TIME_OUT_BIT] == 1'b0"
W 169 59 0 166 410 BEZIER "Transitions" | 166354,39725 153254,40876 140152,42028 127052,43179
W 167 59 1 152 385 BEZIER "Transitions" | 90058,101832 121384,93858 152710,85883 184036,77909
S 166 59 40960 ELLIPSE "States" | 172827,39140 6500 6500
L 165 166 0 TEXT "State Labels" | 172827,39140 1 0 0 "CLR_SP_WEN2\n/6/"
A 164 150 4 TEXT "Actions" | 168621,121248 1 0 0 "getPacketREn <= 1'b0;"
C 161 155 0 TEXT "Conditions" | 100044,154159 1 0 0 "getPacketRdy == 1'b1"
A 192 108 4 TEXT "Actions" | 170431,157698 1 0 0 "sendPacketWEn <= 1'b0;"
S 213 66 77824 ELLIPSE "States" | 93236,213619 6500 6500
L 214 213 0 TEXT "State Labels" | 93236,213619 1 0 0 "WAIT_OUT_SENT\n/15/"
I 215 66 0 Builtin Entry | 50996,240683
I 216 66 0 Builtin Exit | 120308,37514
S 220 66 73728 ELLIPSE "States" | 81455,132959 6500 6500
L 221 220 0 TEXT "State Labels" | 81455,132959 1 0 0 "WAIT_DATA0_SENT\n/14/"
W 223 66 0 213 420 BEZIER "Transitions" | 98275,209515 120430,193417 124908,177307 147063,161209
L 221 220 0 TEXT "State Labels" | 81455,132959 1 0 0 "WAIT_DATA0_SENT\n/14/"
S 220 66 73728 ELLIPSE "States" | 81455,132959 6500 6500
I 216 66 0 Builtin Exit | 120308,37514
I 215 66 0 Builtin Entry | 50996,240683
L 214 213 0 TEXT "State Labels" | 93236,213619 1 0 0 "WAIT_OUT_SENT\n/15/"
S 213 66 77824 ELLIPSE "States" | 93236,213619 6500 6500
C 229 223 0 TEXT "Conditions" | 70326,202505 1 0 0 "sendPacketRdy == 1'b1"
A 230 223 16 TEXT "Actions" | 103561,186464 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `DATA0;"
A 231 220 4 TEXT "Actions" | 102966,127564 1 0 0 "sendPacketWEn <= 1'b0;"
A 230 223 16 TEXT "Actions" | 103561,186464 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `DATA0;"
C 229 223 0 TEXT "Conditions" | 70326,202505 1 0 0 "sendPacketRdy == 1'b1"
L 255 256 0 TEXT "Labels" | 159868,208391 1 0 0 "RXStatus[7:0]"
I 271 0 2 Builtin OutPort | 150487,213642 "" ""
I 270 0 130 Builtin OutPort | 29066,227064 "" ""
L 269 270 0 TEXT "Labels" | 35066,227064 1 0 0 "sendPacketPID[3:0]"
I 268 0 2 Builtin OutPort | 29318,212721 "" ""
L 267 268 0 TEXT "Labels" | 35669,212721 1 0 0 "sendPacketArbiterReq"
I 266 0 2 Builtin OutPort | 85109,222528 "" ""
L 265 266 0 TEXT "Labels" | 90758,222528 1 0 0 "transDone"
I 264 0 2 Builtin OutPort | 85109,212721 "" ""
L 263 264 0 TEXT "Labels" | 90758,212721 1 0 0 "clearTXReq"
I 261 0 130 Builtin InPort | 31358,207795 "" ""
L 262 261 0 TEXT "Labels" | 39500,207489 1 0 0 "sendPacketArbiterGnt"
L 260 259 0 TEXT "Labels" | 95246,217263 1 0 0 "transType[1:0]"
I 259 0 130 Builtin InPort | 86798,217875 "" ""
L 258 257 0 TEXT "Labels" | 96158,207688 1 0 0 "transReq"
I 257 0 130 Builtin InPort | 87557,207994 "" ""
I 256 0 130 Builtin InPort | 152950,208697 "" ""
I 257 0 130 Builtin InPort | 87557,207994 "" ""
L 258 257 0 TEXT "Labels" | 96158,207688 1 0 0 "transReq"
I 259 0 130 Builtin InPort | 86798,217875 "" ""
L 260 259 0 TEXT "Labels" | 95246,217263 1 0 0 "transType[1:0]"
L 262 261 0 TEXT "Labels" | 39500,207489 1 0 0 "sendPacketArbiterGnt"
I 261 0 130 Builtin InPort | 31358,207795 "" ""
L 263 264 0 TEXT "Labels" | 90758,212721 1 0 0 "clearTXReq"
I 264 0 2 Builtin OutPort | 85109,212721 "" ""
L 265 266 0 TEXT "Labels" | 90758,222528 1 0 0 "transDone"
I 266 0 2 Builtin OutPort | 85109,222528 "" ""
L 267 268 0 TEXT "Labels" | 35669,212721 1 0 0 "sendPacketArbiterReq"
I 268 0 2 Builtin OutPort | 29318,212721 "" ""
L 269 270 0 TEXT "Labels" | 35066,227064 1 0 0 "sendPacketPID[3:0]"
I 270 0 130 Builtin OutPort | 29066,227064 "" ""
I 271 0 2 Builtin OutPort | 150487,213642 "" ""
END
/trunk/RTL/hostController/sendpacket.asf
6,7 → 6,7
ENTITY="sendPacket"
FRAMES=ON
FREEOID=225
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// sendPacket\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: sendpacket.asf,v 1.2 2004-12-18 14:36:10 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n`include \"usbConstants_h.v\"\n\n\n"
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// sendPacket\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: sendpacket.asf,v 1.3 2004-12-31 14:40:41 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n`include \"usbConstants_h.v\"\n\n\n"
END
BUNDLES
B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3333 0 0000 1 "Arial" 0
55,56 → 55,54
GRIDSIZE 0,0 10000,10000
END
OBJECTS
L 15 16 0 TEXT "State Labels" | 112482,123658 1 0 0 "SP_WAIT_GNT\n/2/"
W 14 6 0 9 11 BEZIER "Transitions" | 108829,181945 109138,177774 109593,169949 109902,165778
W 13 6 0 12 9 BEZIER "Transitions" | 74872,202290 82145,199755 95857,193927 103130,191392
I 12 6 0 Builtin Reset | 74872,202290
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 110650,251000 1 0 0 "Module: sendPacket"
A 5 0 1 TEXT "Actions" | 29672,248644 1 0 0 "always @(PID)\nbegin\n PIDNotPID <= { (PID ^ 4'hf), PID };\nend"
F 6 0 671089152 188 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3000 212900,208064
L 7 6 0 TEXT "Labels" | 32660,203132 1 0 0 "sndPkt"
L 8 9 0 TEXT "State Labels" | 108917,188434 1 0 0 "START_SP\n/0/"
S 9 6 0 ELLIPSE "States" | 108917,188434 6500 6500
L 10 11 0 TEXT "State Labels" | 110774,159341 1 0 0 "WAIT_ENABLE\n/1/"
S 11 6 4096 ELLIPSE "States" | 110774,159341 6500 6500
L 10 11 0 TEXT "State Labels" | 110774,159341 1 0 0 "WAIT_ENABLE\n/1/"
S 9 6 0 ELLIPSE "States" | 108917,188434 6500 6500
L 8 9 0 TEXT "State Labels" | 108917,188434 1 0 0 "START_SP\n/0/"
L 7 6 0 TEXT "Labels" | 32660,203132 1 0 0 "sndPkt"
F 6 0 671089152 188 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3000 212900,208064
A 5 0 1 TEXT "Actions" | 29672,248644 1 0 0 "always @(PID)\nbegin\n PIDNotPID <= { (PID ^ 4'hf), PID };\nend"
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 110650,251000 1 0 0 "Module: sendPacket"
I 12 6 0 Builtin Reset | 74872,202290
W 13 6 0 12 9 BEZIER "Transitions" | 74872,202290 82145,199755 95857,193927 103130,191392
W 14 6 0 9 11 BEZIER "Transitions" | 108829,181945 109138,177774 109593,169949 109902,165778
L 15 16 0 TEXT "State Labels" | 112482,123658 1 0 0 "SP_WAIT_GNT\n/2/"
W 30 25 0 28 26 BEZIER "Transitions" | 52150,256695 56357,246454 59660,235429 67946,223821
I 29 25 0 Builtin Exit | 144780,121920
I 28 25 0 Builtin Entry | 48013,256695
L 27 26 0 TEXT "State Labels" | 71510,219091 1 0 0 "WAIT_RDY\n/3/"
S 26 25 16384 ELLIPSE "States" | 71510,218388 6500 6500
H 25 21 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
C 23 22 0 TEXT "Conditions" | 114645,116706 1 0 0 "HCTxPortGnt == 1'b1"
W 22 6 0 16 21 BEZIER "Transitions" | 112482,117158 112791,112755 113134,104869 113443,100466
S 21 6 12292 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 114027,93994 6500 6500
L 20 21 0 TEXT "State Labels" | 114027,93994 1 0 0 "SEND_PID"
A 19 17 16 TEXT "Actions" | 106114,144280 1 0 0 "sendPacketRdy <= 1'b0;\nHCTxPortReq <= 1'b1;"
C 18 17 0 TEXT "Conditions" | 111903,152311 1 0 0 "sendPacketWEn == 1'b1"
W 17 6 0 11 16 BEZIER "Transitions" | 110929,152860 111315,148225 111934,134981 112152,130145
S 16 6 8192 ELLIPSE "States" | 112482,123658 6500 6500
W 17 6 0 11 16 BEZIER "Transitions" | 110929,152860 111315,148225 111934,134981 112152,130145
C 18 17 0 TEXT "Conditions" | 111903,152311 1 0 0 "sendPacketWEn == 1'b1"
A 19 17 16 TEXT "Actions" | 106114,144280 1 0 0 "sendPacketRdy <= 1'b0;\nHCTxPortReq <= 1'b1;"
L 20 21 0 TEXT "State Labels" | 114027,93994 1 0 0 "SEND_PID"
S 21 6 12292 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 114027,93994 6500 6500
W 22 6 0 16 21 BEZIER "Transitions" | 112482,117158 112791,112755 113134,104869 113443,100466
C 23 22 0 TEXT "Conditions" | 114645,116706 1 0 0 "HCTxPortGnt == 1'b1"
H 25 21 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
S 26 25 16384 ELLIPSE "States" | 71510,218388 6500 6500
L 27 26 0 TEXT "State Labels" | 71510,219091 1 0 0 "WAIT_RDY\n/3/"
I 28 25 0 Builtin Entry | 48013,256695
I 29 25 0 Builtin Exit | 144780,121920
W 30 25 0 28 26 BEZIER "Transitions" | 52150,256695 56357,246454 59660,235429 67946,223821
S 47 6 36864 ELLIPSE "States" | 115848,16910 6500 6500
L 46 47 0 TEXT "State Labels" | 115848,16910 1 0 0 "FIN_SP\n/5/"
S 45 6 32772 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 182202,46294 6500 6500
L 44 45 0 TEXT "State Labels" | 182202,46294 1 0 0 "DATA0_DATA1"
S 43 6 28676 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 116148,48718 6500 6500
L 42 43 0 TEXT "State Labels" | 116148,48718 1 0 0 "SEND_SOF"
S 41 6 24580 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 61608,50536 6500 6500
L 40 41 0 TEXT "State Labels" | 61608,50536 1 0 0 "OUT_IN_SETUP"
W 39 25 0 33 29 BEZIER "Transitions" | 78151,174526 94720,161687 125355,134759 141924,121920
A 38 33 4 TEXT "Actions" | 92403,180647 1 0 0 "HCTxPortWEn <= 1'b0;"
A 37 34 16 TEXT "Actions" | 66378,203896 1 0 0 "HCTxPortWEn <= 1'b1;\nHCTxPortData <= PIDNotPID;\nHCTxPortCntl <= `TX_PACKET_START;"
C 36 34 0 TEXT "Conditions" | 74012,211530 1 0 0 "HCTxPortRdy == 1'b1"
W 34 25 0 26 33 BEZIER "Transitions" | 71729,211913 72078,205195 72736,192521 73085,185803
S 33 25 20480 ELLIPSE "States" | 73797,179351 6500 6500
L 32 33 0 TEXT "State Labels" | 73797,179351 1 0 0 "FIN\n/4/"
S 33 25 20480 ELLIPSE "States" | 73797,179351 6500 6500
W 34 25 0 26 33 BEZIER "Transitions" | 71729,211913 72078,205195 72736,192521 73085,185803
C 36 34 0 TEXT "Conditions" | 74012,211530 1 0 0 "HCTxPortRdy == 1'b1"
A 37 34 16 TEXT "Actions" | 66378,203896 1 0 0 "HCTxPortWEn <= 1'b1;\nHCTxPortData <= PIDNotPID;\nHCTxPortCntl <= `TX_PACKET_START;"
A 38 33 4 TEXT "Actions" | 92403,180647 1 0 0 "HCTxPortWEn <= 1'b0;"
W 39 25 0 33 29 BEZIER "Transitions" | 78151,174526 94720,161687 125355,134759 141924,121920
L 40 41 0 TEXT "State Labels" | 61608,50536 1 0 0 "OUT_IN_SETUP"
S 41 6 24580 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 61608,50536 6500 6500
L 42 43 0 TEXT "State Labels" | 116148,48718 1 0 0 "SEND_SOF"
S 43 6 28676 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 116148,48718 6500 6500
L 44 45 0 TEXT "State Labels" | 182202,46294 1 0 0 "DATA0_DATA1"
S 45 6 32772 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 182202,46294 6500 6500
L 46 47 0 TEXT "State Labels" | 115848,16910 1 0 0 "FIN_SP\n/5/"
S 47 6 36864 ELLIPSE "States" | 115848,16910 6500 6500
H 58 43 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,5152 212900,250284
H 51 41 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
W 50 6 8193 21 45 BEZIER "Transitions" | 119411,90353 134284,80236 162142,60327 177015,50210
W 49 6 8194 21 43 BEZIER "Transitions" | 114327,87507 114704,79202 115453,63508 115830,55203
W 48 6 8195 21 41 BEZIER "Transitions" | 108751,90198 97879,81365 77125,63914 66253,55081
W 49 6 8194 21 43 BEZIER "Transitions" | 114327,87507 114704,79202 115453,63508 115830,55203
W 50 6 8193 21 45 BEZIER "Transitions" | 119411,90353 134284,80236 162142,60327 177015,50210
H 51 41 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
H 58 43 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,5152 212900,250284
H 65 45 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,2136 212900,250688
W 72 6 0 43 47 BEZIER "Transitions" | 115763,42237 115763,37783 115825,29310 115340,23379
W 73 6 0 45 47 BEZIER "Transitions" | 176597,43004 162177,38021 135904,25306 121888,19311
W 74 6 0 41 47 BEZIER "Transitions" | 66723,46527 78274,40563 99268,27192 110071,19888
C 79 48 0 TEXT "Conditions" | 70608,88862 1 0 0 "PID == `OUT || \nPID == `IN || \nPID == `SETUP"
A 77 75 16 TEXT "Actions" | 56036,13776 1 0 0 "sendPacketRdy <= 1'b1;\nHCTxPortReq <= 1'b0;"
W 75 6 0 47 11 BEZIER "Transitions" | 110250,13609 107004,12024 101864,9321 93182,8641\
84500,7962 56262,8416 48108,10114 39955,11813\
35575,18155 34480,31669 33386,45184 33386,92900\
111,133 → 109,135
35198,110038 37010,127177 44258,148015 49996,153300\
55734,158585 71438,158887 78535,158887 85632,158887\
97934,159370 104276,159219
A 77 75 16 TEXT "Actions" | 56036,13776 1 0 0 "sendPacketRdy <= 1'b1;\nHCTxPortReq <= 1'b0;"
C 79 48 0 TEXT "Conditions" | 70608,88862 1 0 0 "PID == `OUT || \nPID == `IN || \nPID == `SETUP"
W 74 6 0 41 47 BEZIER "Transitions" | 66723,46527 78274,40563 99268,27192 110071,19888
W 73 6 0 45 47 BEZIER "Transitions" | 176597,43004 162177,38021 135904,25306 121888,19311
W 72 6 0 43 47 BEZIER "Transitions" | 115763,42237 115763,37783 115825,29310 115340,23379
H 65 45 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,2136 212900,250688
L 93 88 0 TEXT "State Labels" | 81976,170168 1 0 0 "WAIT_RDY2\n/7/"
C 92 90 0 TEXT "Conditions" | 78320,216241 1 0 0 "HCTxPortRdy == 1'b1"
A 91 90 16 TEXT "Actions" | 45540,205901 1 0 0 "HCTxPortWEn <= 1'b1;\nHCTxPortData <= {TxEndP[0], TxAddr[6:0]};\nHCTxPortCntl <= `TX_PACKET_STREAM;"
W 90 51 0 85 208 BEZIER "Transitions" | 78120,217817 68387,204329 58654,190839 48921,177351
S 88 51 45056 ELLIPSE "States" | 81668,170476 6500 6500
L 86 85 0 TEXT "State Labels" | 77841,225000 1 0 0 "WAIT_RDY1\n/6/"
S 85 51 40960 ELLIPSE "States" | 77841,224297 6500 6500
I 84 51 0 Builtin Entry | 48374,241112
I 83 51 0 Builtin Exit | 161275,73621
W 82 51 0 84 85 BEZIER "Transitions" | 52254,241112 59748,237410 67242,233708 74736,230006
C 81 50 0 TEXT "Conditions" | 136066,86256 1 0 0 "PID == `DATA0 || PID == `DATA1"
C 80 49 0 TEXT "Conditions" | 97108,72364 1 0 0 "PID == `SOF"
S 94 51 49152 ELLIPSE "States" | 132321,97444 6500 6500
C 80 49 0 TEXT "Conditions" | 97108,72364 1 0 0 "PID == `SOF"
C 81 50 0 TEXT "Conditions" | 136066,86256 1 0 0 "PID == `DATA0 || PID == `DATA1"
W 82 51 0 84 85 BEZIER "Transitions" | 52254,241112 59748,237410 67242,233708 74736,230006
I 83 51 0 Builtin Exit | 161275,73621
I 84 51 0 Builtin Entry | 48374,241112
S 85 51 40960 ELLIPSE "States" | 77841,224297 6500 6500
L 86 85 0 TEXT "State Labels" | 77841,225000 1 0 0 "WAIT_RDY1\n/6/"
S 88 51 45056 ELLIPSE "States" | 81668,170476 6500 6500
W 90 51 0 85 208 BEZIER "Transitions" | 78120,217817 68387,204329 58654,190839 48921,177351
A 91 90 16 TEXT "Actions" | 45540,205901 1 0 0 "HCTxPortWEn <= 1'b1;\nHCTxPortData <= {TxEndP[0], TxAddr[6:0]};\nHCTxPortCntl <= `TX_PACKET_STREAM;"
C 92 90 0 TEXT "Conditions" | 78320,216241 1 0 0 "HCTxPortRdy == 1'b1"
L 93 88 0 TEXT "State Labels" | 81976,170168 1 0 0 "WAIT_RDY2\n/7/"
L 96 94 0 TEXT "State Labels" | 132013,98984 1 0 0 "FIN\n/8/"
W 97 51 0 88 94 BEZIER "Transitions" | 84875,164825 96194,149040 116971,118326 128290,102541
C 102 97 0 TEXT "Conditions" | 92020,160276 1 0 0 "HCTxPortRdy == 1'b1"
A 103 97 16 TEXT "Actions" | 101568,139948 1 0 0 "HCTxPortWEn <= 1'b1;\nHCTxPortData <= {5'b00000, TxEndP[3:1]};\nHCTxPortCntl <= `TX_PACKET_STREAM;"
A 106 94 4 TEXT "Actions" | 149924,100216 1 0 0 "HCTxPortWEn <= 1'b0;"
W 107 51 0 94 83 BEZIER "Transitions" | 136592,92546 142367,87926 152913,78241 158688,73621
S 108 58 53248 ELLIPSE "States" | 147250,59594 6500 6500
W 109 58 0 111 112 BEZIER "Transitions" | 74001,225148 80276,214907 83479,203781 89697,192173
I 110 58 0 Builtin Exit | 176204,35771
I 111 58 0 Builtin Entry | 69864,225148
I 110 58 0 Builtin Exit | 176204,35771
W 109 58 0 111 112 BEZIER "Transitions" | 74001,225148 80276,214907 83479,203781 89697,192173
S 108 58 53248 ELLIPSE "States" | 147250,59594 6500 6500
W 107 51 0 94 83 BEZIER "Transitions" | 136592,92546 142367,87926 152913,78241 158688,73621
A 106 94 4 TEXT "Actions" | 149924,100216 1 0 0 "HCTxPortWEn <= 1'b0;"
A 103 97 16 TEXT "Actions" | 101568,139948 1 0 0 "HCTxPortWEn <= 1'b1;\nHCTxPortData <= {5'b00000, TxEndP[3:1]};\nHCTxPortCntl <= `TX_PACKET_STREAM;"
C 102 97 0 TEXT "Conditions" | 92020,160276 1 0 0 "HCTxPortRdy == 1'b1"
W 97 51 0 88 94 BEZIER "Transitions" | 84875,164825 96194,149040 116971,118326 128290,102541
L 96 94 0 TEXT "State Labels" | 132013,98984 1 0 0 "FIN\n/8/"
S 112 58 57344 ELLIPSE "States" | 92770,186447 6500 6500
L 113 112 0 TEXT "State Labels" | 92770,187150 1 0 0 "WAIT_RDY3\n/10/"
S 114 58 61440 ELLIPSE "States" | 96597,132626 6500 6500
W 116 58 0 112 212 BEZIER "Transitions" | 93049,179967 76928,166181 60805,152395 44684,138609
A 117 116 16 TEXT "Actions" | 41323,167693 1 0 0 "HCTxPortWEn <= 1'b1;\nHCTxPortData <= frameNum[7:0];\nHCTxPortCntl <= `TX_PACKET_STREAM;"
C 118 116 0 TEXT "Conditions" | 57123,179898 1 0 0 "HCTxPortRdy == 1'b1"
L 119 114 0 TEXT "State Labels" | 96905,132318 1 0 0 "WAIT_RDY4\n/11/"
W 120 58 0 108 110 BEZIER "Transitions" | 151521,54696 157296,50076 167573,40391 173348,35771
A 121 108 4 TEXT "Actions" | 164853,62366 1 0 0 "HCTxPortWEn <= 1'b0;\nframeNum <= frameNum + 1'b1;"
W 122 58 0 114 108 BEZIER "Transitions" | 99804,126975 111123,111190 131900,80476 143219,64691
A 123 122 16 TEXT "Actions" | 116497,102098 1 0 0 "HCTxPortWEn <= 1'b1;\nHCTxPortData <= {5'b00000, frameNum[10:8]};\nHCTxPortCntl <= `TX_PACKET_STREAM;"
C 124 122 0 TEXT "Conditions" | 106949,122426 1 0 0 "HCTxPortRdy == 1'b1"
L 125 108 0 TEXT "State Labels" | 146942,61134 1 0 0 "FIN1\n/9/"
I 126 65 0 Builtin Entry | 68558,236856
I 127 65 0 Builtin Exit | 176933,37229
I 126 65 0 Builtin Entry | 68558,236856
L 125 108 0 TEXT "State Labels" | 146942,61134 1 0 0 "FIN1\n/9/"
C 124 122 0 TEXT "Conditions" | 106949,122426 1 0 0 "HCTxPortRdy == 1'b1"
A 123 122 16 TEXT "Actions" | 116497,102098 1 0 0 "HCTxPortWEn <= 1'b1;\nHCTxPortData <= {5'b00000, frameNum[10:8]};\nHCTxPortCntl <= `TX_PACKET_STREAM;"
W 122 58 0 114 108 BEZIER "Transitions" | 99804,126975 111123,111190 131900,80476 143219,64691
A 121 108 4 TEXT "Actions" | 164853,62366 1 0 0 "HCTxPortWEn <= 1'b0;\nframeNum <= frameNum + 1'b1;"
W 120 58 0 108 110 BEZIER "Transitions" | 151521,54696 157296,50076 167573,40391 173348,35771
L 119 114 0 TEXT "State Labels" | 96905,132318 1 0 0 "WAIT_RDY4\n/11/"
C 118 116 0 TEXT "Conditions" | 57123,179898 1 0 0 "HCTxPortRdy == 1'b1"
A 117 116 16 TEXT "Actions" | 41323,167693 1 0 0 "HCTxPortWEn <= 1'b1;\nHCTxPortData <= frameNum[7:0];\nHCTxPortCntl <= `TX_PACKET_STREAM;"
W 116 58 0 112 212 BEZIER "Transitions" | 93049,179967 76928,166181 60805,152395 44684,138609
S 114 58 61440 ELLIPSE "States" | 96597,132626 6500 6500
L 113 112 0 TEXT "State Labels" | 92770,187150 1 0 0 "WAIT_RDY3\n/10/"
S 112 58 57344 ELLIPSE "States" | 92770,186447 6500 6500
W 128 65 0 126 145 BEZIER "Transitions" | 73112,236856 77923,244915 98191,234153 107520,226388
S 136 65 65536 ELLIPSE "States" | 97326,133352 6500 6500
L 137 136 0 TEXT "State Labels" | 97634,134508 1 0 0 "READ_FIFO\n/12/"
W 138 65 0 142 221 BEZIER "Transitions" | 93778,181425 88750,173188 83721,164951 78693,156714
C 139 138 0 TEXT "Conditions" | 93893,178439 1 0 0 "HCTxPortRdy == 1'b1"
A 140 138 16 TEXT "Actions" | 77442,167531 1 0 0 "fifoReadEn <= 1'b1;"
A 141 136 4 TEXT "Actions" | 118498,153974 1 0 0 "HCTxPortWEn <= 1'b1; \nHCTxPortData <= fifoData;\nHCTxPortCntl <= `TX_PACKET_STREAM;"
S 142 65 69632 ELLIPSE "States" | 93499,187905 6500 6500
L 143 142 0 TEXT "State Labels" | 93499,188608 1 0 0 "WAIT_READ_FIFO\n/13/"
S 142 65 69632 ELLIPSE "States" | 93499,187905 6500 6500
A 141 136 4 TEXT "Actions" | 118498,153974 1 0 0 "HCTxPortWEn <= 1'b1; \nHCTxPortData <= fifoData;\nHCTxPortCntl <= `TX_PACKET_STREAM;"
A 140 138 16 TEXT "Actions" | 77442,167531 1 0 0 "fifoReadEn <= 1'b1;"
C 139 138 0 TEXT "Conditions" | 93893,178439 1 0 0 "HCTxPortRdy == 1'b1"
W 138 65 0 142 221 BEZIER "Transitions" | 93778,181425 88750,173188 83721,164951 78693,156714
L 137 136 0 TEXT "State Labels" | 97634,134508 1 0 0 "READ_FIFO\n/12/"
S 136 65 65536 ELLIPSE "States" | 97326,133352 6500 6500
W 128 65 0 126 145 BEZIER "Transitions" | 73112,236856 77923,244915 98191,234153 107520,226388
L 144 145 0 TEXT "State Labels" | 111719,222145 1 0 0 "FIFO_EMPTY\n/14/"
S 145 65 73728 ELLIPSE "States" | 112500,222212 6500 6500
W 146 65 8193 145 142 BEZIER "Transitions" | 109258,216579 105891,210391 99971,199802 96604,193614
C 148 146 0 TEXT "Conditions" | 110699,212736 1 0 0 "fifoEmpty == 1'b0"
S 152 65 77824 ELLIPSE "States" | 63416,66086 6500 6500
L 153 152 0 TEXT "State Labels" | 63724,65778 1 0 0 "FIN\n/15/"
W 154 65 0 158 152 BEZIER "Transitions" | 59808,113432 60157,106714 62272,79249 62621,72531
C 155 154 0 TEXT "Conditions" | 61533,111844 1 0 0 "HCTxPortRdy == 1'b1"
A 156 154 16 TEXT "Actions" | 58975,105373 1 0 0 "//Last byte is not valid data, \n//but the 'TX_PACKET_STOP' flag is required \n//by the SIE state machine to detect end of data packet\nHCTxPortWEn <= 1'b1;\nHCTxPortData <= 8'h00;\nHCTxPortCntl <= `TX_PACKET_STOP;"
A 157 152 4 TEXT "Actions" | 82022,67382 1 0 0 "HCTxPortWEn <= 1'b0;"
S 158 65 81920 ELLIPSE "States" | 59589,119907 6500 6500
L 159 158 0 TEXT "State Labels" | 59589,120610 1 0 0 "TERM_BYTE\n/16/"
S 158 65 81920 ELLIPSE "States" | 59589,119907 6500 6500
A 157 152 4 TEXT "Actions" | 82022,67382 1 0 0 "HCTxPortWEn <= 1'b0;"
A 156 154 16 TEXT "Actions" | 58975,105373 1 0 0 "//Last byte is not valid data, \n//but the 'TX_PACKET_STOP' flag is required \n//by the SIE state machine to detect end of data packet\nHCTxPortWEn <= 1'b1;\nHCTxPortData <= 8'h00;\nHCTxPortCntl <= `TX_PACKET_STOP;"
C 155 154 0 TEXT "Conditions" | 61533,111844 1 0 0 "HCTxPortRdy == 1'b1"
W 154 65 0 158 152 BEZIER "Transitions" | 59808,113432 60157,106714 62272,79249 62621,72531
L 153 152 0 TEXT "State Labels" | 63724,65778 1 0 0 "FIN\n/15/"
S 152 65 77824 ELLIPSE "States" | 63416,66086 6500 6500
C 148 146 0 TEXT "Conditions" | 110699,212736 1 0 0 "fifoEmpty == 1'b0"
W 146 65 8193 145 142 BEZIER "Transitions" | 109258,216579 105891,210391 99971,199802 96604,193614
S 145 65 73728 ELLIPSE "States" | 112500,222212 6500 6500
L 144 145 0 TEXT "State Labels" | 111719,222145 1 0 0 "FIFO_EMPTY\n/14/"
I 175 0 2 Builtin OutPort | 155450,237706 "" ""
L 174 173 0 TEXT "Labels" | 41299,213676 1 0 0 "PID[3:0]"
I 173 0 130 Builtin InPort | 35299,213676 "" ""
L 172 171 0 TEXT "Labels" | 39427,218968 1 0 0 "sendPacketRdy"
I 171 0 2 Builtin OutPort | 33427,218968 "" ""
I 170 0 2 Builtin InPort | 35414,224168 "" ""
L 169 170 0 TEXT "Labels" | 41414,224168 1 0 0 "sendPacketWEn"
I 168 0 2 Builtin OutPort | 99800,215222 "" ""
L 167 168 0 TEXT "Labels" | 105800,214970 1 0 0 "fifoReadEn"
L 166 165 0 TEXT "Labels" | 108007,220336 1 0 0 "fifoData[7:0]"
I 165 0 130 Builtin InPort | 102007,220336 "" ""
I 164 0 2 Builtin InPort | 101978,225284 "" ""
L 163 164 0 TEXT "Labels" | 107978,225284 1 0 0 "fifoEmpty"
W 160 65 8194 145 158 BEZIER "Transitions" | 106145,220849 94342,218470 70892,213593 64258,206319\
57625,199045 54697,174705 54514,164091 54331,153478\
57228,135338 58326,126280
W 162 65 0 152 127 BEZIER "Transitions" | 69206,63133 84852,58192 113349,46697 126570,43677\
139792,40658 161594,38692 165369,38074 169145,37457\
170179,37688 173765,37229
W 160 65 8194 145 158 BEZIER "Transitions" | 106145,220849 94342,218470 70892,213593 64258,206319\
57625,199045 54697,174705 54514,164091 54331,153478\
57228,135338 58326,126280
L 163 164 0 TEXT "Labels" | 107978,225284 1 0 0 "fifoEmpty"
I 164 0 2 Builtin InPort | 101978,225284 "" ""
I 165 0 130 Builtin InPort | 102007,220336 "" ""
L 166 165 0 TEXT "Labels" | 108007,220336 1 0 0 "fifoData[7:0]"
L 167 168 0 TEXT "Labels" | 105800,214970 1 0 0 "fifoReadEn"
I 168 0 2 Builtin OutPort | 99800,215222 "" ""
L 169 170 0 TEXT "Labels" | 41414,224168 1 0 0 "sendPacketWEn"
I 170 0 2 Builtin InPort | 35414,224168 "" ""
I 171 0 2 Builtin OutPort | 33427,218968 "" ""
L 172 171 0 TEXT "Labels" | 39427,218968 1 0 0 "sendPacketRdy"
I 173 0 130 Builtin InPort | 35299,213676 "" ""
L 174 173 0 TEXT "Labels" | 41299,213676 1 0 0 "PID[3:0]"
I 175 0 2 Builtin OutPort | 155450,237706 "" ""
L 176 175 0 TEXT "Labels" | 161450,237706 1 0 0 "HCTxPortReq"
I 177 0 2 Builtin InPort | 157583,232918 "" ""
L 178 177 0 TEXT "Labels" | 163583,232918 1 0 0 "HCTxPortGnt"
L 179 180 0 TEXT "Labels" | 161564,228002 1 0 0 "HCTxPortWEn"
I 180 0 2 Builtin OutPort | 155564,228002 "" ""
I 181 0 2 Builtin InPort | 158231,223036 "" ""
L 182 181 0 TEXT "Labels" | 164231,223036 1 0 0 "HCTxPortRdy"
I 183 0 130 Builtin OutPort | 156035,218266 "" ""
L 184 183 0 TEXT "Labels" | 162035,218266 1 0 0 "HCTxPortData[7:0]"
I 185 0 130 Builtin OutPort | 156179,213226 "" ""
L 186 185 0 TEXT "Labels" | 162179,213226 1 0 0 "HCTxPortCntl[7:0]"
L 187 188 0 TEXT "Labels" | 204206,245948 1 0 0 "clk"
I 188 0 3 Builtin InPort | 198206,245948 "" ""
I 189 0 2 Builtin InPort | 198532,251890 "" ""
L 190 189 0 TEXT "Labels" | 204532,251890 1 0 0 "rst"
C 191 13 0 TEXT "Conditions" | 86196,196179 1 0 0 "rst"
L 190 189 0 TEXT "Labels" | 204532,251890 1 0 0 "rst"
I 189 0 2 Builtin InPort | 198532,251890 "" ""
I 188 0 3 Builtin InPort | 198206,245948 "" ""
L 187 188 0 TEXT "Labels" | 204206,245948 1 0 0 "clk"
L 186 185 0 TEXT "Labels" | 162179,213226 1 0 0 "HCTxPortCntl[7:0]"
I 185 0 130 Builtin OutPort | 156179,213226 "" ""
L 184 183 0 TEXT "Labels" | 162035,218266 1 0 0 "HCTxPortData[7:0]"
I 183 0 130 Builtin OutPort | 156035,218266 "" ""
L 182 181 0 TEXT "Labels" | 164231,223036 1 0 0 "HCTxPortRdy"
I 181 0 2 Builtin InPort | 158231,223036 "" ""
I 180 0 2 Builtin OutPort | 155564,228002 "" ""
L 179 180 0 TEXT "Labels" | 161564,228002 1 0 0 "HCTxPortWEn"
L 178 177 0 TEXT "Labels" | 163583,232918 1 0 0 "HCTxPortGnt"
I 177 0 2 Builtin InPort | 157583,232918 "" ""
L 176 175 0 TEXT "Labels" | 161450,237706 1 0 0 "HCTxPortReq"
L 207 208 0 TEXT "State Labels" | 49136,170872 1 0 0 "CLR_WEN1\n/17/"
I 195 0 128 Builtin Signal | 35000,231468 "" ""
L 194 195 0 TEXT "Labels" | 38000,231468 1 0 0 "PIDNotPID[7:0]"
A 192 9 2 TEXT "Actions" | 127282,199550 1 0 0 "sendPacketRdy <= 1'b1;\nfifoReadEn <= 1'b0;\nHCTxPortData <= 8'h00;\nHCTxPortCntl <= 8'h00;\nHCTxPortWEn <= 1'b0;\nHCTxPortReq <= 1'b0;\nframeNum <= 11'h000;"
L 198 199 0 TEXT "Labels" | 107972,241240 1 0 0 "TxEndP[3:0]"
I 199 0 130 Builtin InPort | 101972,241240 "" ""
L 200 201 0 TEXT "Labels" | 107760,245904 1 0 0 "TxAddr[6:0]"
I 201 0 130 Builtin InPort | 101760,245904 "" ""
L 202 203 0 TEXT "Labels" | 108204,236768 1 0 0 "frameNum[10:0]"
I 203 0 130 Builtin OutPort | 102204,236768 "" ""
W 206 6 8196 21 47 BEZIER "Transitions" | 107587,94872 93331,94377 65340,95755 56776,92141\
48213,88528 42471,75064 41184,67490 39897,59917\
40491,43087 47668,36800 54846,30514 82962,22198\
91674,19921 100386,17644 105983,17263 109349,16867
I 203 0 130 Builtin OutPort | 102204,236768 "" ""
L 202 203 0 TEXT "Labels" | 108204,236768 1 0 0 "frameNum[10:0]"
I 201 0 130 Builtin InPort | 101760,245904 "" ""
L 200 201 0 TEXT "Labels" | 107760,245904 1 0 0 "TxAddr[6:0]"
I 199 0 130 Builtin InPort | 101972,241240 "" ""
L 198 199 0 TEXT "Labels" | 107972,241240 1 0 0 "TxEndP[3:0]"
A 192 9 2 TEXT "Actions" | 127282,199550 1 0 0 "sendPacketRdy <= 1'b1;\nfifoReadEn <= 1'b0;\nHCTxPortData <= 8'h00;\nHCTxPortCntl <= 8'h00;\nHCTxPortWEn <= 1'b0;\nHCTxPortReq <= 1'b0;\nframeNum <= 11'h000;"
L 194 195 0 TEXT "Labels" | 38000,231468 1 0 0 "PIDNotPID[7:0]"
I 195 0 128 Builtin Signal | 35000,231468 "" ""
A 222 221 4 TEXT "Actions" | 87635,159320 1 0 0 "fifoReadEn <= 1'b0;"
S 221 65 98304 ELLIPSE "States" | 78550,150235 6500 6500
L 220 221 0 TEXT "State Labels" | 78550,150235 1 0 0 "CLR_REN\n/20/"
A 214 212 4 TEXT "Actions" | 31918,111920 1 0 0 "HCTxPortWEn <= 1'b0;"
W 213 58 0 212 114 BEZIER "Transitions" | 51053,131425 61250,131326 79973,131757 90170,131658
S 212 58 90112 ELLIPSE "States" | 44590,132116 6500 6500
L 211 212 0 TEXT "State Labels" | 44590,132116 1 0 0 "CLR_WEN1\n/18/"
A 210 208 4 TEXT "Actions" | 32522,149110 1 0 0 "HCTxPortWEn <= 1'b0;"
W 209 51 0 208 88 BEZIER "Transitions" | 55635,170844 60887,170743 69917,170662 75169,170561
S 208 51 86016 ELLIPSE "States" | 49136,170872 6500 6500
L 215 216 0 TEXT "State Labels" | 163722,122754 1 0 0 "CLR_WEN\n/19/"
S 216 65 94208 ELLIPSE "States" | 163722,122754 6500 6500
A 217 216 4 TEXT "Actions" | 149694,110062 1 0 0 "HCTxPortWEn <= 1'b0;"
W 218 65 0 136 216 BEZIER "Transitions" | 103645,131833 117756,130581 143219,125185 157330,123933
L 207 208 0 TEXT "State Labels" | 49136,170872 1 0 0 "CLR_WEN1\n/17/"
W 219 65 0 216 145 BEZIER "Transitions" | 169535,125660 177050,126578 189941,130186 195034,132816\
200128,135446 205472,144130 205681,151728 205890,159327\
201380,181037 194241,189595 187102,198154 163054,210680\
152909,214312 142764,217944 127179,220153 118913,221155
W 218 65 0 136 216 BEZIER "Transitions" | 103645,131833 117756,130581 143219,125185 157330,123933
A 217 216 4 TEXT "Actions" | 149694,110062 1 0 0 "HCTxPortWEn <= 1'b0;"
S 216 65 94208 ELLIPSE "States" | 163722,122754 6500 6500
L 215 216 0 TEXT "State Labels" | 163722,122754 1 0 0 "CLR_WEN\n/19/"
S 208 51 86016 ELLIPSE "States" | 49136,170872 6500 6500
W 209 51 0 208 88 BEZIER "Transitions" | 55635,170844 60887,170743 69917,170662 75169,170561
A 210 208 4 TEXT "Actions" | 32522,149110 1 0 0 "HCTxPortWEn <= 1'b0;"
L 211 212 0 TEXT "State Labels" | 44590,132116 1 0 0 "CLR_WEN1\n/18/"
S 212 58 90112 ELLIPSE "States" | 44590,132116 6500 6500
W 213 58 0 212 114 BEZIER "Transitions" | 51053,131425 61250,131326 79973,131757 90170,131658
A 214 212 4 TEXT "Actions" | 31918,111920 1 0 0 "HCTxPortWEn <= 1'b0;"
L 220 221 0 TEXT "State Labels" | 78550,150235 1 0 0 "CLR_REN\n/20/"
S 221 65 98304 ELLIPSE "States" | 78550,150235 6500 6500
A 222 221 4 TEXT "Actions" | 87635,159320 1 0 0 "fifoReadEn <= 1'b0;"
W 224 65 0 221 136 BEZIER "Transitions" | 83283,145781 86048,143806 89994,139951 92759,137976
END
/trunk/RTL/hostController/sendpacketcheckpreamble.v
42,7 → 42,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: sendpacketcheckpreamble.v,v 1.2 2004-12-18 14:36:11 sfielding Exp $
// $Id: sendpacketcheckpreamble.v,v 1.3 2004-12-31 14:40:41 sfielding Exp $
//
// CVS Revision History
//
/trunk/RTL/hostController/hctxportarbiter.asf
6,7 → 6,7
ENTITY="HCTxPortArbiter"
FRAMES=ON
FREEOID=101
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// hctxPortArbiter\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: hctxportarbiter.asf,v 1.2 2004-12-18 14:36:09 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n"
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// hctxPortArbiter\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: hctxportarbiter.asf,v 1.3 2004-12-31 14:40:41 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n"
END
BUNDLES
B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3333 0 0000 1 "Arial" 0
31,96 → 31,94
GRIDSIZE 5000,5000 10000,10000
END
OBJECTS
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 97950,543100 1 0 0 "Module: HCTxPortArbiter"
F 6 0 671089152 41 0 RECT 0,0,0 0 0 1 255,255,255 0 | 138680,277900 323180,412945
L 7 6 0 TEXT "Labels" | 153720,399520 1 0 0 "HCTxArb"
S 8 6 0 ELLIPSE "States" | 225591,395370 6500 6500
L 9 8 0 TEXT "State Labels" | 225591,395370 1 0 0 "START_HARB\n/0/"
S 10 6 4096 ELLIPSE "States" | 224972,365039 6500 6500
L 11 10 0 TEXT "State Labels" | 224972,363653 1 0 0 "WAIT_REQ\n/1/"
S 12 6 8192 ELLIPSE "States" | 191859,293613 6500 6500
L 13 12 0 TEXT "State Labels" | 191859,293613 1 0 0 "SEND_SOF\n/2/"
S 14 6 12288 ELLIPSE "States" | 269063,296392 6500 6500
L 15 14 0 TEXT "State Labels" | 269063,296392 1 0 0 "SEND_PACKET\n/3/"
S 14 6 12288 ELLIPSE "States" | 269063,296392 6500 6500
L 13 12 0 TEXT "State Labels" | 191859,293613 1 0 0 "SEND_SOF\n/2/"
S 12 6 8192 ELLIPSE "States" | 191859,293613 6500 6500
L 11 10 0 TEXT "State Labels" | 224972,363653 1 0 0 "WAIT_REQ\n/1/"
S 10 6 4096 ELLIPSE "States" | 224972,365039 6500 6500
L 9 8 0 TEXT "State Labels" | 225591,395370 1 0 0 "START_HARB\n/0/"
S 8 6 0 ELLIPSE "States" | 225591,395370 6500 6500
L 7 6 0 TEXT "Labels" | 153720,399520 1 0 0 "HCTxArb"
F 6 0 671089152 41 0 RECT 0,0,0 0 0 1 255,255,255 0 | 138680,277900 323180,412945
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 97950,543100 1 0 0 "Module: HCTxPortArbiter"
C 31 27 0 TEXT "Conditions" | 272024,315171 1 0 0 "sendPacketReq == 1'b0"
C 30 28 0 TEXT "Conditions" | 155052,298962 1 0 0 "SOFCntlReq == 1'b0"
A 29 28 16 TEXT "Actions" | 161739,369899 1 0 0 "SOFCntlGnt <= 1'b0;"
I 16 6 0 Builtin Reset | 178237,395710
W 17 6 0 16 8 BEZIER "Transitions" | 178237,395710 187522,391937 210052,391894 219337,393602
W 18 6 0 8 10 BEZIER "Transitions" | 225224,388894 225070,384414 224938,376011 224784,371531
W 19 6 2 10 14 BEZIER "Transitions" | 229757,360641 236477,355079 258220,315910 265438,301787
W 20 6 1 10 12 BEZIER "Transitions" | 219884,360995 214322,355742 203672,314353 193976,299756
C 21 20 0 TEXT "Conditions" | 185611,358255 1 0 0 "SOFCntlReq == 1'b1"
C 22 19 0 TEXT "Conditions" | 235353,358515 1 0 0 "sendPacketReq == 1'b1"
A 23 19 16 TEXT "Actions" | 233291,339940 1 0 0 "sendPacketGnt <= 1'b1;\nmuxCntl <= `SEND_PACKET_MUX;"
A 24 20 16 TEXT "Actions" | 172116,340566 1 0 0 "SOFCntlGnt <= 1'b1;\nmuxCntl <= `SOF_CTRL_MUX;"
A 25 8 2 TEXT "Actions" | 255918,407981 1 0 0 "SOFCntlGnt <= 1'b0;\nsendPacketGnt <= 1'b0;\ndirectCntlGnt <= 1'b0;\nmuxCntl <= 2'b00;"
C 26 17 0 TEXT "Conditions" | 201742,391978 1 0 0 "rst"
W 27 6 0 14 10 BEZIER "Transitions" | 272129,302121 294143,322021 288020,346232 288403,352802\
288786,359372 287077,371461 282417,376909 277757,382357\
274547,381487 268775,381564 263003,381642 254872,381366\
248267,378971 241663,376577 234289,371557 230118,369008
W 28 6 0 12 10 BEZIER "Transitions" | 186560,297376 167155,311353 168429,333163 167686,340659\
166944,348155 168507,364217 173450,370590 178394,376963\
186275,384997 193806,383684 201338,382371 213515,373400\
220004,369229
W 27 6 0 14 10 BEZIER "Transitions" | 272129,302121 294143,322021 288020,346232 288403,352802\
288786,359372 287077,371461 282417,376909 277757,382357\
274547,381487 268775,381564 263003,381642 254872,381366\
248267,378971 241663,376577 234289,371557 230118,369008
C 26 17 0 TEXT "Conditions" | 201742,391978 1 0 0 "rst"
A 25 8 2 TEXT "Actions" | 255918,407981 1 0 0 "SOFCntlGnt <= 1'b0;\nsendPacketGnt <= 1'b0;\ndirectCntlGnt <= 1'b0;\nmuxCntl <= 2'b00;"
A 24 20 16 TEXT "Actions" | 172116,340566 1 0 0 "SOFCntlGnt <= 1'b1;\nmuxCntl <= `SOF_CTRL_MUX;"
A 23 19 16 TEXT "Actions" | 233291,339940 1 0 0 "sendPacketGnt <= 1'b1;\nmuxCntl <= `SEND_PACKET_MUX;"
C 22 19 0 TEXT "Conditions" | 235353,358515 1 0 0 "sendPacketReq == 1'b1"
C 21 20 0 TEXT "Conditions" | 185611,358255 1 0 0 "SOFCntlReq == 1'b1"
W 20 6 1 10 12 BEZIER "Transitions" | 219884,360995 214322,355742 203672,314353 193976,299756
W 19 6 2 10 14 BEZIER "Transitions" | 229757,360641 236477,355079 258220,315910 265438,301787
W 18 6 0 8 10 BEZIER "Transitions" | 225224,388894 225070,384414 224938,376011 224784,371531
W 17 6 0 16 8 BEZIER "Transitions" | 178237,395710 187522,391937 210052,391894 219337,393602
I 16 6 0 Builtin Reset | 178237,395710
A 29 28 16 TEXT "Actions" | 161739,369899 1 0 0 "SOFCntlGnt <= 1'b0;"
C 30 28 0 TEXT "Conditions" | 155052,298962 1 0 0 "SOFCntlReq == 1'b0"
C 31 27 0 TEXT "Conditions" | 272024,315171 1 0 0 "sendPacketReq == 1'b0"
A 32 27 16 TEXT "Actions" | 268756,371179 1 0 0 "sendPacketGnt <= 1'b0;"
I 33 0 2 Builtin OutPort | 117425,484940 "" ""
L 34 33 0 TEXT "Labels" | 123425,484940 1 0 0 "SOFCntlGnt"
I 37 0 2 Builtin OutPort | 164033,485851 "" ""
L 38 37 0 TEXT "Labels" | 170033,485851 1 0 0 "sendPacketGnt"
I 39 0 2 Builtin InPort | 197412,542480 "" ""
L 40 39 0 TEXT "Labels" | 203412,542480 1 0 0 "rst"
I 41 0 3 Builtin InPort | 197495,536936 "" ""
I 44 0 130 Builtin InPort | 166169,499499 "" ""
L 45 44 0 TEXT "Labels" | 172169,499499 1 0 0 "sendPacketData[7:0]"
L 36 35 0 TEXT "Labels" | 170373,457796 1 0 0 "HCTxPortWEnable"
I 35 0 2 Builtin OutPort | 164373,457796 "" ""
L 36 35 0 TEXT "Labels" | 170373,457796 1 0 0 "HCTxPortWEnable"
L 45 44 0 TEXT "Labels" | 172169,499499 1 0 0 "sendPacketData[7:0]"
I 44 0 130 Builtin InPort | 166169,499499 "" ""
I 41 0 3 Builtin InPort | 197495,536936 "" ""
L 40 39 0 TEXT "Labels" | 203412,542480 1 0 0 "rst"
I 39 0 2 Builtin InPort | 197412,542480 "" ""
L 38 37 0 TEXT "Labels" | 170033,485851 1 0 0 "sendPacketGnt"
I 37 0 2 Builtin OutPort | 164033,485851 "" ""
L 34 33 0 TEXT "Labels" | 123425,484940 1 0 0 "SOFCntlGnt"
I 33 0 2 Builtin OutPort | 117425,484940 "" ""
A 32 27 16 TEXT "Actions" | 268756,371179 1 0 0 "sendPacketGnt <= 1'b0;"
I 48 0 2 Builtin InPort | 120008,489821 "" ""
L 49 48 0 TEXT "Labels" | 126008,489821 1 0 0 "SOFCntlWEn"
I 52 0 2 Builtin InPort | 165981,490639 "" ""
L 53 52 0 TEXT "Labels" | 171981,490639 1 0 0 "sendPacketWEn"
A 54 0 1 TEXT "Actions" | 25211,394555 1 0 0 "// SOFController/directContol/sendPacket mux\nalways @(muxCntl or SOFCntlWEn or SOFCntlData or SOFCntlCntl or\n directCntlWEn or directCntlData or directCntlCntl or\n directCntlWEn or directCntlData or directCntlCntl or\n sendPacketWEn or sendPacketData or sendPacketCntl)\nbegin\ncase (muxCntl)\n `SOF_CTRL_MUX :\n begin \n HCTxPortWEnable <= SOFCntlWEn;\n HCTxPortData <= SOFCntlData;\n HCTxPortCntl <= SOFCntlCntl;\n end\n `DIRECT_CTRL_MUX :\n begin \n HCTxPortWEnable <= directCntlWEn;\n HCTxPortData <= directCntlData;\n HCTxPortCntl <= directCntlCntl;\n end\n `SEND_PACKET_MUX :\n begin \n HCTxPortWEnable <= sendPacketWEn;\n HCTxPortData <= sendPacketData;\n HCTxPortCntl <= sendPacketCntl;\n end\n default :\n begin \n HCTxPortWEnable <= 1'b0;\n HCTxPortData <= 8'h00;\n HCTxPortCntl <= 8'h00;\n end\nendcase \nend"
I 55 0 2 Builtin InPort | 119812,480347 "" ""
I 56 0 2 Builtin InPort | 166286,481063 "" ""
L 57 56 0 TEXT "Labels" | 172286,481063 1 0 0 "sendPacketReq"
L 60 55 0 TEXT "Labels" | 125812,480347 1 0 0 "SOFCntlReq"
L 61 41 0 TEXT "Labels" | 203495,536936 1 0 0 "clk"
I 62 0 130 Builtin InPort | 166256,495120 "" ""
L 63 62 0 TEXT "Labels" | 172256,495120 1 0 0 "sendPacketCntl[7:0]"
L 59 58 0 TEXT "Labels" | 170296,453278 1 0 0 "HCTxPortData[7:0]"
I 58 0 130 Builtin OutPort | 164296,453278 "" ""
L 59 58 0 TEXT "Labels" | 170296,453278 1 0 0 "HCTxPortData[7:0]"
L 63 62 0 TEXT "Labels" | 172256,495120 1 0 0 "sendPacketCntl[7:0]"
I 62 0 130 Builtin InPort | 166256,495120 "" ""
L 61 41 0 TEXT "Labels" | 203495,536936 1 0 0 "clk"
L 60 55 0 TEXT "Labels" | 125812,480347 1 0 0 "SOFCntlReq"
L 57 56 0 TEXT "Labels" | 172286,481063 1 0 0 "sendPacketReq"
I 56 0 2 Builtin InPort | 166286,481063 "" ""
I 55 0 2 Builtin InPort | 119812,480347 "" ""
A 54 0 1 TEXT "Actions" | 25211,394555 1 0 0 "// SOFController/directContol/sendPacket mux\nalways @(muxCntl or SOFCntlWEn or SOFCntlData or SOFCntlCntl or\n directCntlWEn or directCntlData or directCntlCntl or\n directCntlWEn or directCntlData or directCntlCntl or\n sendPacketWEn or sendPacketData or sendPacketCntl)\nbegin\ncase (muxCntl)\n `SOF_CTRL_MUX :\n begin \n HCTxPortWEnable <= SOFCntlWEn;\n HCTxPortData <= SOFCntlData;\n HCTxPortCntl <= SOFCntlCntl;\n end\n `DIRECT_CTRL_MUX :\n begin \n HCTxPortWEnable <= directCntlWEn;\n HCTxPortData <= directCntlData;\n HCTxPortCntl <= directCntlCntl;\n end\n `SEND_PACKET_MUX :\n begin \n HCTxPortWEnable <= sendPacketWEn;\n HCTxPortData <= sendPacketData;\n HCTxPortCntl <= sendPacketCntl;\n end\n default :\n begin \n HCTxPortWEnable <= 1'b0;\n HCTxPortData <= 8'h00;\n HCTxPortCntl <= 8'h00;\n end\nendcase \nend"
L 53 52 0 TEXT "Labels" | 171981,490639 1 0 0 "sendPacketWEn"
I 52 0 2 Builtin InPort | 165981,490639 "" ""
L 49 48 0 TEXT "Labels" | 126008,489821 1 0 0 "SOFCntlWEn"
I 48 0 2 Builtin InPort | 120008,489821 "" ""
I 68 0 130 Builtin InPort | 119837,494606 "" ""
L 69 68 0 TEXT "Labels" | 125837,494606 1 0 0 "SOFCntlCntl[7:0]"
I 70 0 130 Builtin InPort | 119737,499229 "" ""
L 71 70 0 TEXT "Labels" | 125737,499229 1 0 0 "SOFCntlData[7:0]"
L 72 73 0 TEXT "Labels" | 144050,542882 1 0 0 "SEND_PACKET_MUX=2'b00"
I 73 0 263 Builtin Constant | 141050,542882 "" I "" ""
L 74 75 0 TEXT "Labels" | 144050,538259 1 0 0 "SOF_CTRL_MUX=2'b01"
I 75 0 263 Builtin Constant | 141050,538259 "" I "" ""
I 76 0 263 Builtin Constant | 140950,533626 "" I "" ""
L 77 76 0 TEXT "Labels" | 143950,533626 1 0 0 "DIRECT_CTRL_MUX=2'b10"
I 78 0 2 Builtin OutPort | 117944,457060 "" ""
L 79 78 0 TEXT "Labels" | 123944,457060 1 0 0 "directCntlGnt"
L 67 66 0 TEXT "Labels" | 170124,471556 1 0 0 "HCTxPortCntl[7:0]"
I 66 0 130 Builtin OutPort | 164124,471556 "" ""
L 67 66 0 TEXT "Labels" | 170124,471556 1 0 0 "HCTxPortCntl[7:0]"
L 79 78 0 TEXT "Labels" | 123944,457060 1 0 0 "directCntlGnt"
I 78 0 2 Builtin OutPort | 117944,457060 "" ""
L 77 76 0 TEXT "Labels" | 143950,533626 1 0 0 "DIRECT_CTRL_MUX=2'b10"
I 76 0 263 Builtin Constant | 140950,533626 "" I "" ""
I 75 0 263 Builtin Constant | 141050,538259 "" I "" ""
L 74 75 0 TEXT "Labels" | 144050,538259 1 0 0 "SOF_CTRL_MUX=2'b01"
I 73 0 263 Builtin Constant | 141050,542882 "" I "" ""
L 72 73 0 TEXT "Labels" | 144050,542882 1 0 0 "SEND_PACKET_MUX=2'b00"
L 71 70 0 TEXT "Labels" | 125737,499229 1 0 0 "SOFCntlData[7:0]"
I 70 0 130 Builtin InPort | 119737,499229 "" ""
L 69 68 0 TEXT "Labels" | 125837,494606 1 0 0 "SOFCntlCntl[7:0]"
I 68 0 130 Builtin InPort | 119837,494606 "" ""
I 80 0 2 Builtin InPort | 120331,452467 "" ""
L 81 80 0 TEXT "Labels" | 126331,452467 1 0 0 "directCntlReq"
I 82 0 2 Builtin InPort | 120527,461941 "" ""
L 83 82 0 TEXT "Labels" | 126527,461941 1 0 0 "directCntlWEn"
I 84 0 130 Builtin InPort | 120256,471349 "" ""
L 85 84 0 TEXT "Labels" | 126256,471349 1 0 0 "directCntlData[7:0]"
I 86 0 130 Builtin InPort | 120356,466726 "" ""
L 87 86 0 TEXT "Labels" | 126356,466726 1 0 0 "directCntlCntl[7:0]"
L 88 89 0 TEXT "Labels" | 144050,528812 1 0 0 "muxCntl[1:0]"
I 89 0 130 Builtin Signal | 141050,528812 "" ""
L 90 91 0 TEXT "State Labels" | 230314,289948 1 0 0 "DIRECT_CONTROL\n/4/"
S 91 6 16384 ELLIPSE "States" | 230314,289948 6500 6500
W 92 6 8195 10 91 BEZIER "Transitions" | 225187,358573 226192,342895 228547,312073 229552,296395
C 94 92 0 TEXT "Conditions" | 216646,319294 1 0 0 "directCntlReq == 1'b1"
A 95 92 16 TEXT "Actions" | 205993,310852 1 0 0 "directCntlGnt <= 1'b1;\nmuxCntl <= `DIRECT_CTRL_MUX;"
C 94 92 0 TEXT "Conditions" | 216646,319294 1 0 0 "directCntlReq == 1'b1"
W 92 6 8195 10 91 BEZIER "Transitions" | 225187,358573 226192,342895 228547,312073 229552,296395
S 91 6 16384 ELLIPSE "States" | 230314,289948 6500 6500
L 90 91 0 TEXT "State Labels" | 230314,289948 1 0 0 "DIRECT_CONTROL\n/4/"
I 89 0 130 Builtin Signal | 141050,528812 "" ""
L 88 89 0 TEXT "Labels" | 144050,528812 1 0 0 "muxCntl[1:0]"
L 87 86 0 TEXT "Labels" | 126356,466726 1 0 0 "directCntlCntl[7:0]"
I 86 0 130 Builtin InPort | 120356,466726 "" ""
L 85 84 0 TEXT "Labels" | 126256,471349 1 0 0 "directCntlData[7:0]"
I 84 0 130 Builtin InPort | 120256,471349 "" ""
L 83 82 0 TEXT "Labels" | 126527,461941 1 0 0 "directCntlWEn"
I 82 0 2 Builtin InPort | 120527,461941 "" ""
L 81 80 0 TEXT "Labels" | 126331,452467 1 0 0 "directCntlReq"
I 80 0 2 Builtin InPort | 120331,452467 "" ""
A 98 96 16 TEXT "Actions" | 290172,290128 1 0 0 "directCntlGnt <= 1'b0;"
C 97 96 0 TEXT "Conditions" | 246245,286904 1 0 0 "directCntlReq == 1'b0"
W 96 6 0 91 10 BEZIER "Transitions" | 235538,286081 238258,285074 242316,283075 251081,282571\
259846,282068 289467,282068 298484,284234 307501,286400\
313949,295065 315460,307759 316972,320453 316568,362568\
127,4 → 125,6
311430,375060 306292,387553 286404,388600 275724,388298\
265045,387996 242215,385739 236069,382112 229924,378486\
228216,373858 227209,371138
C 97 96 0 TEXT "Conditions" | 246245,286904 1 0 0 "directCntlReq == 1'b0"
A 98 96 16 TEXT "Actions" | 290172,290128 1 0 0 "directCntlGnt <= 1'b0;"
END
/trunk/RTL/hostController/sendpacketarbiter.v
42,7 → 42,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: sendpacketarbiter.v,v 1.2 2004-12-18 14:36:10 sfielding Exp $
// $Id: sendpacketarbiter.v,v 1.3 2004-12-31 14:40:41 sfielding Exp $
//
// CVS Revision History
//
/trunk/RTL/hostController/sofcontroller.v
42,7 → 42,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: sofcontroller.v,v 1.2 2004-12-18 14:36:11 sfielding Exp $
// $Id: sofcontroller.v,v 1.3 2004-12-31 14:40:41 sfielding Exp $
//
// CVS Revision History
//
/trunk/RTL/hostController/sendpacketcheckpreamble.asf
6,7 → 6,7
ENTITY="sendPacketCheckPreamble"
FRAMES=ON
FREEOID=153
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// sendpacketcheckpreamble\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: sendpacketcheckpreamble.asf,v 1.2 2004-12-18 14:36:10 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbConstants_h.v\"\n"
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// sendpacketcheckpreamble\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: sendpacketcheckpreamble.asf,v 1.3 2004-12-31 14:40:41 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbConstants_h.v\"\n"
END
BUNDLES
B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3333 0 0000 1 "Arial" 0
43,108 → 43,108
GRIDSIZE 0,0 10000,10000
END
OBJECTS
W 15 6 0 14 9 BEZIER "Transitions" | 71492,195262 80777,191644 101181,191110 110466,187492
I 14 6 0 Builtin Reset | 71492,195262
S 13 6 4096 ELLIPSE "States" | 115726,124058 6500 6500
L 12 13 0 TEXT "State Labels" | 116053,124712 1 0 0 "CHK_PREAM\n/2/"
S 11 6 0 ELLIPSE "States" | 116345,155008 6500 6500
L 10 11 0 TEXT "State Labels" | 116345,155008 1 0 0 "SPC_WAIT_EN\n/0/"
L 7 6 0 TEXT "Labels" | 30898,204697 1 0 0 "sendPktCP"
F 6 0 671089152 141 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3000 212900,207642
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 99275,247750 1 0 0 "Module: sendPacketCheckPreamble"
L 8 9 0 TEXT "State Labels" | 116345,184720 1 0 0 "START_SPC\n/1/"
S 9 6 0 ELLIPSE "States" | 116345,184720 6500 6500
L 8 9 0 TEXT "State Labels" | 116345,184720 1 0 0 "START_SPC\n/1/"
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 99275,247750 1 0 0 "Module: sendPacketCheckPreamble"
F 6 0 671089152 141 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3000 212900,207642
L 7 6 0 TEXT "Labels" | 30898,204697 1 0 0 "sendPktCP"
L 10 11 0 TEXT "State Labels" | 116345,155008 1 0 0 "SPC_WAIT_EN\n/0/"
S 11 6 0 ELLIPSE "States" | 116345,155008 6500 6500
L 12 13 0 TEXT "State Labels" | 116053,124712 1 0 0 "CHK_PREAM\n/2/"
S 13 6 4096 ELLIPSE "States" | 115726,124058 6500 6500
I 14 6 0 Builtin Reset | 71492,195262
W 15 6 0 14 9 BEZIER "Transitions" | 71492,195262 80777,191644 101181,191110 110466,187492
L 31 32 0 TEXT "State Labels" | 57151,91032 1 0 0 "PREAM_PKT"
C 22 21 0 TEXT "Conditions" | 65936,121144 1 0 0 "preAmbleEnable == 1'b1"
W 21 6 8193 13 32 BEZIER "Transitions" | 110607,120054 106899,116733 72529,98135 62376,94411
C 18 17 0 TEXT "Conditions" | 117735,147915 1 0 0 "sendPacketCPWEn == 1'b1"
W 17 6 0 11 13 BEZIER "Transitions" | 116183,148530 115952,143895 116120,135190 115889,130555
W 16 6 0 9 11 BEZIER "Transitions" | 116203,178222 116126,173974 116185,165745 116108,161497
W 17 6 0 11 13 BEZIER "Transitions" | 116183,148530 115952,143895 116120,135190 115889,130555
C 18 17 0 TEXT "Conditions" | 117735,147915 1 0 0 "sendPacketCPWEn == 1'b1"
W 21 6 8193 13 32 BEZIER "Transitions" | 110607,120054 106899,116733 72529,98135 62376,94411
C 22 21 0 TEXT "Conditions" | 65936,121144 1 0 0 "preAmbleEnable == 1'b1"
L 31 32 0 TEXT "State Labels" | 57151,91032 1 0 0 "PREAM_PKT"
L 47 42 0 TEXT "State Labels" | 88281,184091 1 0 0 "SND_PREAM\n/3/"
C 46 44 0 TEXT "Conditions" | 90495,228129 1 0 0 "sendPacketRdy == 1'b1"
A 45 44 16 TEXT "Actions" | 74811,210616 1 0 0 "fullSpeedBitRate <= 1'b1;\nfullSpeedPolarity <= 1'b1;\ngrabLineControl <= 1'b1;"
W 44 33 0 51 42 BEZIER "Transitions" | 84887,226737 85645,222776 87076,194213 87756,190564
S 42 33 12288 ELLIPSE "States" | 88281,184091 6500 6500
W 39 33 0 68 37 BEZIER "Transitions" | 95534,53084 101453,45264 180021,53114 185941,45293
W 38 33 0 36 51 BEZIER "Transitions" | 63477,258101 69037,250316 70846,246959 79547,237634
I 37 33 0 Builtin Exit | 189069,45293
I 36 33 0 Builtin Entry | 59261,258101
H 33 32 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
S 32 6 8196 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 56824,91032 6500 6500
H 33 32 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
I 36 33 0 Builtin Entry | 59261,258101
I 37 33 0 Builtin Exit | 189069,45293
W 38 33 0 36 51 BEZIER "Transitions" | 63477,258101 69037,250316 70846,246959 79547,237634
W 39 33 0 68 37 BEZIER "Transitions" | 95534,53084 101453,45264 180021,53114 185941,45293
S 42 33 12288 ELLIPSE "States" | 88281,184091 6500 6500
W 44 33 0 51 42 BEZIER "Transitions" | 84887,226737 85645,222776 87076,194213 87756,190564
A 45 44 16 TEXT "Actions" | 74811,210616 1 0 0 "fullSpeedBitRate <= 1'b1;\nfullSpeedPolarity <= 1'b1;\ngrabLineControl <= 1'b1;"
C 46 44 0 TEXT "Conditions" | 90495,228129 1 0 0 "sendPacketRdy == 1'b1"
L 47 42 0 TEXT "State Labels" | 88281,184091 1 0 0 "SND_PREAM\n/3/"
C 63 62 0 TEXT "Conditions" | 93181,145786 1 0 0 "sendPacketRdy == 1'b1"
W 62 33 0 55 60 BEZIER "Transitions" | 89225,146684 89301,143318 91477,99456 91230,95807
L 61 60 0 TEXT "State Labels" | 91408,89327 1 0 0 "SND_PID\n/6/"
S 60 33 24576 ELLIPSE "States" | 91408,89327 6500 6500
A 59 56 16 TEXT "Actions" | 87075,172050 1 0 0 "sendPacketWEn <= 1'b0;"
A 57 42 4 TEXT "Actions" | 105975,186050 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `PREAMBLE;"
W 56 33 0 42 55 BEZIER "Transitions" | 88167,177623 88080,173073 88382,164186 88295,159636
S 55 33 20480 ELLIPSE "States" | 88650,153150 6500 6500
L 54 55 0 TEXT "State Labels" | 88650,153150 1 0 0 "WAIT_RDY2\n/5/"
L 52 51 0 TEXT "State Labels" | 84300,233201 1 0 0 "WAIT_RDY1\n/4/"
S 51 33 16384 ELLIPSE "States" | 84300,233201 6500 6500
L 52 51 0 TEXT "State Labels" | 84300,233201 1 0 0 "WAIT_RDY1\n/4/"
L 54 55 0 TEXT "State Labels" | 88650,153150 1 0 0 "WAIT_RDY2\n/5/"
S 55 33 20480 ELLIPSE "States" | 88650,153150 6500 6500
W 56 33 0 42 55 BEZIER "Transitions" | 88167,177623 88080,173073 88382,164186 88295,159636
A 57 42 4 TEXT "Actions" | 105975,186050 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `PREAMBLE;"
A 59 56 16 TEXT "Actions" | 87075,172050 1 0 0 "sendPacketWEn <= 1'b0;"
S 60 33 24576 ELLIPSE "States" | 91408,89327 6500 6500
L 61 60 0 TEXT "State Labels" | 91408,89327 1 0 0 "SND_PID\n/6/"
W 62 33 0 55 60 BEZIER "Transitions" | 89225,146684 89301,143318 91477,99456 91230,95807
C 63 62 0 TEXT "Conditions" | 93181,145786 1 0 0 "sendPacketRdy == 1'b1"
L 69 68 0 TEXT "State Labels" | 91777,58386 1 0 0 "WAIT_RDY3\n/7/"
S 68 33 28672 ELLIPSE "States" | 91777,58386 6500 6500
A 67 60 4 TEXT "Actions" | 109102,91286 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= sendPacketCPPID;"
A 66 65 16 TEXT "Actions" | 90202,77286 1 0 0 "sendPacketWEn <= 1'b0;"
W 65 33 0 60 68 BEZIER "Transitions" | 91294,82859 91207,78309 91509,69422 91422,64872
A 64 62 16 TEXT "Actions" | 78524,125856 1 0 0 "fullSpeedBitRate <= 1'b1;"
A 72 39 16 TEXT "Actions" | 141267,52580 1 0 0 "grabLineControl <= 1'b0;"
C 73 39 0 TEXT "Conditions" | 97529,56755 1 0 0 "sendPacketRdy == 1'b1"
L 74 75 0 TEXT "Labels" | 35624,223586 1 0 0 "grabLineControl"
I 75 0 2 Builtin OutPort | 29624,223586 "" ""
L 76 77 0 TEXT "Labels" | 37072,218796 1 0 0 "fullSpeedPolarity"
I 77 0 2 Builtin OutPort | 29360,218796 "" ""
L 78 79 0 TEXT "Labels" | 35397,214093 1 0 0 "fullSpeedBitRate"
I 79 0 2 Builtin OutPort | 29397,214093 "" ""
L 78 79 0 TEXT "Labels" | 35397,214093 1 0 0 "fullSpeedBitRate"
I 77 0 2 Builtin OutPort | 29360,218796 "" ""
L 76 77 0 TEXT "Labels" | 37072,218796 1 0 0 "fullSpeedPolarity"
I 75 0 2 Builtin OutPort | 29624,223586 "" ""
L 74 75 0 TEXT "Labels" | 35624,223586 1 0 0 "grabLineControl"
C 73 39 0 TEXT "Conditions" | 97529,56755 1 0 0 "sendPacketRdy == 1'b1"
A 72 39 16 TEXT "Actions" | 141267,52580 1 0 0 "grabLineControl <= 1'b0;"
A 64 62 16 TEXT "Actions" | 78524,125856 1 0 0 "fullSpeedBitRate <= 1'b1;"
W 65 33 0 60 68 BEZIER "Transitions" | 91294,82859 91207,78309 91509,69422 91422,64872
A 66 65 16 TEXT "Actions" | 90202,77286 1 0 0 "sendPacketWEn <= 1'b0;"
A 67 60 4 TEXT "Actions" | 109102,91286 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= sendPacketCPPID;"
S 68 33 28672 ELLIPSE "States" | 91777,58386 6500 6500
L 69 68 0 TEXT "State Labels" | 91777,58386 1 0 0 "WAIT_RDY3\n/7/"
L 84 85 0 TEXT "Labels" | 37234,242140 1 0 0 "sendPacketCPWEn"
I 85 0 2 Builtin InPort | 31234,242140 "" ""
L 86 87 0 TEXT "Labels" | 37564,247430 1 0 0 "sendPacketCPPID[3:0]"
I 87 0 130 Builtin InPort | 31564,247430 "" ""
L 90 91 0 TEXT "Labels" | 145129,219071 1 0 0 "sendPacketWEn"
I 91 0 2 Builtin OutPort | 139129,219071 "" ""
L 92 93 0 TEXT "Labels" | 145050,213623 1 0 0 "sendPacketPID[3:0]"
I 93 0 130 Builtin OutPort | 139050,213623 "" ""
L 94 95 0 TEXT "State Labels" | 171474,95500 1 0 0 "REG_PKT"
S 95 6 32772 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 171474,95500 6500 6500
L 88 89 0 TEXT "Labels" | 35117,236671 1 0 0 "sendPacketCPReady"
I 89 0 2 Builtin OutPort | 29117,236671 "" ""
L 88 89 0 TEXT "Labels" | 35117,236671 1 0 0 "sendPacketCPReady"
S 95 6 32772 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 171474,95500 6500 6500
L 94 95 0 TEXT "State Labels" | 171474,95500 1 0 0 "REG_PKT"
I 93 0 130 Builtin OutPort | 139050,213623 "" ""
L 92 93 0 TEXT "Labels" | 145050,213623 1 0 0 "sendPacketPID[3:0]"
I 91 0 2 Builtin OutPort | 139129,219071 "" ""
L 90 91 0 TEXT "Labels" | 145129,219071 1 0 0 "sendPacketWEn"
I 87 0 130 Builtin InPort | 31564,247430 "" ""
L 86 87 0 TEXT "Labels" | 37564,247430 1 0 0 "sendPacketCPPID[3:0]"
I 85 0 2 Builtin InPort | 31234,242140 "" ""
L 84 85 0 TEXT "Labels" | 37234,242140 1 0 0 "sendPacketCPWEn"
W 96 6 8194 13 95 BEZIER "Transitions" | 121433,120948 133123,115553 154096,104038 165786,98643
H 98 95 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
I 105 98 0 Builtin Entry | 69392,262686
I 106 98 0 Builtin Exit | 199200,49878
W 107 98 0 105 114 BEZIER "Transitions" | 73608,262686 79168,254901 80977,251544 89678,242219
S 109 98 36864 ELLIPSE "States" | 98412,188676 6500 6500
W 110 98 0 114 109 BEZIER "Transitions" | 95018,231322 95776,227361 97207,198798 97887,195149
S 109 98 36864 ELLIPSE "States" | 98412,188676 6500 6500
W 107 98 0 105 114 BEZIER "Transitions" | 73608,262686 79168,254901 80977,251544 89678,242219
I 106 98 0 Builtin Exit | 199200,49878
I 105 98 0 Builtin Entry | 69392,262686
H 98 95 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
W 96 6 8194 13 95 BEZIER "Transitions" | 121433,120948 133123,115553 154096,104038 165786,98643
C 112 110 0 TEXT "Conditions" | 100626,232714 1 0 0 "sendPacketRdy == 1'b1"
L 113 109 0 TEXT "State Labels" | 98412,188676 1 0 0 "SEND_PID\n/8/"
S 114 98 40960 ELLIPSE "States" | 94431,237786 6500 6500
L 115 114 0 TEXT "State Labels" | 94431,237786 1 0 0 "WAIT_RDY1\n/9/"
S 116 98 45056 ELLIPSE "States" | 98781,157735 6500 6500
L 117 116 0 TEXT "State Labels" | 98781,157735 1 0 0 "WAIT_RDY\n/10/"
W 118 98 0 109 116 BEZIER "Transitions" | 98298,182208 98211,177658 98513,168771 98426,164221
A 119 109 4 TEXT "Actions" | 116106,190635 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= sendPacketCPPID;"
W 123 98 0 116 106 BEZIER "Transitions" | 99210,151256 92796,151029 166679,67985 196072,49878
A 119 109 4 TEXT "Actions" | 116106,190635 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= sendPacketCPPID;"
W 118 98 0 109 116 BEZIER "Transitions" | 98298,182208 98211,177658 98513,168771 98426,164221
L 117 116 0 TEXT "State Labels" | 98781,157735 1 0 0 "WAIT_RDY\n/10/"
S 116 98 45056 ELLIPSE "States" | 98781,157735 6500 6500
L 115 114 0 TEXT "State Labels" | 94431,237786 1 0 0 "WAIT_RDY1\n/9/"
S 114 98 40960 ELLIPSE "States" | 94431,237786 6500 6500
L 113 109 0 TEXT "State Labels" | 98412,188676 1 0 0 "SEND_PID\n/8/"
C 112 110 0 TEXT "Conditions" | 100626,232714 1 0 0 "sendPacketRdy == 1'b1"
I 143 0 2 Builtin InPort | 192551,245909 "" ""
L 142 143 0 TEXT "Labels" | 198551,245909 1 0 0 "rst"
I 141 0 3 Builtin InPort | 193053,251257 "" ""
L 140 141 0 TEXT "Labels" | 199053,251257 1 0 0 "clk"
A 133 17 16 TEXT "Actions" | 115300,141513 1 0 0 "sendPacketCPReady <= 1'b0;"
L 134 135 0 TEXT "State Labels" | 115950,65625 1 0 0 "READY\n/11/"
S 135 6 49152 ELLIPSE "States" | 116600,65625 6500 6500
A 136 135 4 TEXT "Actions" | 135450,67738 1 0 0 "sendPacketCPReady <= 1'b1;"
W 137 6 0 32 135 BEZIER "Transitions" | 62376,87653 75051,82778 97748,72523 110423,67648
W 138 6 0 95 135 BEZIER "Transitions" | 165830,92278 154699,86672 133369,74464 122238,68858
W 139 6 0 135 11 BEZIER "Transitions" | 114963,59339 113907,57389 112456,53925 103681,52747\
94907,51569 61918,50756 52575,52503 43232,54250\
38843,62050 37706,72734 36569,83418 36406,118357\
40062,129609 43718,140862 58507,150938 67687,153172\
76868,155407 98883,155302 109851,154734
W 138 6 0 95 135 BEZIER "Transitions" | 165830,92278 154699,86672 133369,74464 122238,68858
W 137 6 0 32 135 BEZIER "Transitions" | 62376,87653 75051,82778 97748,72523 110423,67648
A 136 135 4 TEXT "Actions" | 135450,67738 1 0 0 "sendPacketCPReady <= 1'b1;"
S 135 6 49152 ELLIPSE "States" | 116600,65625 6500 6500
L 134 135 0 TEXT "State Labels" | 115950,65625 1 0 0 "READY\n/11/"
A 133 17 16 TEXT "Actions" | 115300,141513 1 0 0 "sendPacketCPReady <= 1'b0;"
L 140 141 0 TEXT "Labels" | 199053,251257 1 0 0 "clk"
I 141 0 3 Builtin InPort | 193053,251257 "" ""
L 142 143 0 TEXT "Labels" | 198551,245909 1 0 0 "rst"
I 143 0 2 Builtin InPort | 192551,245909 "" ""
I 151 0 2 Builtin InPort | 95904,234688 "" ""
L 150 151 0 TEXT "Labels" | 101904,234688 1 0 0 "preAmbleEnable"
K 149 75 0 TEXT "Comments" | 60868,223364 1 0 0 "mux select"
L 148 147 0 TEXT "Labels" | 147295,224322 1 0 0 "sendPacketRdy"
I 147 0 2 Builtin InPort | 141295,224322 "" ""
C 144 15 0 TEXT "Conditions" | 95870,191427 1 0 0 "rst"
A 145 9 2 TEXT "Actions" | 136081,193747 1 0 0 "sendPacketWEn <= 1'b0;\nsendPacketPID <= 4'b0;\nfullSpeedBitRate <= 1'b0;\nfullSpeedPolarity <= 1'b0;\ngrabLineControl <= 1'b0;\nsendPacketCPReady <= 1'b1;"
A 152 116 4 TEXT "Actions" | 116610,159800 1 0 0 "sendPacketWEn <= 1'b0;"
A 145 9 2 TEXT "Actions" | 136081,193747 1 0 0 "sendPacketWEn <= 1'b0;\nsendPacketPID <= 4'b0;\nfullSpeedBitRate <= 1'b0;\nfullSpeedPolarity <= 1'b0;\ngrabLineControl <= 1'b0;\nsendPacketCPReady <= 1'b1;"
C 144 15 0 TEXT "Conditions" | 95870,191427 1 0 0 "rst"
I 147 0 2 Builtin InPort | 141295,224322 "" ""
L 148 147 0 TEXT "Labels" | 147295,224322 1 0 0 "sendPacketRdy"
K 149 75 0 TEXT "Comments" | 60868,223364 1 0 0 "mux select"
L 150 151 0 TEXT "Labels" | 101904,234688 1 0 0 "preAmbleEnable"
I 151 0 2 Builtin InPort | 95904,234688 "" ""
END
/trunk/RTL/hostController/sendpacketarbiter.asf
6,7 → 6,7
ENTITY="sendPacketArbiter"
FRAMES=ON
FREEOID=98
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// sendpacketarbiter\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: sendpacketarbiter.asf,v 1.2 2004-12-18 14:36:10 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbConstants_h.v\"\n"
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// sendpacketarbiter\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: sendpacketarbiter.asf,v 1.3 2004-12-31 14:40:41 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbConstants_h.v\"\n"
END
BUNDLES
B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3333 0 0000 1 "Arial" 0
31,63 → 31,63
GRIDSIZE 5000,5000 10000,10000
END
OBJECTS
S 15 6 0 ELLIPSE "States" | 172430,18866 6500 6500
L 14 15 0 TEXT "State Labels" | 172430,18866 1 0 0 "HC_ACT\n/0/"
S 13 6 4096 ELLIPSE "States" | 95226,16087 6500 6500
L 12 13 0 TEXT "State Labels" | 95226,16087 1 0 0 "SOF_ACT\n/1/"
S 11 6 8192 ELLIPSE "States" | 128339,87513 6500 6500
L 10 11 0 TEXT "State Labels" | 128339,86127 1 0 0 "SARB_WAIT_REQ\n/2/"
S 9 6 12288 ELLIPSE "States" | 128958,117844 6500 6500
L 8 9 0 TEXT "State Labels" | 128958,117844 1 0 0 "START_SARB\n/3/"
L 7 6 0 TEXT "Labels" | 40741,140742 1 0 0 "sendPktArb"
F 6 0 671089152 59 0 RECT 0,0,0 0 0 1 255,255,255 0 | 30299,2691 211973,147394
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 106825,252275 1 0 0 "Module: sendPacketArbiter"
F 6 0 671089152 59 0 RECT 0,0,0 0 0 1 255,255,255 0 | 30299,2691 211973,147394
L 7 6 0 TEXT "Labels" | 40741,140742 1 0 0 "sendPktArb"
L 8 9 0 TEXT "State Labels" | 128958,117844 1 0 0 "START_SARB\n/3/"
S 9 6 12288 ELLIPSE "States" | 128958,117844 6500 6500
L 10 11 0 TEXT "State Labels" | 128339,86127 1 0 0 "SARB_WAIT_REQ\n/2/"
S 11 6 8192 ELLIPSE "States" | 128339,87513 6500 6500
L 12 13 0 TEXT "State Labels" | 95226,16087 1 0 0 "SOF_ACT\n/1/"
S 13 6 4096 ELLIPSE "States" | 95226,16087 6500 6500
L 14 15 0 TEXT "State Labels" | 172430,18866 1 0 0 "HC_ACT\n/0/"
S 15 6 0 ELLIPSE "States" | 172430,18866 6500 6500
A 31 23 16 TEXT "Actions" | 139723,54159 1 0 0 "HCTxGnt <= 1'b1;\nmuxSOFNotHC <= 1'b0;"
C 30 23 0 TEXT "Conditions" | 141765,76523 1 0 0 "HCTxReq == 1'b1"
C 29 24 0 TEXT "Conditions" | 88369,77278 1 0 0 "SOFTxReq == 1'b1"
W 24 6 1 11 13 BEZIER "Transitions" | 123251,83469 117689,78216 107039,36827 97343,22230
W 23 6 2 11 15 BEZIER "Transitions" | 133124,83115 139844,77553 161587,38384 168805,24261
W 22 6 0 9 11 BEZIER "Transitions" | 128591,111368 128437,106888 128305,98485 128151,94005
W 21 6 0 20 9 BEZIER "Transitions" | 86247,136033 95532,132260 114611,125692 123896,121919
I 20 6 0 Builtin Reset | 86247,136033
W 21 6 0 20 9 BEZIER "Transitions" | 86247,136033 95532,132260 114611,125692 123896,121919
W 22 6 0 9 11 BEZIER "Transitions" | 128591,111368 128437,106888 128305,98485 128151,94005
W 23 6 2 11 15 BEZIER "Transitions" | 133124,83115 139844,77553 161587,38384 168805,24261
W 24 6 1 11 13 BEZIER "Transitions" | 123251,83469 117689,78216 107039,36827 97343,22230
C 29 24 0 TEXT "Conditions" | 88369,77278 1 0 0 "SOFTxReq == 1'b1"
C 30 23 0 TEXT "Conditions" | 141765,76523 1 0 0 "HCTxReq == 1'b1"
A 31 23 16 TEXT "Actions" | 139723,54159 1 0 0 "HCTxGnt <= 1'b1;\nmuxSOFNotHC <= 1'b0;"
A 39 9 2 TEXT "Actions" | 134973,143961 1 0 0 "SOFTxGnt <= 1'b0;\nHCTxGnt <= 1'b0; \nmuxSOFNotHC <= 1'b0;"
A 32 24 16 TEXT "Actions" | 81513,51784 1 0 0 "SOFTxGnt <= 1'b1;\nmuxSOFNotHC <= 1'b1;"
L 40 41 0 TEXT "Labels" | 42274,157869 1 0 0 "HCTxGnt"
I 41 0 2 Builtin OutPort | 36274,157869 "" ""
L 42 43 0 TEXT "Labels" | 168738,158202 1 0 0 "sendPacketWEnable"
I 43 0 2 Builtin OutPort | 162738,158202 "" ""
L 44 45 0 TEXT "Labels" | 168661,153684 1 0 0 "sendPacketPID[3:0]"
I 45 0 130 Builtin OutPort | 162661,153684 "" ""
L 46 47 0 TEXT "Labels" | 95651,157673 1 0 0 "SOFTxGnt"
I 47 0 2 Builtin OutPort | 89651,157673 "" ""
L 46 47 0 TEXT "Labels" | 95651,157673 1 0 0 "SOFTxGnt"
I 45 0 130 Builtin OutPort | 162661,153684 "" ""
L 44 45 0 TEXT "Labels" | 168661,153684 1 0 0 "sendPacketPID[3:0]"
I 43 0 2 Builtin OutPort | 162738,158202 "" ""
L 42 43 0 TEXT "Labels" | 168738,158202 1 0 0 "sendPacketWEnable"
I 41 0 2 Builtin OutPort | 36274,157869 "" ""
L 40 41 0 TEXT "Labels" | 42274,157869 1 0 0 "HCTxGnt"
A 32 24 16 TEXT "Actions" | 81513,51784 1 0 0 "SOFTxGnt <= 1'b1;\nmuxSOFNotHC <= 1'b1;"
A 39 9 2 TEXT "Actions" | 134973,143961 1 0 0 "SOFTxGnt <= 1'b0;\nHCTxGnt <= 1'b0; \nmuxSOFNotHC <= 1'b0;"
L 48 49 0 TEXT "Labels" | 98038,153080 1 0 0 "SOFTxReq"
I 49 0 2 Builtin InPort | 92038,153080 "" ""
L 50 51 0 TEXT "Labels" | 44527,153081 1 0 0 "HCTxReq"
I 51 0 2 Builtin InPort | 38527,153081 "" ""
L 52 53 0 TEXT "Labels" | 44410,162874 1 0 0 "HC_PID[3:0]"
I 53 0 130 Builtin InPort | 38410,162874 "" ""
L 58 59 0 TEXT "Labels" | 206032,246137 1 0 0 "clk"
I 59 0 3 Builtin InPort | 200032,246137 "" ""
L 60 61 0 TEXT "Labels" | 205418,251681 1 0 0 "rst"
I 61 0 2 Builtin InPort | 199418,251681 "" ""
C 62 21 0 TEXT "Conditions" | 108713,128484 1 0 0 "rst"
I 61 0 2 Builtin InPort | 199418,251681 "" ""
L 60 61 0 TEXT "Labels" | 205418,251681 1 0 0 "rst"
I 59 0 3 Builtin InPort | 200032,246137 "" ""
L 58 59 0 TEXT "Labels" | 206032,246137 1 0 0 "clk"
I 53 0 130 Builtin InPort | 38410,162874 "" ""
L 52 53 0 TEXT "Labels" | 44410,162874 1 0 0 "HC_PID[3:0]"
I 51 0 2 Builtin InPort | 38527,153081 "" ""
L 50 51 0 TEXT "Labels" | 44527,153081 1 0 0 "HCTxReq"
I 49 0 2 Builtin InPort | 92038,153080 "" ""
L 48 49 0 TEXT "Labels" | 98038,153080 1 0 0 "SOFTxReq"
C 71 65 0 TEXT "Conditions" | 184576,32757 1 0 0 "HCTxReq == 1'b0"
W 65 6 0 15 11 BEZIER "Transitions" | 175496,24595 197510,44495 199427,70314 199810,76884\
200193,83454 202194,93721 199799,97969 197405,102218\
189371,107780 182843,108050 176316,108321 158239,103840\
151634,101445 145030,99051 137656,94031 133485,91482
I 95 0 2 Builtin Signal | 187475,230225 "" ""
L 94 95 0 TEXT "Labels" | 190475,230225 1 0 0 "muxSOFNotHC"
L 90 89 0 TEXT "Labels" | 98234,162554 1 0 0 "SOF_SP_WEn"
I 89 0 2 Builtin InPort | 92234,162554 "" ""
L 86 85 0 TEXT "Labels" | 44222,167883 1 0 0 "HC_SP_WEn"
I 85 0 2 Builtin InPort | 38222,167883 "" ""
A 80 65 16 TEXT "Actions" | 183859,95437 1 0 0 "HCTxGnt <= 1'b0;"
C 71 65 0 TEXT "Conditions" | 184576,32757 1 0 0 "HCTxReq == 1'b0"
A 93 0 1 TEXT "Actions" | 30647,247164 1 0 0 "// hostController/SOFTransmit mux\nalways @(muxSOFNotHC or SOF_SP_WEn or HC_SP_WEn or HC_PID) \nbegin\n if (muxSOFNotHC == 1'b1) \n begin\n sendPacketWEnable <= SOF_SP_WEn;\n sendPacketPID <= `SOF;\n end\n else\n begin\n sendPacketWEnable <= HC_SP_WEn;\n sendPacketPID <= HC_PID;\n end\nend"
C 84 81 0 TEXT "Conditions" | 58419,21436 1 0 0 "SOFTxReq == 1'b0"
A 83 81 16 TEXT "Actions" | 65508,92373 1 0 0 "SOFTxGnt <= 1'b0;"
W 81 6 0 13 11 BEZIER "Transitions" | 89927,19850 70522,33827 71796,55637 71053,63133\
70311,70629 71874,86691 76817,93064 81761,99437\
89642,107471 97173,106158 104705,104845 116882,95874\
123371,91703
A 83 81 16 TEXT "Actions" | 65508,92373 1 0 0 "SOFTxGnt <= 1'b0;"
C 84 81 0 TEXT "Conditions" | 58419,21436 1 0 0 "SOFTxReq == 1'b0"
A 93 0 1 TEXT "Actions" | 30647,247164 1 0 0 "// hostController/SOFTransmit mux\nalways @(muxSOFNotHC or SOF_SP_WEn or HC_SP_WEn or HC_PID) \nbegin\n if (muxSOFNotHC == 1'b1) \n begin\n sendPacketWEnable <= SOF_SP_WEn;\n sendPacketPID <= `SOF;\n end\n else\n begin\n sendPacketWEnable <= HC_SP_WEn;\n sendPacketPID <= HC_PID;\n end\nend"
A 80 65 16 TEXT "Actions" | 183859,95437 1 0 0 "HCTxGnt <= 1'b0;"
I 85 0 2 Builtin InPort | 38222,167883 "" ""
L 86 85 0 TEXT "Labels" | 44222,167883 1 0 0 "HC_SP_WEn"
I 89 0 2 Builtin InPort | 92234,162554 "" ""
L 90 89 0 TEXT "Labels" | 98234,162554 1 0 0 "SOF_SP_WEn"
L 94 95 0 TEXT "Labels" | 190475,230225 1 0 0 "muxSOFNotHC"
I 95 0 2 Builtin Signal | 187475,230225 "" ""
END
/trunk/RTL/hostController/sofcontroller.asf
6,7 → 6,7
ENTITY="SOFController"
FRAMES=ON
FREEOID=65
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// sofcontroller\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: sofcontroller.asf,v 1.2 2004-12-18 14:36:11 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n"
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// sofcontroller\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: sofcontroller.asf,v 1.3 2004-12-31 14:40:41 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n"
END
BUNDLES
B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3333 0 0000 1 "Arial" 0
31,63 → 31,63
GRIDSIZE 5000,5000 10000,10000
END
OBJECTS
L 15 16 0 TEXT "Labels" | 186096,262516 1 0 0 "clk"
W 14 6 0 13 9 BEZIER "Transitions" | 56682,217090 66531,215181 85597,210696 95446,208787
I 13 6 0 Builtin Reset | 56682,217090
W 12 6 0 9 11 BEZIER "Transitions" | 101472,200547 101472,195422 101786,186460 101786,181335
S 11 6 4096 ELLIPSE "States" | 102510,174880 6500 6500
L 10 11 0 TEXT "State Labels" | 102510,174880 1 0 0 "WAIT_SOF_EN\n/1/"
S 9 6 0 ELLIPSE "States" | 101706,207040 6500 6500
L 8 9 0 TEXT "State Labels" | 101706,207040 1 0 0 "START_SC\n/0/"
L 7 6 0 TEXT "Labels" | 18700,230700 1 0 0 "sofCntl"
F 6 0 671089152 16 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,233700
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 97950,263700 1 0 0 "Module: SOFController"
F 6 0 671089152 16 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,233700
L 7 6 0 TEXT "Labels" | 18700,230700 1 0 0 "sofCntl"
L 8 9 0 TEXT "State Labels" | 101706,207040 1 0 0 "START_SC\n/0/"
S 9 6 0 ELLIPSE "States" | 101706,207040 6500 6500
L 10 11 0 TEXT "State Labels" | 102510,174880 1 0 0 "WAIT_SOF_EN\n/1/"
S 11 6 4096 ELLIPSE "States" | 102510,174880 6500 6500
W 12 6 0 9 11 BEZIER "Transitions" | 101472,200547 101472,195422 101786,186460 101786,181335
I 13 6 0 Builtin Reset | 56682,217090
W 14 6 0 13 9 BEZIER "Transitions" | 56682,217090 66531,215181 85597,210696 95446,208787
L 15 16 0 TEXT "Labels" | 186096,262516 1 0 0 "clk"
A 29 25 16 TEXT "Actions" | 99582,127475 1 0 0 "HCTxPortWEn <= 1'b1;\nHCTxPortData <= 8'h00;\nHCTxPortCntl <= `TX_RESUME_START;"
C 27 25 0 TEXT "Conditions" | 106980,134689 1 0 0 "HCTxPortRdy == 1'b1"
C 26 22 0 TEXT "Conditions" | 109587,169712 1 0 0 "SOFEnable == 1'b1"
W 25 6 0 21 62 BEZIER "Transitions" | 104501,138249 108970,126031 113441,113813 117910,101595
S 24 6 12288 ELLIPSE "States" | 107147,54820 6500 6500
L 23 24 0 TEXT "State Labels" | 107147,54820 1 0 0 "INC_TIMER\n/3/"
W 22 6 0 11 50 BEZIER "Transitions" | 102807,168391 103209,163969 153274,157911 158500,157308
S 21 6 8192 ELLIPSE "States" | 104118,144730 6500 6500
L 20 21 0 TEXT "State Labels" | 104118,144730 1 0 0 "WAIT_SEND_RESUME\n/2/"
C 19 14 0 TEXT "Conditions" | 80380,211899 1 0 0 "rst"
I 18 0 2 Builtin InPort | 179694,255682 "" ""
L 17 18 0 TEXT "Labels" | 185694,255682 1 0 0 "rst"
I 16 0 3 Builtin InPort | 180096,262516 "" ""
L 17 18 0 TEXT "Labels" | 185694,255682 1 0 0 "rst"
I 18 0 2 Builtin InPort | 179694,255682 "" ""
C 19 14 0 TEXT "Conditions" | 80380,211899 1 0 0 "rst"
L 20 21 0 TEXT "State Labels" | 104118,144730 1 0 0 "WAIT_SEND_RESUME\n/2/"
S 21 6 8192 ELLIPSE "States" | 104118,144730 6500 6500
W 22 6 0 11 50 BEZIER "Transitions" | 102807,168391 103209,163969 153274,157911 158500,157308
L 23 24 0 TEXT "State Labels" | 107147,54820 1 0 0 "INC_TIMER\n/3/"
S 24 6 12288 ELLIPSE "States" | 107147,54820 6500 6500
W 25 6 0 21 62 BEZIER "Transitions" | 104501,138249 108970,126031 113441,113813 117910,101595
C 26 22 0 TEXT "Conditions" | 109587,169712 1 0 0 "SOFEnable == 1'b1"
C 27 25 0 TEXT "Conditions" | 106980,134689 1 0 0 "HCTxPortRdy == 1'b1"
A 29 25 16 TEXT "Actions" | 99582,127475 1 0 0 "HCTxPortWEn <= 1'b1;\nHCTxPortData <= 8'h00;\nHCTxPortCntl <= `TX_RESUME_START;"
A 32 24 4 TEXT "Actions" | 140026,70890 1 0 0 "HCTxPortReq <= 1'b0;\nif (SOFTimerClr == 1'b1)\n SOFTimer <= 16'h0000;\nelse\n SOFTimer <= SOFTimer + 1'b1;"
L 47 46 0 TEXT "Labels" | 87312,256878 1 0 0 "HCTxPortCntl[7:0]"
I 46 0 130 Builtin OutPort | 81312,256878 "" ""
L 45 44 0 TEXT "Labels" | 87915,250446 1 0 0 "HCTxPortData[7:0]"
I 44 0 130 Builtin OutPort | 81915,250446 "" ""
L 43 42 0 TEXT "Labels" | 87638,244416 1 0 0 "HCTxPortWEn"
I 42 0 2 Builtin OutPort | 81638,244416 "" ""
I 41 0 2 Builtin InPort | 84018,239200 "" ""
L 40 41 0 TEXT "Labels" | 90018,239200 1 0 0 "HCTxPortRdy"
I 39 0 2 Builtin InPort | 22914,244024 "" ""
L 38 39 0 TEXT "Labels" | 28914,244024 1 0 0 "SOFEnable"
I 37 0 130 Builtin OutPort | 20502,239200 "" ""
L 36 37 0 TEXT "Labels" | 26502,239200 1 0 0 "SOFTimer[15:0]"
C 35 33 0 TEXT "Conditions" | 56071,65104 1 0 0 "SOFEnable == 1'b0"
W 33 6 0 24 11 BEZIER "Transitions" | 101788,58497 95658,55482 71624,73399 68189,77671\
64755,81944 65727,99405 63767,113072 61807,126740\
62411,169554 65777,180659 69144,191764 82008,193372\
86530,192015 91053,190659 96125,183689 98738,180172
C 35 33 0 TEXT "Conditions" | 56071,65104 1 0 0 "SOFEnable == 1'b0"
L 36 37 0 TEXT "Labels" | 26502,239200 1 0 0 "SOFTimer[15:0]"
I 37 0 130 Builtin OutPort | 20502,239200 "" ""
L 38 39 0 TEXT "Labels" | 28914,244024 1 0 0 "SOFEnable"
I 39 0 2 Builtin InPort | 22914,244024 "" ""
L 40 41 0 TEXT "Labels" | 90018,239200 1 0 0 "HCTxPortRdy"
I 41 0 2 Builtin InPort | 84018,239200 "" ""
I 42 0 2 Builtin OutPort | 81638,244416 "" ""
L 43 42 0 TEXT "Labels" | 87638,244416 1 0 0 "HCTxPortWEn"
I 44 0 130 Builtin OutPort | 81915,250446 "" ""
L 45 44 0 TEXT "Labels" | 87915,250446 1 0 0 "HCTxPortData[7:0]"
I 46 0 130 Builtin OutPort | 81312,256878 "" ""
L 47 46 0 TEXT "Labels" | 87312,256878 1 0 0 "HCTxPortCntl[7:0]"
A 32 24 4 TEXT "Actions" | 140026,70890 1 0 0 "HCTxPortReq <= 1'b0;\nif (SOFTimerClr == 1'b1)\n SOFTimer <= 16'h0000;\nelse\n SOFTimer <= SOFTimer + 1'b1;"
A 63 62 4 TEXT "Actions" | 137072,99272 1 0 0 "HCTxPortWEn <= 1'b0;"
S 62 6 20480 ELLIPSE "States" | 118352,95112 6500 6500
L 61 62 0 TEXT "State Labels" | 118352,95112 1 0 0 "CLR_WEN\n/5/"
I 58 0 2 Builtin InPort | 135474,244024 "" ""
L 57 58 0 TEXT "Labels" | 141474,244024 1 0 0 "HCTxPortGnt"
I 56 0 2 Builtin OutPort | 133062,239200 "" ""
L 55 56 0 TEXT "Labels" | 139062,239200 1 0 0 "HCTxPortReq"
A 54 33 16 TEXT "Actions" | 41502,87168 1 0 0 "SOFTimer <= 16'h0000;"
A 53 22 16 TEXT "Actions" | 118898,162608 1 0 0 "HCTxPortReq <= 1'b1;"
C 52 51 0 TEXT "Conditions" | 129444,145489 1 0 0 "HCTxPortGnt == 1'b1"
W 51 6 0 50 21 BEZIER "Transitions" | 155785,150253 143926,148645 122475,143375 110616,144581
S 50 6 16384 ELLIPSE "States" | 162077,151882 6500 6500
L 49 50 0 TEXT "State Labels" | 162077,151882 1 0 0 "SC_WAIT_GNT\n/4/"
A 48 9 2 TEXT "Actions" | 121328,217354 1 0 0 "SOFTimer <= 16'h0000;\nHCTxPortCntl <= 8'h00;\nHCTxPortData <= 8'h00;\nHCTxPortWEn <= 1'b0; \nHCTxPortReq <= 1'b0;"
L 59 60 0 TEXT "Labels" | 29316,251905 1 0 0 "SOFTimerClr"
I 60 0 2 Builtin InPort | 23316,251905 "" ""
L 59 60 0 TEXT "Labels" | 29316,251905 1 0 0 "SOFTimerClr"
A 48 9 2 TEXT "Actions" | 121328,217354 1 0 0 "SOFTimer <= 16'h0000;\nHCTxPortCntl <= 8'h00;\nHCTxPortData <= 8'h00;\nHCTxPortWEn <= 1'b0; \nHCTxPortReq <= 1'b0;"
L 49 50 0 TEXT "State Labels" | 162077,151882 1 0 0 "SC_WAIT_GNT\n/4/"
S 50 6 16384 ELLIPSE "States" | 162077,151882 6500 6500
W 51 6 0 50 21 BEZIER "Transitions" | 155785,150253 143926,148645 122475,143375 110616,144581
C 52 51 0 TEXT "Conditions" | 129444,145489 1 0 0 "HCTxPortGnt == 1'b1"
A 53 22 16 TEXT "Actions" | 118898,162608 1 0 0 "HCTxPortReq <= 1'b1;"
A 54 33 16 TEXT "Actions" | 41502,87168 1 0 0 "SOFTimer <= 16'h0000;"
L 55 56 0 TEXT "Labels" | 139062,239200 1 0 0 "HCTxPortReq"
I 56 0 2 Builtin OutPort | 133062,239200 "" ""
L 57 58 0 TEXT "Labels" | 141474,244024 1 0 0 "HCTxPortGnt"
I 58 0 2 Builtin InPort | 135474,244024 "" ""
L 61 62 0 TEXT "State Labels" | 118352,95112 1 0 0 "CLR_WEN\n/5/"
S 62 6 20480 ELLIPSE "States" | 118352,95112 6500 6500
A 63 62 4 TEXT "Actions" | 137072,99272 1 0 0 "HCTxPortWEn <= 1'b0;"
W 64 6 0 62 24 BEZIER "Transitions" | 116496,88885 114624,81865 110713,68112 108841,61092
END
/trunk/RTL/hostController/getpacket.v
42,7 → 42,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: getpacket.v,v 1.2 2004-12-18 14:36:09 sfielding Exp $
// $Id: getpacket.v,v 1.3 2004-12-31 14:40:41 sfielding Exp $
//
// CVS Revision History
//
/trunk/RTL/hostController/softransmit.v
42,7 → 42,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: softransmit.v,v 1.2 2004-12-18 14:36:11 sfielding Exp $
// $Id: softransmit.v,v 1.3 2004-12-31 14:40:41 sfielding Exp $
//
// CVS Revision History
//
/trunk/RTL/include/usbSlaveControl_h.v
1,7 → 1,7
//////////////////////////////////////////////////////////////////////
// usbSlaveControl.v
//
// $Id: usbSlaveControl_h.v,v 1.2 2004-12-18 14:36:13 sfielding Exp $
// $Id: usbSlaveControl_h.v,v 1.3 2004-12-31 14:40:42 sfielding Exp $
//
// CVS Revision History
//
85,4 → 85,4
//timeOuts
`define SC_RX_PACKET_TOUT 18
`endif //usbSlaveControl_h_vdefined
`endif //usbSlaveControl_h_vdefined
/trunk/RTL/include/usbHostControl_h.v
1,6 → 1,6
//////////////////////////////////////////////////////////////////////
// usbHostControl_h.v
// $Id: usbHostControl_h.v,v 1.2 2004-12-18 14:36:13 sfielding Exp $
// $Id: usbHostControl_h.v,v 1.3 2004-12-31 14:40:42 sfielding Exp $
//
// CVS Revision History
//
60,8 → 60,10
`define SOF_EN_BIT 0
 
//SOFTimeConstants
`define SOF_TX_TIME 80 //Fix this. Need correct SOF TX interval
`define SOF_TX_MARGIN 2
//`define SOF_TX_TIME 80 //Fix this. Need correct SOF TX interval
`define SOF_TX_TIME 16'hbb80 //Correct SOF interval for 48MHz clock
//`define SOF_TX_MARGIN 2
`define SOF_TX_MARGIN 16'h0190 //This is the transmission time for 100 bytes. May need to tweak
//Host RXStatusRegIndices
`define HC_CRC_ERROR_BIT 0
73,4 → 75,4
`define HC_ACK_RXED_BIT 6
`define HC_DATA_SEQUENCE_BIT 7
 
`endif //usbHostControl_h_vdefined
`endif //usbHostControl_h_vdefined
/trunk/RTL/serialInterfaceEngine/processRxByte.v
42,7 → 42,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: processRxByte.v,v 1.2 2004-12-18 14:36:15 sfielding Exp $
// $Id: processRxByte.v,v 1.3 2004-12-31 14:40:43 sfielding Exp $
//
// CVS Revision History
//
174,30 → 174,30
case (CurrState_prRxByte) // synopsys parallel_case full_case
`CHK_ST:
begin
if (RXByteStMachCurrState == `TOKEN_BYTE_ST)
if (RXByteStMachCurrState == `HS_BYTE_ST)
begin
NextState_prRxByte <= `HSHAKE_CHK;
end
else if (RXByteStMachCurrState == `TOKEN_BYTE_ST)
begin
NextState_prRxByte <= `TOKEN_WAIT_CRC;
end
else if (RXByteStMachCurrState == `HS_BYTE_ST)
else if (RXByteStMachCurrState == `DATA_BYTE_ST)
begin
NextState_prRxByte <= `HSHAKE_CHK;
NextState_prRxByte <= `DATA_WAIT_CRC;
end
else if (RXByteStMachCurrState == `CHECK_PID_ST)
else if (RXByteStMachCurrState == `IDLE_BYTE_ST)
begin
NextState_prRxByte <= `CHK_PID_DO_CHK;
NextState_prRxByte <= `IDLE_CHK_START;
end
else if (RXByteStMachCurrState == `CHECK_SYNC_ST)
begin
NextState_prRxByte <= `CHK_SYNC_DO;
end
else if (RXByteStMachCurrState == `IDLE_BYTE_ST)
else if (RXByteStMachCurrState == `CHECK_PID_ST)
begin
NextState_prRxByte <= `IDLE_CHK_START;
NextState_prRxByte <= `CHK_PID_DO_CHK;
end
else if (RXByteStMachCurrState == `DATA_BYTE_ST)
begin
NextState_prRxByte <= `DATA_WAIT_CRC;
end
end
`START_PRBY:
begin
/trunk/RTL/serialInterfaceEngine/SIETransmitter.v
42,7 → 42,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: SIETransmitter.v,v 1.2 2004-12-18 14:36:14 sfielding Exp $
// $Id: SIETransmitter.v,v 1.3 2004-12-31 14:40:43 sfielding Exp $
//
// CVS Revision History
//
117,17 → 117,17
 
// BINARY ENCODED state machine: SIETx
// State codes definitions:
`define DIR_CTL_CHK_FIN 6'b000000
`define RES_ST_CHK_FIN 6'b000000
`define IDLE_CHK_FIN 6'b000001
`define RES_ST_CHK_FIN 6'b000010
`define DIR_CTL_CHK_FIN 6'b000010
`define PKT_ST_CHK_PID 6'b000011
`define PKT_ST_DATA_DATA_CHK_STOP 6'b000100
`define PKT_ST_HS_PKT_SENT 6'b000101
`define PKT_ST_SPCL_PKT_SENT 6'b000101
`define PKT_ST_TKN_CRC_PKT_SENT 6'b000110
`define PKT_ST_DATA_DATA_PKT_SENT 6'b000111
`define PKT_ST_DATA_PID_PKT_SENT 6'b001000
`define PKT_ST_SPCL_PKT_SENT 6'b001001
`define PKT_ST_TKN_PID_PKT_SENT 6'b001010
`define PKT_ST_TKN_PID_PKT_SENT 6'b000111
`define PKT_ST_DATA_DATA_PKT_SENT 6'b001000
`define PKT_ST_DATA_PID_PKT_SENT 6'b001001
`define PKT_ST_HS_PKT_SENT 6'b001010
`define PKT_ST_DATA_CRC_PKT_SENT1 6'b001011
`define PKT_ST_TKN_BYTE1_PKT_SENT1 6'b001100
`define PKT_ST_DATA_CRC_PKT_SENT2 6'b001101
145,21 → 145,21
`define IDLE_STX_WAIT_GNT 6'b011001
`define IDLE_STX_WAIT_RDY 6'b011010
`define PKT_ST_TKN_CRC_UPD_CRC 6'b011011
`define PKT_ST_TKN_BYTE1_UPD_CRC 6'b011100
`define PKT_ST_DATA_DATA_UPD_CRC 6'b011101
`define PKT_ST_DATA_DATA_UPD_CRC 6'b011100
`define PKT_ST_TKN_BYTE1_UPD_CRC 6'b011101
`define PKT_ST_TKN_CRC_WAIT_BYTE 6'b011110
`define PKT_ST_TKN_BYTE1_WAIT_BYTE 6'b011111
`define PKT_ST_DATA_DATA_WAIT_BYTE 6'b100000
`define RES_ST_WAIT_GNT 6'b100001
`define DIR_CTL_WAIT_GNT 6'b100010
`define PKT_ST_TKN_BYTE1_WAIT_RDY 6'b100011
`define PKT_ST_DATA_DATA_WAIT_RDY 6'b100100
`define PKT_ST_TKN_CRC_WAIT_RDY 6'b100101
`define PKT_ST_HS_WAIT_RDY 6'b100110
`define RES_ST_WAIT_RDY 6'b100111
`define DIR_CTL_WAIT_GNT 6'b100001
`define RES_ST_WAIT_GNT 6'b100010
`define PKT_ST_HS_WAIT_RDY 6'b100011
`define PKT_ST_DATA_PID_WAIT_RDY 6'b100100
`define PKT_ST_SPCL_WAIT_RDY 6'b100101
`define RES_ST_WAIT_RDY 6'b100110
`define PKT_ST_DATA_DATA_WAIT_RDY 6'b100111
`define PKT_ST_TKN_PID_WAIT_RDY 6'b101000
`define PKT_ST_DATA_PID_WAIT_RDY 6'b101001
`define PKT_ST_SPCL_WAIT_RDY 6'b101010
`define PKT_ST_TKN_CRC_WAIT_RDY 6'b101001
`define PKT_ST_TKN_BYTE1_WAIT_RDY 6'b101010
`define DIR_CTL_WAIT_RDY 6'b101011
`define PKT_ST_DATA_CRC_WAIT_RDY1 6'b101100
`define PKT_ST_DATA_CRC_WAIT_RDY2 6'b101101
177,7 → 177,7
// Machine: SIETx
 
// NextState logic (combinatorial)
always @ (i or SIEPortData or SIEPortCtrl or USBWireRdy or JBit or SIEPortWEn or SIEPortDataIn or SIEPortCtrlIn or USBWireGnt or processTxByteRdy or CRC5Result or KBit or CRC16Result or CRC5UpdateRdy or CRC16UpdateRdy or USBWireWEn or USBWireReq or processTxByteWEn or rstCRC or USBWireData or USBWireCtrl or TxByteOut or TxByteOutCtrl or CRCData or CRC5En or CRC5_8Bit or CRC16En or SIEPortTxRdy or CurrState_SIETx)
always @ (i or SIEPortData or SIEPortCtrl or USBWireRdy or JBit or SIEPortWEn or SIEPortDataIn or SIEPortCtrlIn or USBWireGnt or processTxByteRdy or KBit or CRC5Result or CRC16Result or CRC5UpdateRdy or CRC16UpdateRdy or USBWireWEn or USBWireReq or processTxByteWEn or rstCRC or USBWireData or USBWireCtrl or TxByteOut or TxByteOutCtrl or CRCData or CRC5En or CRC5_8Bit or CRC16En or SIEPortTxRdy or CurrState_SIETx)
begin
NextState_SIETx <= CurrState_SIETx;
// Set default values for outputs and signals
225,14 → 225,14
NextState_SIETx <= `PKT_ST_WAIT_GNT;
next_USBWireReq <= 1'b1;
end
else if (SIEPortCtrl == `TX_DIRECT_CONTROL)
else if (SIEPortCtrl == `TX_IDLE)
begin
NextState_SIETx <= `DIR_CTL_WAIT_GNT;
NextState_SIETx <= `IDLE_STX_WAIT_GNT;
next_USBWireReq <= 1'b1;
end
else if (SIEPortCtrl == `TX_IDLE)
else if (SIEPortCtrl == `TX_DIRECT_CONTROL)
begin
NextState_SIETx <= `IDLE_STX_WAIT_GNT;
NextState_SIETx <= `DIR_CTL_WAIT_GNT;
next_USBWireReq <= 1'b1;
end
else if (SIEPortCtrl == `TX_RESUME_START)
320,22 → 320,22
`PKT_ST_CHK_PID:
begin
next_processTxByteWEn <= 1'b0;
if (SIEPortData[1:0] == `TOKEN)
if (SIEPortData[1:0] == `HANDSHAKE)
begin
NextState_SIETx <= `PKT_ST_HS_WAIT_RDY;
end
else if (SIEPortData[1:0] == `TOKEN)
begin
NextState_SIETx <= `PKT_ST_TKN_PID_WAIT_RDY;
end
else if (SIEPortData[1:0] == `HANDSHAKE)
else if (SIEPortData[1:0] == `SPECIAL)
begin
NextState_SIETx <= `PKT_ST_HS_WAIT_RDY;
NextState_SIETx <= `PKT_ST_SPCL_WAIT_RDY;
end
else if (SIEPortData[1:0] == `DATA)
begin
NextState_SIETx <= `PKT_ST_DATA_PID_WAIT_RDY;
end
else if (SIEPortData[1:0] == `SPECIAL)
begin
NextState_SIETx <= `PKT_ST_SPCL_WAIT_RDY;
end
end
`PKT_ST_WAIT_RDY_PKT:
begin
/trunk/RTL/serialInterfaceEngine/processTxByte.v
42,7 → 42,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: processTxByte.v,v 1.2 2004-12-18 14:36:16 sfielding Exp $
// $Id: processTxByte.v,v 1.3 2004-12-31 14:40:43 sfielding Exp $
//
// CVS Revision History
//
/trunk/RTL/serialInterfaceEngine/processRxByte.asf
6,7 → 6,7
ENTITY="processRxByte"
FRAMES=ON
FREEOID=384
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// processRxByte\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: processRxByte.asf,v 1.2 2004-12-18 14:36:15 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n`include \"usbConstants_h.v\"\n"
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// processRxByte\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: processRxByte.asf,v 1.3 2004-12-31 14:40:43 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n`include \"usbConstants_h.v\"\n"
END
BUNDLES
B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3333 0 0000 1 "Arial" 0
79,227 → 79,227
GRIDSIZE 0,0 10000,10000
END
OBJECTS
A 287 286 4 TEXT "Actions" | 73518,95877 1 0 0 "CRC16En <= 1'b0;\nRxDataOutWEn <= 1'b0;"
S 286 50 73728 ELLIPSE "States" | 54701,94283 6500 6500
W 285 50 0 286 291 BEZIER "Transitions" | 59473,89872 67701,83552 79123,69242 87351,62922
W 284 41 0 280 37 BEZIER "Transitions" | 54276,125525 62504,119205 73926,104895 82154,98575
A 282 280 4 TEXT "Actions" | 68321,131530 1 0 0 "CRC5En <= 1'b0;\nRxDataOutWEn <= 1'b0;"
W 281 41 0 40 280 BEZIER "Transitions" | 71655,187272 66885,174036 56388,149316 51618,136080
S 280 41 69632 ELLIPSE "States" | 49504,129936 6500 6500
L 279 280 0 TEXT "State Labels" | 49504,129936 1 0 0 "FIN\n/10/"
A 278 257 4 TEXT "Actions" | 130366,127109 1 0 0 "RxDataOutWEn <= 1'b0;\nRXByteStMachCurrState <= `IDLE_BYTE_ST;"
L 7 6 0 TEXT "Labels" | 57079,207538 1 0 0 "prRxByte"
F 6 0 671089152 185 0 RECT 0,0,0 0 0 1 255,255,255 0 | 14988,15700 199488,210298
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 93869,266185 1 0 0 "Module: processRxByte"
L 8 9 0 TEXT "State Labels" | 41526,197822 1 0 0 "START_PRBY\n/1/"
S 9 6 4096 ELLIPSE "States" | 41526,197822 6500 6500
L 10 11 0 TEXT "State Labels" | 41526,175604 1 0 0 "CHK_ST\n/0/"
S 11 6 0 ELLIPSE "States" | 41526,175604 6500 6500
I 12 6 0 Builtin Reset | 22016,204762
W 13 6 0 12 9 BEZIER "Transitions" | 22016,204762 26512,204498 31110,200468 35074,198608
L 15 16 0 TEXT "State Labels" | 115714,125064 1 0 0 "CHK_PID"
W 13 6 0 12 9 BEZIER "Transitions" | 22016,204762 26512,204498 31110,200468 35074,198608
I 12 6 0 Builtin Reset | 22016,204762
S 11 6 0 ELLIPSE "States" | 41526,175604 6500 6500
L 10 11 0 TEXT "State Labels" | 41526,175604 1 0 0 "CHK_ST\n/0/"
S 9 6 4096 ELLIPSE "States" | 41526,197822 6500 6500
L 8 9 0 TEXT "State Labels" | 41526,197822 1 0 0 "START_PRBY\n/1/"
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 93869,266185 1 0 0 "Module: processRxByte"
F 6 0 671089152 185 0 RECT 0,0,0 0 0 1 255,255,255 0 | 14988,15700 199488,210298
L 7 6 0 TEXT "Labels" | 57079,207538 1 0 0 "prRxByte"
A 278 257 4 TEXT "Actions" | 130366,127109 1 0 0 "RxDataOutWEn <= 1'b0;\nRXByteStMachCurrState <= `IDLE_BYTE_ST;"
L 279 280 0 TEXT "State Labels" | 49504,129936 1 0 0 "FIN\n/10/"
S 280 41 69632 ELLIPSE "States" | 49504,129936 6500 6500
W 281 41 0 40 280 BEZIER "Transitions" | 71655,187272 66885,174036 56388,149316 51618,136080
A 282 280 4 TEXT "Actions" | 68321,131530 1 0 0 "CRC5En <= 1'b0;\nRxDataOutWEn <= 1'b0;"
W 284 41 0 280 37 BEZIER "Transitions" | 54276,125525 62504,119205 73926,104895 82154,98575
W 285 50 0 286 291 BEZIER "Transitions" | 59473,89872 67701,83552 79123,69242 87351,62922
S 286 50 73728 ELLIPSE "States" | 54701,94283 6500 6500
A 287 286 4 TEXT "Actions" | 73518,95877 1 0 0 "CRC16En <= 1'b0;\nRxDataOutWEn <= 1'b0;"
A 295 293 4 TEXT "Actions" | 114075,218259 1 0 0 "RXDataByteCnt <= RXDataByteCnt + 1'b1;\ncase (RxCtrl)\n `DATA_STOP:\n begin\n if (CRC16Result != 16'hb001)\n CRCError <= 1'b1;\n RxDataOut <= RxStatus;\n RxCtrlOut <= `RX_PACKET_STOP;\n RXByteStMachCurrState <= `IDLE_BYTE_ST;\n end\n `DATA_BIT_STUFF_ERROR:\n begin\n bitStuffError <= 1'b1;\n RxDataOut <= RxStatus;\n RxCtrlOut <= `RX_PACKET_STOP;\n RXByteStMachCurrState <= `IDLE_BYTE_ST;\n end\n `DATA_STREAM:\n begin\n RxDataOut <= RxByte;\n RxCtrlOut <= `RX_PACKET_STREAM;\n CRCData <= RxByte;\n CRC16En <= 1'b1;\n end\nendcase\nRxDataOutWEn <= 1'b1;"
L 294 293 0 TEXT "State Labels" | 79792,157415 1 0 0 "CHK_STRM\n/12/"
S 293 50 77824 ELLIPSE "States" | 79792,157415 6500 6500
I 292 50 0 Builtin Entry | 33692,252435
I 291 50 0 Builtin Exit | 90483,62922
L 289 286 0 TEXT "State Labels" | 54701,94283 1 0 0 "FIN\n/11/"
W 288 50 0 293 286 BEZIER "Transitions" | 76852,151619 72082,138383 61585,113663 56815,100427
S 16 6 12292 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 115714,123462 6500 6500
H 17 16 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 18 17 49156 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 109233,155402 6500 6500
L 19 18 0 TEXT "State Labels" | 109233,155402 1 0 0 "FIRST_BYTE"
I 20 17 0 Builtin Entry | 45216,248076
I 21 17 0 Builtin Exit | 89220,92674
S 24 6 8196 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 115892,94696 6500 6500
L 25 24 0 TEXT "State Labels" | 115892,94696 1 0 0 "HSHAKE"
A 296 0 1 TEXT "Actions" | 13933,264927 1 0 0 "always @\n(next_CRCError or next_bitStuffError or\n next_RxOverflow or next_NAKRxed or \n next_stallRxed or next_ACKRxed or \n next_dataSequence)\nbegin \n RxStatus <= \n {1'b0, next_dataSequence, \n next_ACKRxed, \n next_stallRxed, next_NAKRxed, \n next_RxOverflow, \n next_bitStuffError, next_CRCError };\nend"
L 297 298 0 TEXT "Labels" | 82848,260279 1 0 0 "RxDataOut[7:0]"
I 298 0 130 Builtin OutPort | 76848,260279 "" ""
L 299 300 0 TEXT "Labels" | 82848,255265 1 0 0 "RxCtrlOut[7:0]"
I 300 0 130 Builtin OutPort | 76848,255265 "" ""
L 301 302 0 TEXT "Labels" | 82139,250245 1 0 0 "RxDataOutWEn"
I 302 0 2 Builtin OutPort | 76139,250245 "" ""
L 303 304 0 TEXT "Labels" | 84462,243195 1 0 0 "RxByteIn[7:0]"
I 302 0 2 Builtin OutPort | 76139,250245 "" ""
L 301 302 0 TEXT "Labels" | 82139,250245 1 0 0 "RxDataOutWEn"
I 300 0 130 Builtin OutPort | 76848,255265 "" ""
L 299 300 0 TEXT "Labels" | 82848,255265 1 0 0 "RxCtrlOut[7:0]"
I 298 0 130 Builtin OutPort | 76848,260279 "" ""
L 297 298 0 TEXT "Labels" | 82848,260279 1 0 0 "RxDataOut[7:0]"
A 296 0 1 TEXT "Actions" | 13933,264927 1 0 0 "always @\n(next_CRCError or next_bitStuffError or\n next_RxOverflow or next_NAKRxed or \n next_stallRxed or next_ACKRxed or \n next_dataSequence)\nbegin \n RxStatus <= \n {1'b0, next_dataSequence, \n next_ACKRxed, \n next_stallRxed, next_NAKRxed, \n next_RxOverflow, \n next_bitStuffError, next_CRCError };\nend"
L 25 24 0 TEXT "State Labels" | 115892,94696 1 0 0 "HSHAKE"
S 24 6 8196 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 115892,94696 6500 6500
I 21 17 0 Builtin Exit | 89220,92674
I 20 17 0 Builtin Entry | 45216,248076
L 19 18 0 TEXT "State Labels" | 109233,155402 1 0 0 "FIRST_BYTE"
S 18 17 49156 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 109233,155402 6500 6500
H 17 16 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 16 6 12292 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 115714,123462 6500 6500
W 288 50 0 293 286 BEZIER "Transitions" | 76852,151619 72082,138383 61585,113663 56815,100427
L 289 286 0 TEXT "State Labels" | 54701,94283 1 0 0 "FIN\n/11/"
I 291 50 0 Builtin Exit | 90483,62922
I 292 50 0 Builtin Entry | 33692,252435
S 293 50 77824 ELLIPSE "States" | 79792,157415 6500 6500
L 294 293 0 TEXT "State Labels" | 79792,157415 1 0 0 "CHK_STRM\n/12/"
A 295 293 4 TEXT "Actions" | 114075,218259 1 0 0 "RXDataByteCnt <= RXDataByteCnt + 1'b1;\ncase (RxCtrl)\n `DATA_STOP:\n begin\n if (CRC16Result != 16'hb001)\n CRCError <= 1'b1;\n RxDataOut <= RxStatus;\n RxCtrlOut <= `RX_PACKET_STOP;\n RXByteStMachCurrState <= `IDLE_BYTE_ST;\n end\n `DATA_BIT_STUFF_ERROR:\n begin\n bitStuffError <= 1'b1;\n RxDataOut <= RxStatus;\n RxCtrlOut <= `RX_PACKET_STOP;\n RXByteStMachCurrState <= `IDLE_BYTE_ST;\n end\n `DATA_STREAM:\n begin\n RxDataOut <= RxByte;\n RxCtrlOut <= `RX_PACKET_STREAM;\n CRCData <= RxByte;\n CRC16En <= 1'b1;\n end\nendcase\nRxDataOutWEn <= 1'b1;"
H 32 24 0 RECT 0,0,0 0 0 1 255,255,255 0 | 17144,15700 201644,263700
H 41 33 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 33 6 20484 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 117500,64680 6500 6500
L 34 33 0 TEXT "State Labels" | 117500,64680 1 0 0 "TOKEN"
W 36 41 0 38 371 BEZIER "Transitions" | 34704,258592 38731,254357 47806,246433 31745,235718
I 37 41 0 Builtin Exit | 85286,98575
I 38 41 0 Builtin Entry | 30541,258592
L 39 40 0 TEXT "State Labels" | 74595,193068 1 0 0 "CHK_STRM\n/9/"
S 40 41 65536 ELLIPSE "States" | 74595,193068 6500 6500
S 42 6 16388 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 118750,36808 6500 6500
L 43 42 0 TEXT "State Labels" | 118750,36808 1 0 0 "DATA"
I 304 0 130 Builtin InPort | 78462,243195 "" ""
L 305 306 0 TEXT "Labels" | 84465,238172 1 0 0 "RxCtrlIn[7:0]"
I 306 0 130 Builtin InPort | 78465,238172 "" ""
L 307 308 0 TEXT "Labels" | 85176,232428 1 0 0 "processRxDataInWEn"
I 308 0 2 Builtin InPort | 78462,232428 "" ""
L 309 310 0 TEXT "Labels" | 129515,260188 1 0 0 "rstCRC"
I 310 0 2 Builtin OutPort | 123515,260188 "" ""
L 311 312 0 TEXT "Labels" | 129156,255220 1 0 0 "CRCData[7:0]"
I 312 0 130 Builtin OutPort | 123156,255220 "" ""
L 313 314 0 TEXT "Labels" | 131655,250603 1 0 0 "CRC5Result[4:0]"
I 314 0 130 Builtin InPort | 125655,250603 "" ""
L 315 316 0 TEXT "Labels" | 129509,245629 1 0 0 "CRC5En"
I 316 0 2 Builtin OutPort | 123509,245629 "" ""
L 317 318 0 TEXT "Labels" | 129866,241010 1 0 0 "CRC5_8Bit"
I 318 0 2 Builtin OutPort | 123866,241010 "" ""
L 319 320 0 TEXT "Labels" | 130127,231343 1 0 0 "CRC16En"
I 318 0 2 Builtin OutPort | 123866,241010 "" ""
L 317 318 0 TEXT "Labels" | 129866,241010 1 0 0 "CRC5_8Bit"
I 316 0 2 Builtin OutPort | 123509,245629 "" ""
L 315 316 0 TEXT "Labels" | 129509,245629 1 0 0 "CRC5En"
I 314 0 130 Builtin InPort | 125655,250603 "" ""
L 313 314 0 TEXT "Labels" | 131655,250603 1 0 0 "CRC5Result[4:0]"
I 312 0 130 Builtin OutPort | 123156,255220 "" ""
L 311 312 0 TEXT "Labels" | 129156,255220 1 0 0 "CRCData[7:0]"
I 310 0 2 Builtin OutPort | 123515,260188 "" ""
L 309 310 0 TEXT "Labels" | 129515,260188 1 0 0 "rstCRC"
I 308 0 2 Builtin InPort | 78462,232428 "" ""
L 307 308 0 TEXT "Labels" | 85176,232428 1 0 0 "processRxDataInWEn"
I 306 0 130 Builtin InPort | 78465,238172 "" ""
L 305 306 0 TEXT "Labels" | 84465,238172 1 0 0 "RxCtrlIn[7:0]"
I 304 0 130 Builtin InPort | 78462,243195 "" ""
L 43 42 0 TEXT "State Labels" | 118750,36808 1 0 0 "DATA"
S 42 6 16388 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 118750,36808 6500 6500
S 40 41 65536 ELLIPSE "States" | 74595,193068 6500 6500
L 39 40 0 TEXT "State Labels" | 74595,193068 1 0 0 "CHK_STRM\n/9/"
I 38 41 0 Builtin Entry | 30541,258592
I 37 41 0 Builtin Exit | 85286,98575
W 36 41 0 38 371 BEZIER "Transitions" | 34704,258592 38731,254357 47806,246433 31745,235718
L 34 33 0 TEXT "State Labels" | 117500,64680 1 0 0 "TOKEN"
S 33 6 20484 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 117500,64680 6500 6500
H 41 33 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
H 32 24 0 RECT 0,0,0 0 0 1 255,255,255 0 | 17144,15700 201644,263700
L 335 336 0 TEXT "Labels" | 175074,243343 1 0 0 "ACKRxed"
I 334 0 2 Builtin Signal | 172074,247627 "" ""
L 333 334 0 TEXT "Labels" | 175074,247627 1 0 0 "stallRxed"
I 332 0 2 Builtin Signal | 171717,252268 "" ""
L 331 332 0 TEXT "Labels" | 174717,252268 1 0 0 "NAKRxed"
I 330 0 2 Builtin Signal | 172074,256552 "" ""
L 329 330 0 TEXT "Labels" | 175074,256552 1 0 0 "RxTimeOut"
I 328 0 2 Builtin Signal | 172074,260836 "" ""
L 327 328 0 TEXT "Labels" | 175074,260836 1 0 0 "RxOverflow"
I 326 0 2 Builtin Signal | 172074,265120 "" ""
L 325 326 0 TEXT "Labels" | 175074,265120 1 0 0 "bitStuffError"
I 324 0 130 Builtin InPort | 126267,236303 "" ""
L 323 324 0 TEXT "Labels" | 132267,236303 1 0 0 "CRC16Result[15:0]"
I 320 0 2 Builtin OutPort | 124127,231343 "" ""
S 63 6 24576 ELLIPSE "States" | 112744,173179 6500 6500
L 62 63 0 TEXT "State Labels" | 113731,172352 1 0 0 "WAIT_BYTE\n/2/"
C 58 54 0 TEXT "Conditions" | 46403,31524 1 0 0 "RXByteStMachCurrState == `DATA_BYTE_ST"
C 57 53 0 TEXT "Conditions" | 45420,58426 1 0 0 "RXByteStMachCurrState == `TOKEN_BYTE_ST"
C 56 52 0 TEXT "Conditions" | 45596,90880 1 0 0 "RXByteStMachCurrState == `HS_BYTE_ST"
C 55 51 0 TEXT "Conditions" | 43455,121392 1 0 0 "RXByteStMachCurrState == `CHECK_PID_ST"
W 54 6 0 11 42 BEZIER "Transitions" | 41669,169131 42607,140455 43130,70308 44403,54764\
45676,39220 48892,34396 57535,33391 66178,32386\
96662,35330 112340,35732
H 50 42 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
W 51 6 0 11 16 BEZIER "Transitions" | 41219,169119 41353,163357 41254,137442 41790,133556\
42326,129670 44202,125650 52711,124511 61220,123372\
93136,123615 109216,123347
W 52 6 0 11 24 BEZIER "Transitions" | 41273,169115 41809,155581 41924,114126 42929,106354\
43934,98582 46882,94562 55190,93624 63498,92686\
93782,92954 101420,93021 109058,93088 109445,93150\
109579,93150
W 53 6 0 11 33 BEZIER "Transitions" | 41642,169108 42044,146596 42058,88800 43264,77142\
44470,65484 48490,63876 56999,63474 65508,63072\
95524,63072 103095,63072 110666,63072 111053,63134\
111187,63134
W 52 6 0 11 24 BEZIER "Transitions" | 41273,169115 41809,155581 41924,114126 42929,106354\
43934,98582 46882,94562 55190,93624 63498,92686\
93782,92954 101420,93021 109058,93088 109445,93150\
109579,93150
W 51 6 0 11 16 BEZIER "Transitions" | 41219,169119 41353,163357 41254,137442 41790,133556\
42326,129670 44202,125650 52711,124511 61220,123372\
93136,123615 109216,123347
H 50 42 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 340 0 128 Builtin Signal | 172498,229252 "" ""
L 339 340 0 TEXT "Labels" | 175498,229252 1 0 0 "RxStatus[7:0]"
W 54 6 0 11 42 BEZIER "Transitions" | 41669,169131 42607,140455 43130,70308 44403,54764\
45676,39220 48892,34396 57535,33391 66178,32386\
96662,35330 112340,35732
C 55 51 0 TEXT "Conditions" | 43455,121392 1 0 0 "RXByteStMachCurrState == `CHECK_PID_ST"
C 56 52 0 TEXT "Conditions" | 45596,90880 1 0 0 "RXByteStMachCurrState == `HS_BYTE_ST"
C 57 53 0 TEXT "Conditions" | 45420,58426 1 0 0 "RXByteStMachCurrState == `TOKEN_BYTE_ST"
C 58 54 0 TEXT "Conditions" | 46403,31524 1 0 0 "RXByteStMachCurrState == `DATA_BYTE_ST"
L 62 63 0 TEXT "State Labels" | 113731,172352 1 0 0 "WAIT_BYTE\n/2/"
S 63 6 24576 ELLIPSE "States" | 112744,173179 6500 6500
I 320 0 2 Builtin OutPort | 124127,231343 "" ""
L 323 324 0 TEXT "Labels" | 132267,236303 1 0 0 "CRC16Result[15:0]"
I 324 0 130 Builtin InPort | 126267,236303 "" ""
L 325 326 0 TEXT "Labels" | 175074,265120 1 0 0 "bitStuffError"
I 326 0 2 Builtin Signal | 172074,265120 "" ""
L 327 328 0 TEXT "Labels" | 175074,260836 1 0 0 "RxOverflow"
I 328 0 2 Builtin Signal | 172074,260836 "" ""
L 329 330 0 TEXT "Labels" | 175074,256552 1 0 0 "RxTimeOut"
I 330 0 2 Builtin Signal | 172074,256552 "" ""
L 331 332 0 TEXT "Labels" | 174717,252268 1 0 0 "NAKRxed"
I 332 0 2 Builtin Signal | 171717,252268 "" ""
L 333 334 0 TEXT "Labels" | 175074,247627 1 0 0 "stallRxed"
I 334 0 2 Builtin Signal | 172074,247627 "" ""
L 335 336 0 TEXT "Labels" | 175074,243343 1 0 0 "ACKRxed"
W 64 6 0 9 63 BEZIER "Transitions" | 48012,197411 59579,195797 95649,181504 106856,175930
W 65 6 0 63 11 BEZIER "Transitions" | 106255,172815 94419,170798 59763,178747 47927,176730
C 66 65 0 TEXT "Conditions" | 62843,168563 1 0 0 "processRxDataInWEn == 1'b1"
W 68 6 0 16 357 BEZIER "Transitions" | 120926,119581 130781,111751 152663,94796 162518,86966
W 69 6 0 24 357 BEZIER "Transitions" | 122281,93503 131596,91478 152599,87697 161914,85672
W 71 6 0 33 357 BEZIER "Transitions" | 123360,67490 132540,71405 152828,79824 162008,83739
W 72 6 0 42 357 BEZIER "Transitions" | 123133,41607 132448,51732 153635,72170 162950,82295
L 74 75 0 TEXT "State Labels" | 65748,212778 1 0 0 "DO_CHK\n/5/"
S 75 17 45056 ELLIPSE "States" | 65748,212778 6500 6500
W 76 17 8194 75 18 BEZIER "Transitions" | 69849,207737 75657,200807 99461,167483 105269,160553
A 78 65 16 TEXT "Actions" | 51039,182627 1 0 0 "RxByte <= RxByteIn;\nRxCtrl <= RxCtrlIn;\nprocessRxByteRdy <= 1'b0;"
I 336 0 2 Builtin Signal | 172074,243343 "" ""
L 337 338 0 TEXT "Labels" | 175074,238702 1 0 0 "dataSequence"
I 338 0 2 Builtin Signal | 172074,238702 "" ""
L 341 342 0 TEXT "Labels" | 174929,216623 1 0 0 "RxByte[7:0]"
I 342 0 130 Builtin Signal | 171929,216623 "" ""
L 343 344 0 TEXT "Labels" | 175286,221621 1 0 0 "RxCtrl[7:0]"
I 344 0 130 Builtin Signal | 172286,221621 "" ""
L 345 346 0 TEXT "Labels" | 119382,216211 1 0 0 "RXByteStMachCurrState[2:0]"
I 346 0 130 Builtin Signal | 116382,216211 "" ""
A 349 9 4 TEXT "Actions" | 143783,207627 1 0 0 "RxByte <= 8'h00;\nRxCtrl <= 8'h00;\nRXByteStMachCurrState <= `IDLE_BYTE_ST;\nCRCError <= 1'b0;\nbitStuffError <= 1'b0;\nRxOverflow <= 1'b0;\nRxTimeOut <= 1'b0;\nNAKRxed <= 1'b0;\nstallRxed <= 1'b0;\nACKRxed <= 1'b0;\ndataSequence <= 1'b0;\nRxDataOut <= 8'h00;\nRxCtrlOut <= 8'h00;\nRxDataOutWEn <= 1'b0;\nrstCRC <= 1'b0;\nCRCData <= 8'h00;\nCRC5En <= 1'b0;\nCRC5_8Bit <= 1'b0;\nCRC16En <= 1'b0;\nRXDataByteCnt <= 10'h00;\nprocessRxByteRdy <= 1'b1;"
W 351 6 0 357 63 BEZIER "Transitions" | 165899,88318 165621,91424 166582,101426 164321,105232\
162060,109038 152965,112617 149770,115182 146575,117747\
142560,124240 140625,130720 138690,137200 135270,157360\
132480,162850 129690,168340 122852,170455 118982,171355
A 349 9 4 TEXT "Actions" | 143783,207627 1 0 0 "RxByte <= 8'h00;\nRxCtrl <= 8'h00;\nRXByteStMachCurrState <= `IDLE_BYTE_ST;\nCRCError <= 1'b0;\nbitStuffError <= 1'b0;\nRxOverflow <= 1'b0;\nRxTimeOut <= 1'b0;\nNAKRxed <= 1'b0;\nstallRxed <= 1'b0;\nACKRxed <= 1'b0;\ndataSequence <= 1'b0;\nRxDataOut <= 8'h00;\nRxCtrlOut <= 8'h00;\nRxDataOutWEn <= 1'b0;\nrstCRC <= 1'b0;\nCRCData <= 8'h00;\nCRC5En <= 1'b0;\nCRC5_8Bit <= 1'b0;\nCRC16En <= 1'b0;\nRXDataByteCnt <= 10'h00;\nprocessRxByteRdy <= 1'b1;"
I 346 0 130 Builtin Signal | 116382,216211 "" ""
L 345 346 0 TEXT "Labels" | 119382,216211 1 0 0 "RXByteStMachCurrState[2:0]"
I 344 0 130 Builtin Signal | 172286,221621 "" ""
L 343 344 0 TEXT "Labels" | 175286,221621 1 0 0 "RxCtrl[7:0]"
I 342 0 130 Builtin Signal | 171929,216623 "" ""
L 341 342 0 TEXT "Labels" | 174929,216623 1 0 0 "RxByte[7:0]"
I 338 0 2 Builtin Signal | 172074,238702 "" ""
L 337 338 0 TEXT "Labels" | 175074,238702 1 0 0 "dataSequence"
I 336 0 2 Builtin Signal | 172074,243343 "" ""
A 78 65 16 TEXT "Actions" | 51039,182627 1 0 0 "RxByte <= RxByteIn;\nRxCtrl <= RxCtrlIn;\nprocessRxByteRdy <= 1'b0;"
W 76 17 8194 75 18 BEZIER "Transitions" | 69849,207737 75657,200807 99461,167483 105269,160553
S 75 17 45056 ELLIPSE "States" | 65748,212778 6500 6500
L 74 75 0 TEXT "State Labels" | 65748,212778 1 0 0 "DO_CHK\n/5/"
W 72 6 0 42 357 BEZIER "Transitions" | 123133,41607 132448,51732 153635,72170 162950,82295
W 71 6 0 33 357 BEZIER "Transitions" | 123360,67490 132540,71405 152828,79824 162008,83739
W 69 6 0 24 357 BEZIER "Transitions" | 122281,93503 131596,91478 152599,87697 161914,85672
W 68 6 0 16 357 BEZIER "Transitions" | 120926,119581 130781,111751 152663,94796 162518,86966
C 66 65 0 TEXT "Conditions" | 62843,168563 1 0 0 "processRxDataInWEn == 1'b1"
W 65 6 0 63 11 BEZIER "Transitions" | 106255,172815 94419,170798 59763,178747 47927,176730
W 64 6 0 9 63 BEZIER "Transitions" | 48012,197411 59579,195797 95649,181504 106856,175930
I 367 0 2 Builtin Signal | 77453,221558 "" ""
L 366 367 0 TEXT "Labels" | 80453,221558 1 0 0 "Signal1"
I 355 0 130 Builtin Signal | 77612,216204 "" ""
L 354 355 0 TEXT "Labels" | 80612,216204 1 0 0 "RXDataByteCnt[9:0]"
I 353 0 2 Builtin Signal | 172356,234668 "" ""
L 352 353 0 TEXT "Labels" | 175356,234668 1 0 0 "CRCError"
W 81 17 0 20 75 BEZIER "Transitions" | 49379,248076 53439,241189 58262,225186 62322,218299
L 339 340 0 TEXT "Labels" | 175498,229252 1 0 0 "RxStatus[7:0]"
I 340 0 128 Builtin Signal | 172498,229252 "" ""
W 361 358 0 359 360 BEZIER "Transitions" | 90523,167640 102693,150317 114474,129084 126644,111760
I 360 358 0 Builtin Exit | 129540,111760
I 359 358 0 Builtin Entry | 86360,167640
H 358 357 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 357 6 81940 ELLIPSE "Junction" | 165320,84870 3500 3500
L 356 357 0 TEXT "State Labels" | 165320,84870 1 0 0 "J1"
W 82 17 4097 75 21 BEZIER "Transitions" | 63199,206800 60009,197085 40708,156469 41288,147696\
41868,138924 51896,113272 59871,108777 67846,104282\
74724,97474 86324,92674
L 356 357 0 TEXT "State Labels" | 165320,84870 1 0 0 "J1"
S 357 6 81940 ELLIPSE "Junction" | 165320,84870 3500 3500
H 358 357 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 359 358 0 Builtin Entry | 86360,167640
I 360 358 0 Builtin Exit | 129540,111760
W 361 358 0 359 360 BEZIER "Transitions" | 90523,167640 102693,150317 114474,129084 126644,111760
W 81 17 0 20 75 BEZIER "Transitions" | 49379,248076 53439,241189 58262,225186 62322,218299
L 352 353 0 TEXT "Labels" | 175356,234668 1 0 0 "CRCError"
I 353 0 2 Builtin Signal | 172356,234668 "" ""
L 354 355 0 TEXT "Labels" | 80612,216204 1 0 0 "RXDataByteCnt[9:0]"
I 355 0 130 Builtin Signal | 77612,216204 "" ""
L 366 367 0 TEXT "Labels" | 80453,221558 1 0 0 "Signal1"
I 367 0 2 Builtin Signal | 77453,221558 "" ""
A 383 351 16 TEXT "Actions" | 154286,108204 1 0 0 "processRxByteRdy <= 1'b1;"
I 382 0 2 Builtin OutPort | 78990,227664 "" ""
L 381 382 0 TEXT "Labels" | 84990,227664 1 0 0 "processRxByteRdy"
L 368 369 0 TEXT "Labels" | 132404,226868 1 0 0 "CRC5UpdateRdy"
I 369 0 2 Builtin InPort | 126404,226868 "" ""
L 370 371 0 TEXT "State Labels" | 30702,229308 1 0 0 "WAIT_CRC\n/13/"
S 371 41 86016 ELLIPSE "States" | 30702,229308 6500 6500
W 372 41 0 371 40 BEZIER "Transitions" | 35330,224745 46935,215765 58540,206785 70145,197805
C 373 372 0 TEXT "Conditions" | 40381,225556 1 0 0 "CRC5UpdateRdy == 1'b1"
L 374 375 0 TEXT "Labels" | 132404,222116 1 0 0 "CRC16UpdateRdy"
I 375 0 2 Builtin InPort | 126404,222116 "" ""
L 376 377 0 TEXT "State Labels" | 76540,228660 1 0 0 "WAIT_CRC\n/14/"
S 377 50 90112 ELLIPSE "States" | 76540,228660 6500 6500
W 378 50 0 292 377 BEZIER "Transitions" | 37855,252435 46562,247168 62458,237581 71165,232314
W 379 50 0 377 293 BEZIER "Transitions" | 76802,222169 77769,207119 78297,178932 79264,163882
C 380 379 0 TEXT "Conditions" | 39560,213610 1 0 0 "CRC16UpdateRdy == 1'b1"
W 379 50 0 377 293 BEZIER "Transitions" | 76802,222169 77769,207119 78297,178932 79264,163882
W 378 50 0 292 377 BEZIER "Transitions" | 37855,252435 46562,247168 62458,237581 71165,232314
S 377 50 90112 ELLIPSE "States" | 76540,228660 6500 6500
L 376 377 0 TEXT "State Labels" | 76540,228660 1 0 0 "WAIT_CRC\n/14/"
I 375 0 2 Builtin InPort | 126404,222116 "" ""
L 374 375 0 TEXT "Labels" | 132404,222116 1 0 0 "CRC16UpdateRdy"
C 373 372 0 TEXT "Conditions" | 40381,225556 1 0 0 "CRC5UpdateRdy == 1'b1"
W 372 41 0 371 40 BEZIER "Transitions" | 35330,224745 46935,215765 58540,206785 70145,197805
S 371 41 86016 ELLIPSE "States" | 30702,229308 6500 6500
L 370 371 0 TEXT "State Labels" | 30702,229308 1 0 0 "WAIT_CRC\n/13/"
I 369 0 2 Builtin InPort | 126404,226868 "" ""
L 368 369 0 TEXT "Labels" | 132404,226868 1 0 0 "CRC5UpdateRdy"
L 381 382 0 TEXT "Labels" | 84990,227664 1 0 0 "processRxByteRdy"
I 382 0 2 Builtin OutPort | 78990,227664 "" ""
A 383 351 16 TEXT "Actions" | 154286,108204 1 0 0 "processRxByteRdy <= 1'b1;"
A 162 40 4 TEXT "Actions" | 108520,254835 1 0 0 "RXDataByteCnt <= RXDataByteCnt + 1'b1;\ncase (RxCtrl)\n `DATA_STOP:\n begin\n if (CRC5Result != 5'h6)\n CRCError <= 1'b1;\n RxDataOut <= RxStatus;\n RxCtrlOut <= `RX_PACKET_STOP;\n RXByteStMachCurrState <= `IDLE_BYTE_ST;\n end\n `DATA_BIT_STUFF_ERROR:\n begin\n bitStuffError <= 1'b1;\n RxDataOut <= RxStatus;\n RxCtrlOut <= `RX_PACKET_STOP;\n RXByteStMachCurrState <= `IDLE_BYTE_ST;\n end\n `DATA_STREAM:\n begin\n if (RXDataByteCnt > 10'h2) \n begin\n RxOverflow <= 1'b1;\n RxDataOut <= RxStatus;\n RxCtrlOut <= `RX_PACKET_STOP;\n RXByteStMachCurrState <= `IDLE_BYTE_ST;\n end\n else \n begin\n RxDataOut <= RxByte;\n RxCtrlOut <= `RX_PACKET_STREAM;\n CRCData <= RxByte;\n CRC5_8Bit <= 1'b1;\n CRC5En <= 1'b1;\n end\n end\nendcase\nRxDataOutWEn <= 1'b1;"
C 188 13 0 TEXT "Conditions" | 25531,201445 1 0 0 "rst"
I 187 0 2 Builtin InPort | 154691,260362 "" ""
L 186 187 0 TEXT "Labels" | 160691,260362 1 0 0 "rst"
I 185 0 3 Builtin InPort | 155048,265416 "" ""
L 184 185 0 TEXT "Labels" | 161048,265416 1 0 0 "clk"
I 185 0 3 Builtin InPort | 155048,265416 "" ""
L 186 187 0 TEXT "Labels" | 160691,260362 1 0 0 "rst"
I 187 0 2 Builtin InPort | 154691,260362 "" ""
C 188 13 0 TEXT "Conditions" | 25531,201445 1 0 0 "rst"
L 212 213 0 TEXT "State Labels" | 113934,142150 1 0 0 "CHK_SYNC"
S 213 6 28676 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 113934,140548 6500 6500
L 215 216 0 TEXT "State Labels" | 113402,157040 1 0 0 "IDLE"
S 216 6 32772 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 113402,157040 6500 6500
H 217 216 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 218 217 36864 ELLIPSE "States" | 107950,139700 6500 6500
L 219 218 0 TEXT "State Labels" | 107950,139700 1 0 0 "CHK_START\n/3/"
I 220 217 0 Builtin Entry | 86360,167640
I 221 217 0 Builtin Exit | 136710,89055
W 222 217 0 220 218 BEZIER "Transitions" | 90523,167640 95262,160652 99562,152068 104302,145079
W 223 217 4096 218 221 BEZIER "Transitions" | 111743,134422 116788,127400 128768,96077 133814,89055
W 222 217 0 220 218 BEZIER "Transitions" | 90523,167640 95262,160652 99562,152068 104302,145079
I 221 217 0 Builtin Exit | 136710,89055
I 220 217 0 Builtin Entry | 86360,167640
L 219 218 0 TEXT "State Labels" | 107950,139700 1 0 0 "CHK_START\n/3/"
S 218 217 36864 ELLIPSE "States" | 107950,139700 6500 6500
H 217 216 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 216 6 32772 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 113402,157040 6500 6500
L 215 216 0 TEXT "State Labels" | 113402,157040 1 0 0 "IDLE"
S 213 6 28676 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 113934,140548 6500 6500
L 212 213 0 TEXT "State Labels" | 113934,142150 1 0 0 "CHK_SYNC"
W 236 6 0 213 357 BEZIER "Transitions" | 118353,135782 128966,124034 152340,99194 162953,87446
W 235 6 0 216 357 BEZIER "Transitions" | 117419,151931 129033,135644 151793,104087 163407,87800
C 234 231 0 TEXT "Conditions" | 42504,153376 1 0 0 "RXByteStMachCurrState == `IDLE_BYTE_ST"
C 233 232 0 TEXT "Conditions" | 41970,135220 1 0 0 "RXByteStMachCurrState == `CHECK_SYNC_ST"
H 224 213 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 225 224 40960 ELLIPSE "States" | 107950,139700 6500 6500
L 226 225 0 TEXT "State Labels" | 107950,139700 1 0 0 "DO\n/4/"
I 227 224 0 Builtin Entry | 86360,167640
I 228 224 0 Builtin Exit | 129540,111760
W 229 224 0 227 225 BEZIER "Transitions" | 90523,167640 95262,160652 99562,152068 104302,145079
W 230 224 0 225 228 BEZIER "Transitions" | 111743,134422 116788,127400 121598,118782 126644,111760
W 231 6 0 11 216 BEZIER "Transitions" | 41320,169131 41386,166461 41370,161119 41770,159283\
42170,157448 43639,155445 51849,155011 60059,154577\
91249,156261 106935,156394
W 232 6 0 11 213 BEZIER "Transitions" | 41377,169111 41443,162637 41370,149971 41770,146133\
42170,142296 43639,139892 51882,139324 60126,138757\
91699,140001 107452,140067
W 231 6 0 11 216 BEZIER "Transitions" | 41320,169131 41386,166461 41370,161119 41770,159283\
42170,157448 43639,155445 51849,155011 60059,154577\
91249,156261 106935,156394
W 230 224 0 225 228 BEZIER "Transitions" | 111743,134422 116788,127400 121598,118782 126644,111760
W 229 224 0 227 225 BEZIER "Transitions" | 90523,167640 95262,160652 99562,152068 104302,145079
I 228 224 0 Builtin Exit | 129540,111760
I 227 224 0 Builtin Entry | 86360,167640
L 226 225 0 TEXT "State Labels" | 107950,139700 1 0 0 "DO\n/4/"
S 225 224 40960 ELLIPSE "States" | 107950,139700 6500 6500
H 224 213 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
C 233 232 0 TEXT "Conditions" | 41970,135220 1 0 0 "RXByteStMachCurrState == `CHECK_SYNC_ST"
C 234 231 0 TEXT "Conditions" | 42504,153376 1 0 0 "RXByteStMachCurrState == `IDLE_BYTE_ST"
W 235 6 0 216 357 BEZIER "Transitions" | 117419,151931 129033,135644 151793,104087 163407,87800
W 236 6 0 213 357 BEZIER "Transitions" | 118353,135782 128966,124034 152340,99194 162953,87446
A 240 225 4 TEXT "Actions" | 124532,142082 1 0 0 "if (RxByte == `SYNC_BYTE)\n RXByteStMachCurrState = `CHECK_PID_ST;\nelse\n RXByteStMachCurrState = `IDLE_BYTE_ST;"
A 242 218 4 TEXT "Actions" | 127244,141208 1 0 0 "if (RxCtrl == `DATA_START)\n RXByteStMachCurrState <= `CHECK_SYNC_ST;"
C 243 82 0 TEXT "Conditions" | 20905,184375 1 0 0 "(RxByte[7:4] ^ RxByte[3:0] ) != 4'hf"
A 244 82 16 TEXT "Actions" | 20263,162000 1 0 0 "RXByteStMachCurrState <= `IDLE_BYTE_ST"
A 245 76 16 TEXT "Actions" | 83312,221127 1 0 0 "CRCError <= 1'b0;\nbitStuffError <= 1'b0;\nRxOverflow <= 1'b0;\nNAKRxed <= 1'b0;\nstallRxed <= 1'b0;\nACKRxed <= 1'b0;\ndataSequence <= 1'b0;\nRxTimeOut <= 1'b0;\nRXDataByteCnt <= 0;\nRxDataOut <= RxByte;\nRxCtrlOut <= `RX_PACKET_START;\nRxDataOutWEn <= 1'b1;\nrstCRC <= 1'b1;"
H 248 18 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 249 248 53248 ELLIPSE "States" | 56974,201060 6500 6500
L 250 249 0 TEXT "State Labels" | 56974,201060 1 0 0 "PROC\n/6/"
A 251 249 4 TEXT "Actions" | 92522,232212 1 0 0 "rstCRC <= 1'b0;\nRxDataOutWEn <= 1'b0;\ncase (RxByte[1:0] )\n `SPECIAL: //Special PID.\n RXByteStMachCurrState <= `IDLE_BYTE_ST;\n `TOKEN: //Token PID\n begin\n RXByteStMachCurrState <= `TOKEN_BYTE_ST;\n RXDataByteCnt <= 0;\n end\n `HANDSHAKE: //Handshake PID\n begin\n case (RxByte[3:2] )\n 2'b00:\n ACKRxed <= 1'b1;\n 2'b10:\n NAKRxed <= 1'b1;\n 2'b11:\n stallRxed <= 1'b1;\n default:\n begin\n $display (\"Invalid Handshake PID detected in ProcessRXByte\\n\");\n end\n endcase\n RXByteStMachCurrState <= `HS_BYTE_ST;\n end\n `DATA: //Data PID\n begin\n case (RxByte[3:2] )\n 2'b00:\n dataSequence <= 1'b0;\n 2'b10:\n dataSequence <= 1'b1;\n default:\n $display (\"Invalid DATA PID detected in ProcessRXByte\\n\");\n endcase\n RXByteStMachCurrState <= `DATA_BYTE_ST;\n RXDataByteCnt <= 0;\n end\nendcase"
I 252 248 0 Builtin Entry | 35384,229000
I 253 248 0 Builtin Exit | 78564,173120
W 254 248 0 252 249 BEZIER "Transitions" | 39547,229000 44083,222216 48824,213248 53361,206463
W 255 248 0 249 253 BEZIER "Transitions" | 60789,195800 65743,188968 70713,179952 75668,173120
W 254 248 0 252 249 BEZIER "Transitions" | 39547,229000 44083,222216 48824,213248 53361,206463
I 253 248 0 Builtin Exit | 78564,173120
I 252 248 0 Builtin Entry | 35384,229000
A 251 249 4 TEXT "Actions" | 92522,232212 1 0 0 "rstCRC <= 1'b0;\nRxDataOutWEn <= 1'b0;\ncase (RxByte[1:0] )\n `SPECIAL: //Special PID.\n RXByteStMachCurrState <= `IDLE_BYTE_ST;\n `TOKEN: //Token PID\n begin\n RXByteStMachCurrState <= `TOKEN_BYTE_ST;\n RXDataByteCnt <= 0;\n end\n `HANDSHAKE: //Handshake PID\n begin\n case (RxByte[3:2] )\n 2'b00:\n ACKRxed <= 1'b1;\n 2'b10:\n NAKRxed <= 1'b1;\n 2'b11:\n stallRxed <= 1'b1;\n default:\n begin\n $display (\"Invalid Handshake PID detected in ProcessRXByte\\n\");\n end\n endcase\n RXByteStMachCurrState <= `HS_BYTE_ST;\n end\n `DATA: //Data PID\n begin\n case (RxByte[3:2] )\n 2'b00:\n dataSequence <= 1'b0;\n 2'b10:\n dataSequence <= 1'b1;\n default:\n $display (\"Invalid DATA PID detected in ProcessRXByte\\n\");\n endcase\n RXByteStMachCurrState <= `DATA_BYTE_ST;\n RXDataByteCnt <= 0;\n end\nendcase"
L 250 249 0 TEXT "State Labels" | 56974,201060 1 0 0 "PROC\n/6/"
S 249 248 53248 ELLIPSE "States" | 56974,201060 6500 6500
H 248 18 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
A 245 76 16 TEXT "Actions" | 83312,221127 1 0 0 "CRCError <= 1'b0;\nbitStuffError <= 1'b0;\nRxOverflow <= 1'b0;\nNAKRxed <= 1'b0;\nstallRxed <= 1'b0;\nACKRxed <= 1'b0;\ndataSequence <= 1'b0;\nRxTimeOut <= 1'b0;\nRXDataByteCnt <= 0;\nRxDataOut <= RxByte;\nRxCtrlOut <= `RX_PACKET_START;\nRxDataOutWEn <= 1'b1;\nrstCRC <= 1'b1;"
A 244 82 16 TEXT "Actions" | 20263,162000 1 0 0 "RXByteStMachCurrState <= `IDLE_BYTE_ST"
C 243 82 0 TEXT "Conditions" | 20905,184375 1 0 0 "(RxByte[7:4] ^ RxByte[3:0] ) != 4'hf"
A 242 218 4 TEXT "Actions" | 127244,141208 1 0 0 "if (RxCtrl == `DATA_START)\n RXByteStMachCurrState <= `CHECK_SYNC_ST;"
A 240 225 4 TEXT "Actions" | 124532,142082 1 0 0 "if (RxByte == `SYNC_BYTE)\n RXByteStMachCurrState = `CHECK_PID_ST;\nelse\n RXByteStMachCurrState = `IDLE_BYTE_ST;"
W 269 32 0 257 260 BEZIER "Transitions" | 128387,136115 128570,122756 118958,98074 114728,93035\
110499,87996 110355,80840 110355,80474
A 268 263 16 TEXT "Actions" | 100115,177875 1 0 0 "if (RxCtrl != `DATA_STOP) //If more than PID rxed, then report error\n RxOverflow <= 1'b1;\nRxDataOut <= RxStatus;\nRxCtrlOut <= `RX_PACKET_STOP;\nRxDataOutWEn <= 1'b1;"
W 265 32 0 259 261 BEZIER "Transitions" | 70514,233704 74574,226817 79397,210814 83457,203927
W 263 32 4096 261 257 BEZIER "Transitions" | 90984,193365 96792,186435 120426,153343 126234,146413
L 262 261 0 TEXT "State Labels" | 86883,198406 1 0 0 "CHK\n/8/"
S 261 32 61440 ELLIPSE "States" | 86883,198406 6500 6500
I 260 32 0 Builtin Exit | 110355,78302
I 259 32 0 Builtin Entry | 66351,233704
L 258 257 0 TEXT "State Labels" | 129668,142146 1 0 0 "FIN\n/7/"
S 257 32 57344 ELLIPSE "States" | 129646,141752 5778 5778
W 256 17 0 18 21 BEZIER "Transitions" | 106988,149304 107171,135945 97823,112446 93593,107407\
89364,102368 89220,95212 89220,94846
S 257 32 57344 ELLIPSE "States" | 129646,141752 5778 5778
L 258 257 0 TEXT "State Labels" | 129668,142146 1 0 0 "FIN\n/7/"
I 259 32 0 Builtin Entry | 66351,233704
I 260 32 0 Builtin Exit | 110355,78302
S 261 32 61440 ELLIPSE "States" | 86883,198406 6500 6500
L 262 261 0 TEXT "State Labels" | 86883,198406 1 0 0 "CHK\n/8/"
W 263 32 4096 261 257 BEZIER "Transitions" | 90984,193365 96792,186435 120426,153343 126234,146413
W 265 32 0 259 261 BEZIER "Transitions" | 70514,233704 74574,226817 79397,210814 83457,203927
A 268 263 16 TEXT "Actions" | 100115,177875 1 0 0 "if (RxCtrl != `DATA_STOP) //If more than PID rxed, then report error\n RxOverflow <= 1'b1;\nRxDataOut <= RxStatus;\nRxCtrlOut <= `RX_PACKET_STOP;\nRxDataOutWEn <= 1'b1;"
W 269 32 0 257 260 BEZIER "Transitions" | 128387,136115 128570,122756 118958,98074 114728,93035\
110499,87996 110355,80840 110355,80474
END
/trunk/RTL/serialInterfaceEngine/SIETransmitter.asf
6,7 → 6,7
ENTITY="SIETransmitter"
FRAMES=ON
FREEOID=955
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// SIETransmitter\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: SIETransmitter.asf,v 1.2 2004-12-18 14:36:14 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n`include \"usbConstants_h.v\"\n\n"
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// SIETransmitter\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: SIETransmitter.asf,v 1.3 2004-12-31 14:40:43 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n`include \"usbConstants_h.v\"\n\n"
END
BUNDLES
B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3333 0 0000 1 "Arial" 0
121,452 → 121,463
GRIDSIZE 0,0 10000,10000
END
OBJECTS
S 9 6 0 ELLIPSE "States" | 41526,197822 6500 6500
L 8 9 0 TEXT "State Labels" | 41526,197822 1 0 0 "START_SIETX\n/22/"
W 545 458 0 530 540 BEZIER "Transitions" | 168710,66267 156425,60534 83183,49066 70898,43333
C 557 555 0 TEXT "Conditions" | 72988,107460 1 0 0 "USBWireRdy == 1'b1"
A 556 555 16 TEXT "Actions" | 112553,111735 1 0 0 "USBWireData <= JBit;\nUSBWireCtrl <= `TRI_STATE;\nUSBWireWEn <= 1'b1;"
W 555 458 0 543 534 BEZIER "Transitions" | 69825,102352 80940,102469 155253,103091 166368,103208
A 554 534 4 TEXT "Actions" | 157773,116901 1 0 0 "USBWireWEn <= 1'b0;"
C 553 549 0 TEXT "Conditions" | 134841,94437 1 0 0 "USBWireRdy == 1'b1"
C 552 547 0 TEXT "Conditions" | 72597,69165 1 0 0 "USBWireRdy == 1'b1"
A 550 549 16 TEXT "Actions" | 89913,93969 1 0 0 "USBWireData <= JBit;\nUSBWireCtrl <= `TRI_STATE;\nUSBWireWEn <= 1'b1;"
W 549 458 0 534 532 BEZIER "Transitions" | 166590,101641 155007,95674 81782,81027 70199,75060
A 548 547 16 TEXT "Actions" | 109101,76185 1 0 0 "USBWireData <= JBit;\nUSBWireCtrl <= `TRI_STATE;\nUSBWireWEn <= 1'b1;"
W 547 458 0 532 530 BEZIER "Transitions" | 71250,71190 82482,70839 157007,69015 168239,68664
L 544 543 0 TEXT "State Labels" | 63328,102539 1 0 0 "WAIT_WIRE\n/47/"
L 7 6 0 TEXT "Labels" | 57079,207538 1 0 0 "SIETx"
F 6 0 671089152 185 0 RECT 0,0,0 0 0 1 255,255,255 0 | 14988,15700 199488,210298
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 93869,266185 1 0 0 "Module: SIETransmitter"
L 10 11 0 TEXT "State Labels" | 41526,175604 1 0 0 "STX_CHK_ST\n/23/"
S 11 6 0 ELLIPSE "States" | 41526,175604 6500 6500
I 12 6 0 Builtin Reset | 22016,204762
W 13 6 0 12 9 BEZIER "Transitions" | 22016,204762 26512,204498 31110,200468 35074,198608
L 15 16 0 TEXT "State Labels" | 115356,124706 1 0 0 "RES_ST"
I 816 0 2 Builtin OutPort | 64372,260578 "" ""
L 817 818 0 TEXT "Labels" | 72692,255938 1 0 0 "processTxByteRdy"
I 818 0 2 Builtin InPort | 66692,255938 "" ""
L 819 820 0 TEXT "Labels" | 70372,251298 1 0 0 "TxByteOut[7:0]"
I 820 0 130 Builtin OutPort | 64372,251298 "" ""
L 821 822 0 TEXT "Labels" | 70372,246658 1 0 0 "TxByteOutCtrl[7:0]"
I 822 0 130 Builtin OutPort | 64372,246658 "" ""
L 823 824 0 TEXT "Labels" | 21604,240596 1 0 0 "USBWireData[1:0]"
I 824 0 130 Builtin OutPort | 15604,240596 "" ""
L 825 826 0 TEXT "Labels" | 21140,235724 1 0 0 "USBWireCtrl"
I 826 0 2 Builtin OutPort | 15372,236188 "" ""
L 827 828 0 TEXT "Labels" | 23692,231780 1 0 0 "USBWireGnt"
I 828 0 2 Builtin InPort | 17692,231780 "" ""
L 829 830 0 TEXT "Labels" | 21372,227372 1 0 0 "USBWireReq"
I 830 0 2 Builtin OutPort | 15372,227372 "" ""
L 831 832 0 TEXT "Labels" | 21372,222732 1 0 0 "USBWireWEn"
I 830 0 2 Builtin OutPort | 15372,227372 "" ""
L 829 830 0 TEXT "Labels" | 21372,227372 1 0 0 "USBWireReq"
I 828 0 2 Builtin InPort | 17692,231780 "" ""
L 827 828 0 TEXT "Labels" | 23692,231780 1 0 0 "USBWireGnt"
I 826 0 2 Builtin OutPort | 15372,236188 "" ""
L 825 826 0 TEXT "Labels" | 21140,235724 1 0 0 "USBWireCtrl"
I 824 0 130 Builtin OutPort | 15604,240596 "" ""
L 823 824 0 TEXT "Labels" | 21604,240596 1 0 0 "USBWireData[1:0]"
I 822 0 130 Builtin OutPort | 64372,246658 "" ""
L 821 822 0 TEXT "Labels" | 70372,246658 1 0 0 "TxByteOutCtrl[7:0]"
I 820 0 130 Builtin OutPort | 64372,251298 "" ""
L 819 820 0 TEXT "Labels" | 70372,251298 1 0 0 "TxByteOut[7:0]"
I 818 0 2 Builtin InPort | 66692,255938 "" ""
L 817 818 0 TEXT "Labels" | 72692,255938 1 0 0 "processTxByteRdy"
I 816 0 2 Builtin OutPort | 64372,260578 "" ""
L 15 16 0 TEXT "State Labels" | 115356,124706 1 0 0 "RES_ST"
W 13 6 0 12 9 BEZIER "Transitions" | 22016,204762 26512,204498 31110,200468 35074,198608
I 12 6 0 Builtin Reset | 22016,204762
S 11 6 0 ELLIPSE "States" | 41526,175604 6500 6500
L 10 11 0 TEXT "State Labels" | 41526,175604 1 0 0 "STX_CHK_ST\n/23/"
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 93869,266185 1 0 0 "Module: SIETransmitter"
F 6 0 671089152 185 0 RECT 0,0,0 0 0 1 255,255,255 0 | 14988,15700 199488,210298
L 7 6 0 TEXT "Labels" | 57079,207538 1 0 0 "SIETx"
L 544 543 0 TEXT "State Labels" | 63328,102539 1 0 0 "WAIT_WIRE\n/47/"
W 547 458 0 532 530 BEZIER "Transitions" | 71250,71190 82482,70839 157007,69015 168239,68664
A 548 547 16 TEXT "Actions" | 109101,76185 1 0 0 "USBWireData <= JBit;\nUSBWireCtrl <= `TRI_STATE;\nUSBWireWEn <= 1'b1;"
W 549 458 0 534 532 BEZIER "Transitions" | 166590,101641 155007,95674 81782,81027 70199,75060
A 550 549 16 TEXT "Actions" | 89913,93969 1 0 0 "USBWireData <= JBit;\nUSBWireCtrl <= `TRI_STATE;\nUSBWireWEn <= 1'b1;"
C 552 547 0 TEXT "Conditions" | 72597,69165 1 0 0 "USBWireRdy == 1'b1"
C 553 549 0 TEXT "Conditions" | 134841,94437 1 0 0 "USBWireRdy == 1'b1"
A 554 534 4 TEXT "Actions" | 157773,116901 1 0 0 "USBWireWEn <= 1'b0;"
W 555 458 0 543 534 BEZIER "Transitions" | 69825,102352 80940,102469 155253,103091 166368,103208
A 556 555 16 TEXT "Actions" | 112553,111735 1 0 0 "USBWireData <= JBit;\nUSBWireCtrl <= `TRI_STATE;\nUSBWireWEn <= 1'b1;"
C 557 555 0 TEXT "Conditions" | 72988,107460 1 0 0 "USBWireRdy == 1'b1"
W 545 458 0 530 540 BEZIER "Transitions" | 168710,66267 156425,60534 83183,49066 70898,43333
L 8 9 0 TEXT "State Labels" | 41526,197822 1 0 0 "START_SIETX\n/22/"
S 9 6 0 ELLIPSE "States" | 41526,197822 6500 6500
A 835 9 4 TEXT "Actions" | 153876,205564 1 0 0 "processTxByteWEn <= 1'b0;\nTxByteOut <= 8'h00;\nTxByteOutCtrl <= 8'h00;\nUSBWireData <= 2'b00;\nUSBWireCtrl <= `TRI_STATE;\nUSBWireReq <= 1'b0;\nUSBWireWEn <= 1'b0;\nrstCRC <= 1'b0;\nCRCData <= 8'h00;\nCRC5En <= 1'b0;\nCRC5_8Bit <= 1'b0;\nCRC16En <= 1'b0;\nSIEPortTxRdy <= 1'b0;\nSIEPortData <= 8'h00;\nSIEPortCtrl <= 8'h00;\ni <= 5'h0;"
W 574 458 0 567 543 BEZIER "Transitions" | 44298,153135 48358,141709 56556,119871 60616,108445
A 563 530 4 TEXT "Actions" | 161517,83673 1 0 0 "USBWireWEn <= 1'b0;"
A 573 567 4 TEXT "Actions" | 56696,160909 1 0 0 "processTxByteWEn <= 1'b0;"
I 572 458 0 Builtin Entry | 44780,253519
W 571 458 0 572 564 BEZIER "Transitions" | 48542,253519 46980,242300 45702,231079 44140,219860
C 570 566 0 TEXT "Conditions" | 44385,204992 1 0 0 "processTxByteRdy == 1'b1"
A 569 566 16 TEXT "Actions" | 23113,191369 1 0 0 "processTxByteWEn <= 1'b1;\nTxByteOut <= SIEPortData;\nTxByteOutCtrl <= `DATA_STOP;"
L 568 567 0 TEXT "State Labels" | 42474,159373 1 0 0 "PKT_SENT\n/5/"
S 567 458 0 ELLIPSE "States" | 42474,159373 6500 6500
W 566 458 0 564 567 BEZIER "Transitions" | 43356,206909 43221,193222 43084,179535 42949,165848
L 565 564 0 TEXT "State Labels" | 43751,213384 1 0 0 "WAIT_RDY\n/37/"
S 564 458 0 ELLIPSE "States" | 43751,213384 6500 6500
A 562 532 4 TEXT "Actions" | 37965,60741 1 0 0 "USBWireWEn <= 1'b0;"
S 16 6 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 115356,123104 6500 6500
H 17 16 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 832 0 2 Builtin OutPort | 15372,222732 "" ""
L 833 834 0 TEXT "Labels" | 23692,218324 1 0 0 "USBWireRdy"
I 834 0 2 Builtin InPort | 17692,218324 "" ""
A 836 63 4 TEXT "Actions" | 101212,188184 1 0 0 "SIEPortTxRdy <= 1'b1;"
L 837 838 0 TEXT "Labels" | 74732,224652 1 0 0 "SIEPortData[7:0]"
I 838 0 130 Builtin Signal | 71732,224652 "" ""
L 839 840 0 TEXT "Labels" | 74500,220244 1 0 0 "SIEPortCtrl[7:0]"
I 840 0 130 Builtin Signal | 71500,220244 "" ""
L 843 844 0 TEXT "Labels" | 74500,215836 1 0 0 "i[4:0]"
I 844 0 130 Builtin Signal | 71500,215836 "" ""
L 845 846 0 TEXT "Labels" | 131108,216932 1 0 0 "KBit[1:0]"
I 846 0 130 Builtin InPort | 125108,216932 "" ""
I 847 0 130 Builtin InPort | 125241,221252 "" ""
I 846 0 130 Builtin InPort | 125108,216932 "" ""
L 845 846 0 TEXT "Labels" | 131108,216932 1 0 0 "KBit[1:0]"
I 844 0 130 Builtin Signal | 71500,215836 "" ""
L 843 844 0 TEXT "Labels" | 74500,215836 1 0 0 "i[4:0]"
I 840 0 130 Builtin Signal | 71500,220244 "" ""
L 839 840 0 TEXT "Labels" | 74500,220244 1 0 0 "SIEPortCtrl[7:0]"
I 838 0 130 Builtin Signal | 71732,224652 "" ""
L 837 838 0 TEXT "Labels" | 74732,224652 1 0 0 "SIEPortData[7:0]"
A 836 63 4 TEXT "Actions" | 101212,188184 1 0 0 "SIEPortTxRdy <= 1'b1;"
I 834 0 2 Builtin InPort | 17692,218324 "" ""
L 833 834 0 TEXT "Labels" | 23692,218324 1 0 0 "USBWireRdy"
I 832 0 2 Builtin OutPort | 15372,222732 "" ""
H 17 16 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 16 6 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 115356,123104 6500 6500
A 562 532 4 TEXT "Actions" | 37965,60741 1 0 0 "USBWireWEn <= 1'b0;"
S 564 458 0 ELLIPSE "States" | 43751,213384 6500 6500
L 565 564 0 TEXT "State Labels" | 43751,213384 1 0 0 "WAIT_RDY\n/42/"
W 566 458 0 564 567 BEZIER "Transitions" | 43356,206909 43221,193222 43084,179535 42949,165848
S 567 458 0 ELLIPSE "States" | 42474,159373 6500 6500
L 568 567 0 TEXT "State Labels" | 42474,159373 1 0 0 "PKT_SENT\n/9/"
A 569 566 16 TEXT "Actions" | 23113,191369 1 0 0 "processTxByteWEn <= 1'b1;\nTxByteOut <= SIEPortData;\nTxByteOutCtrl <= `DATA_STOP;"
C 570 566 0 TEXT "Conditions" | 44385,204992 1 0 0 "processTxByteRdy == 1'b1"
W 571 458 0 572 564 BEZIER "Transitions" | 48542,253519 46980,242300 45702,231079 44140,219860
I 572 458 0 Builtin Entry | 44780,253519
A 573 567 4 TEXT "Actions" | 56696,160909 1 0 0 "processTxByteWEn <= 1'b0;"
A 563 530 4 TEXT "Actions" | 161517,83673 1 0 0 "USBWireWEn <= 1'b0;"
W 574 458 0 567 543 BEZIER "Transitions" | 44298,153135 48358,141709 56556,119871 60616,108445
A 835 9 4 TEXT "Actions" | 153876,205564 1 0 0 "processTxByteWEn <= 1'b0;\nTxByteOut <= 8'h00;\nTxByteOutCtrl <= 8'h00;\nUSBWireData <= 2'b00;\nUSBWireCtrl <= `TRI_STATE;\nUSBWireReq <= 1'b0;\nUSBWireWEn <= 1'b0;\nrstCRC <= 1'b0;\nCRCData <= 8'h00;\nCRC5En <= 1'b0;\nCRC5_8Bit <= 1'b0;\nCRC16En <= 1'b0;\nSIEPortTxRdy <= 1'b0;\nSIEPortData <= 8'h00;\nSIEPortCtrl <= 8'h00;\ni <= 5'h0;"
L 309 310 0 TEXT "Labels" | 129515,260188 1 0 0 "rstCRC"
I 310 0 2 Builtin OutPort | 123515,260188 "" ""
L 311 312 0 TEXT "Labels" | 129156,255220 1 0 0 "CRCData[7:0]"
I 312 0 130 Builtin OutPort | 123156,255220 "" ""
L 313 314 0 TEXT "Labels" | 131655,250603 1 0 0 "CRC5Result[4:0]"
I 314 0 130 Builtin InPort | 125655,250603 "" ""
L 315 316 0 TEXT "Labels" | 129509,245629 1 0 0 "CRC5En"
I 316 0 2 Builtin OutPort | 123509,245629 "" ""
L 317 318 0 TEXT "Labels" | 129866,241010 1 0 0 "CRC5_8Bit"
I 318 0 2 Builtin OutPort | 123866,241010 "" ""
L 319 320 0 TEXT "Labels" | 130127,231343 1 0 0 "CRC16En"
L 848 847 0 TEXT "Labels" | 131241,221252 1 0 0 "JBit[1:0]"
L 319 320 0 TEXT "Labels" | 130127,231343 1 0 0 "CRC16En"
I 318 0 2 Builtin OutPort | 123866,241010 "" ""
L 317 318 0 TEXT "Labels" | 129866,241010 1 0 0 "CRC5_8Bit"
I 316 0 2 Builtin OutPort | 123509,245629 "" ""
L 315 316 0 TEXT "Labels" | 129509,245629 1 0 0 "CRC5En"
I 314 0 130 Builtin InPort | 125655,250603 "" ""
L 313 314 0 TEXT "Labels" | 131655,250603 1 0 0 "CRC5Result[4:0]"
I 312 0 130 Builtin OutPort | 123156,255220 "" ""
L 311 312 0 TEXT "Labels" | 129156,255220 1 0 0 "CRCData[7:0]"
I 310 0 2 Builtin OutPort | 123515,260188 "" ""
L 309 310 0 TEXT "Labels" | 129515,260188 1 0 0 "rstCRC"
I 606 489 0 Builtin Exit | 138120,51311
I 599 489 0 Builtin Entry | 81144,219546
I 324 0 130 Builtin InPort | 126267,236303 "" ""
L 323 324 0 TEXT "Labels" | 132267,236303 1 0 0 "CRC16Result[15:0]"
I 320 0 2 Builtin OutPort | 124127,231343 "" ""
S 63 6 0 ELLIPSE "States" | 112744,173179 6500 6500
L 62 63 0 TEXT "State Labels" | 113731,172352 1 0 0 "STX_WAIT_BYTE\n/24/"
C 55 51 0 TEXT "Conditions" | 43286,121215 1 0 0 "SIEPortCtrl == `TX_RESUME_START"
I 872 360 0 Builtin Exit | 188676,86316
W 51 6 0 11 16 BEZIER "Transitions" | 41219,169119 41353,163357 41254,137442 41790,133556\
42326,129670 44202,125650 52711,124511 61220,123372\
92777,123293 108857,123025
I 872 360 0 Builtin Exit | 188676,86316
S 617 489 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 114123,147554 6500 6500
H 610 609 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 609 489 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 111818,198264 6500 6500
L 608 609 0 TEXT "State Labels" | 111818,198264 1 0 0 "PID"
C 55 51 0 TEXT "Conditions" | 43286,121215 1 0 0 "SIEPortCtrl == `TX_RESUME_START"
L 62 63 0 TEXT "State Labels" | 113731,172352 1 0 0 "STX_WAIT_BYTE\n/24/"
S 63 6 0 ELLIPSE "States" | 112744,173179 6500 6500
I 320 0 2 Builtin OutPort | 124127,231343 "" ""
L 323 324 0 TEXT "Labels" | 132267,236303 1 0 0 "CRC16Result[15:0]"
I 324 0 130 Builtin InPort | 126267,236303 "" ""
I 599 489 0 Builtin Entry | 81144,219546
I 606 489 0 Builtin Exit | 138120,51311
W 895 224 8194 891 897 BEZIER "Transitions" | 101794,119505 95833,118125 85494,117151 81290,118312\
77086,119473 72191,126878 71751,132901 71312,138925\
74451,155618 76866,160637 79282,165657 85808,169046\
89165,169297 92522,169548 98692,166980 102143,165788
C 894 893 0 TEXT "Conditions" | 109367,115011 1 0 0 "i == 5'h7"
W 893 224 8193 891 909 BEZIER "Transitions" | 107977,115304 108094,108635 108755,97421 108872,90752
L 892 891 0 TEXT "State Labels" | 107874,121801 1 0 0 "CHK_FIN\n/2/"
S 891 224 0 ELLIPSE "States" | 107874,121801 6500 6500
L 890 885 0 TEXT "State Labels" | 60832,129059 1 0 0 "CHK_FIN\n/1/"
C 889 888 0 TEXT "Conditions" | 62558,122269 1 0 0 "i == 5'h7"
W 888 217 8193 885 221 BEZIER "Transitions" | 60935,122562 61052,115893 61713,104679 61830,98010
W 887 217 8194 885 883 BEZIER "Transitions" | 54752,126763 48791,125383 38452,124409 34248,125570\
30044,126731 25149,134136 24709,140159 24270,146183\
27409,162876 29824,167895 32240,172915 38766,176304\
42123,176555 45480,176806 51650,174238 55101,173046
A 886 885 4 TEXT "Actions" | 76742,138579 1 0 0 "USBWireWEn <= 1'b0;\ni <= i + 1'b1;"
S 885 217 0 ELLIPSE "States" | 60832,129059 6500 6500
L 884 883 0 TEXT "State Labels" | 60901,170112 1 0 0 "STX_WAIT_RDY\n/26/"
S 883 217 0 ELLIPSE "States" | 60901,170112 6500 6500
C 882 880 0 TEXT "Conditions" | 61330,163577 1 0 0 "USBWireRdy == 1'b1"
A 881 880 16 TEXT "Actions" | 49805,157344 1 0 0 "USBWireData <= 2'b00;\nUSBWireCtrl <= `TRI_STATE;\nUSBWireWEn <= 1'b1;"
W 880 217 0 883 885 BEZIER "Transitions" | 60836,163644 60774,157457 60714,141730 60652,135543
W 65 6 0 63 11 BEZIER "Transitions" | 106255,172815 94419,170798 59299,174571 47927,176730
C 66 65 0 TEXT "Conditions" | 67688,166172 1 0 0 "SIEPortWEn == 1'b1"
W 68 6 0 16 911 BEZIER "Transitions" | 120272,118853 129598,109443 150861,93096 161245,86846
A 78 65 16 TEXT "Actions" | 54348,179673 1 0 0 "SIEPortData <= SIEPortDataIn;\nSIEPortCtrl <= SIEPortCtrlIn;\nSIEPortTxRdy <= 1'b0;"
W 351 6 0 911 63 BEZIER "Transitions" | 165111,88472 164661,92612 166410,102460 164070,105655\
161730,108850 152965,112617 149770,115182 146575,117747\
142560,124240 140625,130720 138690,137200 135270,157360\
132480,162850 129690,168340 122852,170455 118982,171355
A 78 65 16 TEXT "Actions" | 54348,179673 1 0 0 "SIEPortData <= SIEPortDataIn;\nSIEPortCtrl <= SIEPortCtrlIn;\nSIEPortTxRdy <= 1'b0;"
W 68 6 0 16 911 BEZIER "Transitions" | 120272,118853 129598,109443 150861,93096 161245,86846
C 66 65 0 TEXT "Conditions" | 67688,166172 1 0 0 "SIEPortWEn == 1'b1"
W 65 6 0 63 11 BEZIER "Transitions" | 106255,172815 94419,170798 59299,174571 47927,176730
W 880 217 0 883 885 BEZIER "Transitions" | 60836,163644 60774,157457 60714,141730 60652,135543
A 881 880 16 TEXT "Actions" | 49805,157344 1 0 0 "USBWireData <= 2'b00;\nUSBWireCtrl <= `TRI_STATE;\nUSBWireWEn <= 1'b1;"
C 882 880 0 TEXT "Conditions" | 61330,163577 1 0 0 "USBWireRdy == 1'b1"
S 883 217 0 ELLIPSE "States" | 60901,170112 6500 6500
L 884 883 0 TEXT "State Labels" | 60901,170112 1 0 0 "STX_WAIT_RDY\n/26/"
S 885 217 0 ELLIPSE "States" | 60832,129059 6500 6500
A 886 885 4 TEXT "Actions" | 76742,138579 1 0 0 "USBWireWEn <= 1'b0;\ni <= i + 1'b1;"
W 887 217 8194 885 883 BEZIER "Transitions" | 54752,126763 48791,125383 38452,124409 34248,125570\
30044,126731 25149,134136 24709,140159 24270,146183\
27409,162876 29824,167895 32240,172915 38766,176304\
42123,176555 45480,176806 51650,174238 55101,173046
W 888 217 8193 885 221 BEZIER "Transitions" | 60935,122562 61052,115893 61713,104679 61830,98010
C 889 888 0 TEXT "Conditions" | 62558,122269 1 0 0 "i == 5'h7"
L 890 885 0 TEXT "State Labels" | 60832,129059 1 0 0 "CHK_FIN\n/1/"
S 891 224 0 ELLIPSE "States" | 107874,121801 6500 6500
L 892 891 0 TEXT "State Labels" | 107874,121801 1 0 0 "CHK_FIN\n/0/"
W 893 224 8193 891 909 BEZIER "Transitions" | 107977,115304 108094,108635 108755,97421 108872,90752
C 894 893 0 TEXT "Conditions" | 109367,115011 1 0 0 "i == 5'h7"
W 895 224 8194 891 897 BEZIER "Transitions" | 101794,119505 95833,118125 85494,117151 81290,118312\
77086,119473 72191,126878 71751,132901 71312,138925\
74451,155618 76866,160637 79282,165657 85808,169046\
89165,169297 92522,169548 98692,166980 102143,165788
S 911 6 4116 ELLIPSE "Junction" | 164265,85078 3500 3500
L 910 911 0 TEXT "State Labels" | 164265,85078 1 0 0 "J1"
C 639 638 0 TEXT "Conditions" | 98125,186740 1 0 0 "processTxByteRdy == 1'b1"
W 638 610 0 635 641 BEZIER "Transitions" | 97095,188632 96960,174945 96824,161717 96689,148030
W 637 610 0 636 635 BEZIER "Transitions" | 71380,234686 69818,223467 90464,208437 97872,201588
I 636 610 0 Builtin Entry | 71380,236621
S 635 610 0 ELLIPSE "States" | 97491,195105 6500 6500
L 634 626 0 TEXT "State Labels" | 112740,88546 1 0 0 "CRC"
S 626 489 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 112740,88546 6500 6500
H 633 626 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
L 625 617 0 TEXT "State Labels" | 114123,147554 1 0 0 "BYTE1"
H 624 617 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
W 356 6 0 9 63 BEZIER "Transitions" | 48006,198320 68542,191838 89078,185356 109614,178874
L 358 359 0 TEXT "State Labels" | 116250,97088 1 0 0 "PKT_ST"
S 359 6 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 116250,97088 6500 6500
H 360 359 512 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 363 360 0 Builtin Entry | 47792,257148
L 608 609 0 TEXT "State Labels" | 111818,198264 1 0 0 "PID"
S 609 489 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 111818,198264 6500 6500
H 610 609 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 617 489 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 114123,147554 6500 6500
I 909 224 0 Builtin Exit | 108872,88817
I 908 224 0 Builtin Entry | 85162,237767
L 907 906 0 TEXT "State Labels" | 100220,209467 1 0 0 "WAIT_GNT\n/33/"
S 906 224 0 ELLIPSE "States" | 100220,209467 6500 6500
A 905 904 16 TEXT "Actions" | 90803,229890 1 0 0 "USBWireReq <= 1'b1;"
W 904 224 0 908 906 BEZIER "Transitions" | 88924,237767 91942,232360 93569,220262 96587,214855
C 903 902 0 TEXT "Conditions" | 103902,201102 1 0 0 "USBWireGnt == 1'b1"
W 902 224 0 906 897 BEZIER "Transitions" | 100017,202983 102891,191758 105765,180532 108639,169307
A 901 899 16 TEXT "Actions" | 96847,150086 1 0 0 "USBWireData <= SIEPortData[1:0];\nUSBWireCtrl <= `DRIVE;\nUSBWireWEn <= 1'b1;"
C 900 899 0 TEXT "Conditions" | 108372,156319 1 0 0 "USBWireRdy == 1'b1"
W 899 224 0 897 891 BEZIER "Transitions" | 107878,156386 107816,150199 107756,134472 107694,128285
L 898 897 0 TEXT "State Labels" | 107943,162854 1 0 0 "WAIT_RDY\n/43/"
S 897 224 0 ELLIPSE "States" | 107943,162854 6500 6500
A 896 891 4 TEXT "Actions" | 123784,131321 1 0 0 "USBWireWEn <= 1'b0;\ni <= i + 1'b1;"
W 367 6 0 11 359 BEZIER "Transitions" | 41599,169132 41831,151927 41618,118013 42489,108539\
43361,99065 46384,95576 54928,94878 63472,94181\
94207,96080 109784,96428
A 896 891 4 TEXT "Actions" | 123784,131321 1 0 0 "USBWireWEn <= 1'b0;\ni <= i + 1'b1;"
S 897 224 0 ELLIPSE "States" | 107943,162854 6500 6500
L 898 897 0 TEXT "State Labels" | 107943,162854 1 0 0 "WAIT_RDY\n/43/"
W 899 224 0 897 891 BEZIER "Transitions" | 107878,156386 107816,150199 107756,134472 107694,128285
C 900 899 0 TEXT "Conditions" | 108372,156319 1 0 0 "USBWireRdy == 1'b1"
A 901 899 16 TEXT "Actions" | 96847,150086 1 0 0 "USBWireData <= SIEPortData[1:0];\nUSBWireCtrl <= `DRIVE;\nUSBWireWEn <= 1'b1;"
W 902 224 0 906 897 BEZIER "Transitions" | 100017,202983 102891,191758 105765,180532 108639,169307
C 903 902 0 TEXT "Conditions" | 103902,201102 1 0 0 "USBWireGnt == 1'b1"
W 904 224 0 908 906 BEZIER "Transitions" | 88924,237767 91942,232360 93569,220262 96587,214855
A 905 904 16 TEXT "Actions" | 90803,229890 1 0 0 "USBWireReq <= 1'b1;"
S 906 224 0 ELLIPSE "States" | 100220,209467 6500 6500
L 907 906 0 TEXT "State Labels" | 100220,209467 1 0 0 "WAIT_GNT\n/34/"
I 908 224 0 Builtin Entry | 85162,237767
I 909 224 0 Builtin Exit | 108872,88817
W 915 912 0 913 914 BEZIER "Transitions" | 90122,167640 102263,150334 114604,129067 126745,111760
I 914 912 0 Builtin Exit | 129540,111760
I 913 912 0 Builtin Entry | 86360,167640
H 912 911 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
L 653 652 0 TEXT "State Labels" | 91348,185851 1 0 0 "UPD_CRC\n/28/"
S 652 624 0 ELLIPSE "States" | 91348,185851 6500 6500
W 651 489 0 626 606 BEZIER "Transitions" | 115586,82704 120772,74867 130139,59148 135325,51311
W 650 489 0 617 626 BEZIER "Transitions" | 113848,141065 113272,128964 113115,107129 112539,95028
W 649 489 0 609 617 BEZIER "Transitions" | 111887,191768 112232,181972 113177,163821 113522,154025
W 648 489 0 599 609 BEZIER "Transitions" | 84906,219546 91705,215743 99788,205923 106587,202120
I 363 360 0 Builtin Entry | 47792,257148
H 360 359 512 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 359 6 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 116250,97088 6500 6500
L 358 359 0 TEXT "State Labels" | 116250,97088 1 0 0 "PKT_ST"
W 356 6 0 9 63 BEZIER "Transitions" | 48006,198320 68542,191838 89078,185356 109614,178874
H 624 617 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
L 625 617 0 TEXT "State Labels" | 114123,147554 1 0 0 "BYTE1"
H 633 626 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 626 489 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 112740,88546 6500 6500
L 634 626 0 TEXT "State Labels" | 112740,88546 1 0 0 "CRC"
S 635 610 0 ELLIPSE "States" | 97491,195105 6500 6500
I 636 610 0 Builtin Entry | 71380,236621
W 637 610 0 636 635 BEZIER "Transitions" | 71380,234686 69818,223467 90464,208437 97872,201588
W 638 610 0 635 641 BEZIER "Transitions" | 97095,188632 96960,174945 96824,161717 96689,148030
C 639 638 0 TEXT "Conditions" | 98125,186740 1 0 0 "processTxByteRdy == 1'b1"
L 910 911 0 TEXT "State Labels" | 164265,85078 1 0 0 "J1"
S 911 6 4116 ELLIPSE "Junction" | 164265,85078 3500 3500
W 927 360 0 933 929 BEZIER "Transitions" | 144010,222256 143885,215969 143879,198227 143754,191940
C 924 922 0 TEXT "Conditions" | 97818,190135 1 0 0 "USBWireRdy == 1'b1"
A 923 922 16 TEXT "Actions" | 93859,209922 1 0 0 "//actively drive the first J bit\nUSBWireData <= JBit; \nUSBWireCtrl <= `DRIVE;\nUSBWireWEn <= 1'b1;"
W 922 360 0 929 453 BEZIER "Transitions" | 138043,187612 109537,196045 81451,206574 52945,215007
A 921 893 16 TEXT "Actions" | 106866,104347 1 0 0 "USBWireReq <= 1'b0;"
A 920 888 16 TEXT "Actions" | 54464,112031 1 0 0 "USBWireReq <= 1'b0;"
A 917 371 4 TEXT "Actions" | 71825,218040 1 0 0 "i <= 5'h0;"
A 916 906 4 TEXT "Actions" | 119076,210436 1 0 0 "i <= 5'h0;"
C 378 377 0 TEXT "Conditions" | 56860,208360 1 0 0 "USBWireGnt == 1'b1"
W 377 217 0 371 883 BEZIER "Transitions" | 52975,210241 55849,199016 58723,187790 61597,176565
A 374 373 16 TEXT "Actions" | 43761,237148 1 0 0 "USBWireReq <= 1'b1;"
W 373 217 0 220 371 BEZIER "Transitions" | 41882,245025 44900,239618 46527,227520 49545,222113
S 371 217 0 ELLIPSE "States" | 53178,216725 6500 6500
L 370 371 0 TEXT "State Labels" | 53178,216725 1 0 0 "STX_WAIT_GNT\n/25/"
C 369 367 0 TEXT "Conditions" | 48825,92438 1 0 0 "SIEPortCtrl == `TX_PACKET_START"
W 368 6 0 359 911 BEZIER "Transitions" | 122468,95197 131651,92175 151659,88825 160842,85803
A 640 638 16 TEXT "Actions" | 76852,173362 1 0 0 "processTxByteWEn <= 1'b1;\nTxByteOut <= SIEPortData;\nTxByteOutCtrl <= `DATA_STREAM;\nrstCRC <= 1'b1;"
S 641 610 0 ELLIPSE "States" | 96214,141555 6500 6500
L 642 641 0 TEXT "State Labels" | 96214,141555 1 0 0 "PKT_SENT\n/7/"
L 643 635 0 TEXT "State Labels" | 97491,195105 1 0 0 "WAIT_RDY\n/40/"
A 644 641 4 TEXT "Actions" | 110436,143091 1 0 0 "processTxByteWEn <= 1'b0;\nrstCRC <= 1'b0;"
I 645 610 0 Builtin Exit | 114540,97930
W 647 610 0 641 645 BEZIER "Transitions" | 96587,135073 97277,126966 98440,110637 100308,106008\
102177,101380 108698,99080 111745,97930
I 645 610 0 Builtin Exit | 114540,97930
A 644 641 4 TEXT "Actions" | 110436,143091 1 0 0 "processTxByteWEn <= 1'b0;\nrstCRC <= 1'b0;"
L 643 635 0 TEXT "State Labels" | 97491,195105 1 0 0 "WAIT_RDY\n/40/"
L 642 641 0 TEXT "State Labels" | 96214,141555 1 0 0 "PKT_SENT\n/10/"
S 641 610 0 ELLIPSE "States" | 96214,141555 6500 6500
A 640 638 16 TEXT "Actions" | 76852,173362 1 0 0 "processTxByteWEn <= 1'b1;\nTxByteOut <= SIEPortData;\nTxByteOutCtrl <= `DATA_STREAM;\nrstCRC <= 1'b1;"
W 368 6 0 359 911 BEZIER "Transitions" | 122468,95197 131651,92175 151659,88825 160842,85803
C 369 367 0 TEXT "Conditions" | 48825,92438 1 0 0 "SIEPortCtrl == `TX_PACKET_START"
L 370 371 0 TEXT "State Labels" | 53178,216725 1 0 0 "STX_WAIT_GNT\n/25/"
S 371 217 0 ELLIPSE "States" | 53178,216725 6500 6500
W 373 217 0 220 371 BEZIER "Transitions" | 41882,245025 44900,239618 46527,227520 49545,222113
A 374 373 16 TEXT "Actions" | 43761,237148 1 0 0 "USBWireReq <= 1'b1;"
W 377 217 0 371 883 BEZIER "Transitions" | 52975,210241 55849,199016 58723,187790 61597,176565
C 378 377 0 TEXT "Conditions" | 56860,208360 1 0 0 "USBWireGnt == 1'b1"
A 916 906 4 TEXT "Actions" | 119076,210436 1 0 0 "i <= 5'h0;"
A 917 371 4 TEXT "Actions" | 71825,218040 1 0 0 "i <= 5'h0;"
A 920 888 16 TEXT "Actions" | 54464,112031 1 0 0 "USBWireReq <= 1'b0;"
A 921 893 16 TEXT "Actions" | 106866,104347 1 0 0 "USBWireReq <= 1'b0;"
W 922 360 0 929 453 BEZIER "Transitions" | 138043,187612 109537,196045 81451,206574 52945,215007
A 923 922 16 TEXT "Actions" | 93859,209922 1 0 0 "//actively drive the first J bit\nUSBWireData <= JBit; \nUSBWireCtrl <= `DRIVE;\nUSBWireWEn <= 1'b1;"
C 924 922 0 TEXT "Conditions" | 97818,190135 1 0 0 "USBWireRdy == 1'b1"
W 927 360 0 933 929 BEZIER "Transitions" | 144010,222256 143885,215969 143879,198227 143754,191940
I 943 0 2 Builtin InPort | 165188,226482 "" ""
L 942 943 0 TEXT "Labels" | 171188,226482 1 0 0 "CRC5UpdateRdy"
C 941 940 0 TEXT "Conditions" | 49910,177844 1 0 0 "CRC5UpdateRdy == 1'b1"
W 940 633 0 939 680 BEZIER "Transitions" | 45698,178573 56873,179224 77330,179808 88505,180459
S 939 633 16384 ELLIPSE "States" | 39277,179580 6500 6500
L 938 939 0 TEXT "State Labels" | 39277,179580 1 0 0 "WAIT_CRC_RDY\n/50/"
I 671 624 0 Builtin Exit | 116402,43935
W 648 489 0 599 609 BEZIER "Transitions" | 84906,219546 91705,215743 99788,205923 106587,202120
W 649 489 0 609 617 BEZIER "Transitions" | 111887,191768 112232,181972 113177,163821 113522,154025
W 650 489 0 617 626 BEZIER "Transitions" | 113848,141065 113272,128964 113115,107129 112539,95028
W 651 489 0 626 606 BEZIER "Transitions" | 115586,82704 120772,74867 130139,59148 135325,51311
S 652 624 0 ELLIPSE "States" | 91348,185851 6500 6500
L 653 652 0 TEXT "State Labels" | 91348,185851 1 0 0 "UPD_CRC\n/29/"
H 912 911 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 913 912 0 Builtin Entry | 86360,167640
I 914 912 0 Builtin Exit | 129540,111760
W 915 912 0 913 914 BEZIER "Transitions" | 90122,167640 102263,150334 114604,129067 126745,111760
A 937 453 4 TEXT "Actions" | 60460,224205 1 0 0 "USBWireWEn <= 1'b0;\nUSBWireReq <= 1'b0;"
L 934 933 0 TEXT "State Labels" | 144285,228746 1 0 0 "WAIT_GNT\n/49/"
S 933 360 12288 ELLIPSE "States" | 144285,228746 6500 6500
A 932 931 16 TEXT "Actions" | 105661,247407 1 0 0 "USBWireReq <= 1'b1;"
W 931 360 0 363 933 BEZIER "Transitions" | 51554,257148 80200,248283 109429,239528 138075,230663
L 930 929 0 TEXT "State Labels" | 144175,185833 1 0 0 "WAIT_RDY_WIRE\n/48/"
S 929 360 8192 ELLIPSE "States" | 144175,185458 6500 6500
C 928 927 0 TEXT "Conditions" | 145669,221771 1 0 0 "USBWireGnt == 1'b1"
S 656 624 0 ELLIPSE "States" | 88966,234486 6500 6500
L 657 656 0 TEXT "State Labels" | 89953,233659 1 0 0 "WAIT_BYTE\n/31/"
W 658 624 0 656 952 BEZIER "Transitions" | 89478,228015 72707,215911 56621,202132 39850,190028
A 659 658 16 TEXT "Actions" | 39361,213175 1 0 0 "SIEPortData <= SIEPortDataIn;\nSIEPortCtrl <= SIEPortCtrlIn;\nSIEPortTxRdy <= 1'b0;"
C 660 658 0 TEXT "Conditions" | 52953,228497 1 0 0 "SIEPortWEn == 1'b1"
A 662 656 4 TEXT "Actions" | 107490,236900 1 0 0 "SIEPortTxRdy <= 1'b1;"
I 663 624 0 Builtin Entry | 59190,254840
W 664 624 0 663 656 BEZIER "Transitions" | 63260,254840 69355,251390 77619,241763 83714,238313
W 665 624 0 669 672 BEZIER "Transitions" | 98957,134637 98822,120950 98686,107722 98551,94035
C 666 665 0 TEXT "Conditions" | 99987,132745 1 0 0 "processTxByteRdy == 1'b1"
S 669 624 0 ELLIPSE "States" | 99353,141110 6500 6500
W 670 624 0 672 671 BEZIER "Transitions" | 98449,81078 99139,72971 100302,56642 102170,52013\
104039,47385 110550,45085 113597,43935
S 669 624 0 ELLIPSE "States" | 99353,141110 6500 6500
C 666 665 0 TEXT "Conditions" | 99987,132745 1 0 0 "processTxByteRdy == 1'b1"
W 665 624 0 669 672 BEZIER "Transitions" | 98957,134637 98822,120950 98686,107722 98551,94035
W 664 624 0 663 656 BEZIER "Transitions" | 63260,254840 69355,251390 77619,241763 83714,238313
I 663 624 0 Builtin Entry | 59190,254840
A 662 656 4 TEXT "Actions" | 107490,236900 1 0 0 "SIEPortTxRdy <= 1'b1;"
C 660 658 0 TEXT "Conditions" | 52953,228497 1 0 0 "SIEPortWEn == 1'b1"
A 659 658 16 TEXT "Actions" | 39361,213175 1 0 0 "SIEPortData <= SIEPortDataIn;\nSIEPortCtrl <= SIEPortCtrlIn;\nSIEPortTxRdy <= 1'b0;"
W 658 624 0 656 952 BEZIER "Transitions" | 89478,228015 72707,215911 56621,202132 39850,190028
L 657 656 0 TEXT "State Labels" | 89953,233659 1 0 0 "WAIT_BYTE\n/31/"
S 656 624 0 ELLIPSE "States" | 88966,234486 6500 6500
C 928 927 0 TEXT "Conditions" | 145669,221771 1 0 0 "USBWireGnt == 1'b1"
S 929 360 8192 ELLIPSE "States" | 144175,185458 6500 6500
L 930 929 0 TEXT "State Labels" | 144175,185833 1 0 0 "WAIT_RDY_WIRE\n/48/"
W 931 360 0 363 933 BEZIER "Transitions" | 51554,257148 80200,248283 109429,239528 138075,230663
A 932 931 16 TEXT "Actions" | 105661,247407 1 0 0 "USBWireReq <= 1'b1;"
S 933 360 12288 ELLIPSE "States" | 144285,228746 6500 6500
L 934 933 0 TEXT "State Labels" | 144285,228746 1 0 0 "WAIT_GNT\n/49/"
A 937 453 4 TEXT "Actions" | 60460,224205 1 0 0 "USBWireWEn <= 1'b0;\nUSBWireReq <= 1'b0;"
C 954 953 0 TEXT "Conditions" | 44940,182382 1 0 0 "CRC5UpdateRdy == 1'b1"
W 953 624 0 952 652 BEZIER "Transitions" | 41843,183928 52367,184199 74470,184214 84994,184485
S 952 624 24576 ELLIPSE "States" | 35474,185224 6500 6500
L 951 952 0 TEXT "State Labels" | 35474,185224 1 0 0 "WAIT_CRC_RDY\n/52/"
C 950 949 0 TEXT "Conditions" | 135665,186735 1 0 0 "CRC16UpdateRdy == 1'b1"
W 949 734 0 947 736 BEZIER "Transitions" | 154483,194558 140347,189882 115269,177738 101133,173062
W 948 734 8194 789 947 BEZIER "Transitions" | 96995,194201 111991,195168 138952,197162 153948,198129
S 947 734 20480 ELLIPSE "States" | 160390,197270 6500 6500
L 946 947 0 TEXT "State Labels" | 160390,197270 1 0 0 "WAIT_CRC_RDY\n/51/"
L 945 944 0 TEXT "Labels" | 171012,221724 1 0 0 "CRC16UpdateRdy"
I 944 0 2 Builtin InPort | 165012,221724 "" ""
W 687 633 0 688 689 BEZIER "Transitions" | 66467,250796 72562,247346 81134,237719 87229,234269
C 686 685 0 TEXT "Conditions" | 103502,128701 1 0 0 "processTxByteRdy == 1'b1"
W 685 633 0 684 699 BEZIER "Transitions" | 102472,130593 102337,116906 102201,103678 102066,89991
S 684 633 0 ELLIPSE "States" | 102868,137066 6500 6500
I 671 624 0 Builtin Exit | 116402,43935
L 938 939 0 TEXT "State Labels" | 39277,179580 1 0 0 "WAIT_CRC_RDY\n/50/"
S 939 633 16384 ELLIPSE "States" | 39277,179580 6500 6500
W 940 633 0 939 680 BEZIER "Transitions" | 45698,178573 56873,179224 77330,179808 88505,180459
C 941 940 0 TEXT "Conditions" | 49910,177844 1 0 0 "CRC5UpdateRdy == 1'b1"
L 942 943 0 TEXT "Labels" | 171188,226482 1 0 0 "CRC5UpdateRdy"
I 943 0 2 Builtin InPort | 165188,226482 "" ""
W 404 17 0 411 407 BEZIER "Transitions" | 59469,165399 59407,159212 59347,143485 59285,137298
A 405 404 16 TEXT "Actions" | 48438,159099 1 0 0 "USBWireData <= KBit;\nUSBWireCtrl <= `DRIVE;\nUSBWireWEn <= 1'b1; \ni <= i + 1'b1;"
C 406 404 0 TEXT "Conditions" | 59963,165332 1 0 0 "USBWireRdy == 1'b1"
S 407 17 0 ELLIPSE "States" | 59465,130814 6500 6500
L 408 407 0 TEXT "State Labels" | 59465,130814 1 0 0 "CHK_FIN\n/0/"
W 409 17 0 415 411 BEZIER "Transitions" | 59369,208665 59244,202378 59238,184636 59113,178349
C 410 409 0 TEXT "Conditions" | 61028,208180 1 0 0 "USBWireGnt == 1'b1"
S 411 17 0 ELLIPSE "States" | 59534,171867 6500 6500
L 412 411 0 TEXT "State Labels" | 59534,171867 1 0 0 "WAIT_RDY\n/38/"
W 413 17 0 417 415 BEZIER "Transitions" | 48348,243455 51366,238048 55001,226201 56011,220543
A 414 413 16 TEXT "Actions" | 50880,235676 1 0 0 "USBWireReq <= 1'b1;\ni <= 5'h0;"
S 415 17 0 ELLIPSE "States" | 59644,215155 6500 6500
S 672 624 0 ELLIPSE "States" | 98076,87560 6500 6500
A 673 672 4 TEXT "Actions" | 112298,89096 1 0 0 "processTxByteWEn <= 1'b0;"
L 674 669 0 TEXT "State Labels" | 99353,141110 1 0 0 "WAIT_RDY\n/42/"
L 675 672 0 TEXT "State Labels" | 98076,87560 1 0 0 "PKT_SENT1\n/12/"
A 676 665 16 TEXT "Actions" | 78714,119367 1 0 0 "processTxByteWEn <= 1'b1;\nTxByteOut <= SIEPortData;\nTxByteOutCtrl <= `DATA_STREAM;"
A 677 652 4 TEXT "Actions" | 110170,186940 1 0 0 "CRCData <= SIEPortData;\nCRC5_8Bit <= 1'b1;\nCRC5En <= 1'b1;"
W 678 624 0 652 669 BEZIER "Transitions" | 91940,179382 93550,171217 96164,155578 97774,147413
A 679 669 4 TEXT "Actions" | 117070,144160 1 0 0 "CRC5En <= 1'b0;"
S 680 633 0 ELLIPSE "States" | 94863,181807 6500 6500
L 681 680 0 TEXT "State Labels" | 94863,181807 1 0 0 "UPD_CRC\n/27/"
I 682 633 0 Builtin Exit | 119917,39891
W 683 633 0 699 682 BEZIER "Transitions" | 101964,77034 102654,68927 103817,52598 105685,47969\
107554,43341 114075,41041 117122,39891
I 682 633 0 Builtin Exit | 119917,39891
L 681 680 0 TEXT "State Labels" | 94863,181807 1 0 0 "UPD_CRC\n/27/"
S 680 633 0 ELLIPSE "States" | 94863,181807 6500 6500
A 679 669 4 TEXT "Actions" | 117070,144160 1 0 0 "CRC5En <= 1'b0;"
W 678 624 0 652 669 BEZIER "Transitions" | 91940,179382 93550,171217 96164,155578 97774,147413
A 677 652 4 TEXT "Actions" | 110170,186940 1 0 0 "CRCData <= SIEPortData;\nCRC5_8Bit <= 1'b1;\nCRC5En <= 1'b1;"
A 676 665 16 TEXT "Actions" | 78714,119367 1 0 0 "processTxByteWEn <= 1'b1;\nTxByteOut <= SIEPortData;\nTxByteOutCtrl <= `DATA_STREAM;"
L 675 672 0 TEXT "State Labels" | 98076,87560 1 0 0 "PKT_SENT1\n/12/"
L 674 669 0 TEXT "State Labels" | 99353,141110 1 0 0 "WAIT_RDY\n/35/"
A 673 672 4 TEXT "Actions" | 112298,89096 1 0 0 "processTxByteWEn <= 1'b0;"
S 672 624 0 ELLIPSE "States" | 98076,87560 6500 6500
S 415 17 0 ELLIPSE "States" | 59644,215155 6500 6500
A 414 413 16 TEXT "Actions" | 50880,235676 1 0 0 "USBWireReq <= 1'b1;\ni <= 5'h0;"
W 413 17 0 417 415 BEZIER "Transitions" | 48348,243455 51366,238048 55001,226201 56011,220543
L 412 411 0 TEXT "State Labels" | 59534,171867 1 0 0 "WAIT_RDY\n/39/"
S 411 17 0 ELLIPSE "States" | 59534,171867 6500 6500
C 410 409 0 TEXT "Conditions" | 61028,208180 1 0 0 "USBWireGnt == 1'b1"
W 409 17 0 415 411 BEZIER "Transitions" | 59369,208665 59244,202378 59238,184636 59113,178349
L 408 407 0 TEXT "State Labels" | 59465,130814 1 0 0 "CHK_FIN\n/2/"
S 407 17 0 ELLIPSE "States" | 59465,130814 6500 6500
C 406 404 0 TEXT "Conditions" | 59963,165332 1 0 0 "USBWireRdy == 1'b1"
A 405 404 16 TEXT "Actions" | 48438,159099 1 0 0 "USBWireData <= KBit;\nUSBWireCtrl <= `DRIVE;\nUSBWireWEn <= 1'b1; \ni <= i + 1'b1;"
W 404 17 0 411 407 BEZIER "Transitions" | 59469,165399 59407,159212 59347,143485 59285,137298
S 703 480 0 ELLIPSE "States" | 69140,212180 6500 6500
A 702 699 4 TEXT "Actions" | 115813,85052 1 0 0 "processTxByteWEn <= 1'b0;"
L 701 684 0 TEXT "State Labels" | 102868,137066 1 0 0 "WAIT_RDY\n/37/"
L 700 699 0 TEXT "State Labels" | 101591,83516 1 0 0 "PKT_SENT\n/6/"
S 699 633 0 ELLIPSE "States" | 101591,83516 6500 6500
A 698 685 16 TEXT "Actions" | 82229,115323 1 0 0 "processTxByteWEn <= 1'b1;\nTxByteOut <= {~CRC5Result, SIEPortData[2:0] };\nTxByteOutCtrl <= `DATA_STOP;"
A 697 680 4 TEXT "Actions" | 113685,182896 1 0 0 "CRCData <= SIEPortData;\nCRC5_8Bit <= 1'b0;\nCRC5En <= 1'b1;"
W 696 633 0 680 684 BEZIER "Transitions" | 95455,175338 97065,167173 99679,151534 101289,143369
A 695 684 4 TEXT "Actions" | 120585,140116 1 0 0 "CRC5En <= 1'b0;"
L 694 689 0 TEXT "State Labels" | 93468,229615 1 0 0 "WAIT_BYTE\n/30/"
A 693 691 16 TEXT "Actions" | 43803,209291 1 0 0 "SIEPortData <= SIEPortDataIn;\nSIEPortCtrl <= SIEPortCtrlIn;\nSIEPortTxRdy <= 1'b0;"
C 692 691 0 TEXT "Conditions" | 56194,223187 1 0 0 "SIEPortWEn == 1'b1"
W 691 633 0 689 939 BEZIER "Transitions" | 92993,223971 75388,211318 57781,198664 40176,186011
A 690 689 4 TEXT "Actions" | 111005,232856 1 0 0 "SIEPortTxRdy <= 1'b1;"
S 689 633 0 ELLIPSE "States" | 92481,230442 6500 6500
I 688 633 0 Builtin Entry | 62705,250796
S 424 17 0 ELLIPSE "States" | 60229,92346 6500 6500
L 423 424 0 TEXT "State Labels" | 60229,92346 1 0 0 "S1\n/14/"
S 684 633 0 ELLIPSE "States" | 102868,137066 6500 6500
W 685 633 0 684 699 BEZIER "Transitions" | 102472,130593 102337,116906 102201,103678 102066,89991
C 686 685 0 TEXT "Conditions" | 103502,128701 1 0 0 "processTxByteRdy == 1'b1"
W 687 633 0 688 689 BEZIER "Transitions" | 66467,250796 72562,247346 81134,237719 87229,234269
I 944 0 2 Builtin InPort | 165012,221724 "" ""
L 945 944 0 TEXT "Labels" | 171012,221724 1 0 0 "CRC16UpdateRdy"
L 946 947 0 TEXT "State Labels" | 160390,197270 1 0 0 "WAIT_CRC_RDY\n/51/"
S 947 734 20480 ELLIPSE "States" | 160390,197270 6500 6500
W 948 734 8194 789 947 BEZIER "Transitions" | 96995,194201 111991,195168 138952,197162 153948,198129
W 949 734 0 947 736 BEZIER "Transitions" | 154483,194558 140347,189882 115269,177738 101133,173062
C 950 949 0 TEXT "Conditions" | 135665,186735 1 0 0 "CRC16UpdateRdy == 1'b1"
L 951 952 0 TEXT "State Labels" | 35474,185224 1 0 0 "WAIT_CRC_RDY\n/52/"
S 952 624 24576 ELLIPSE "States" | 35474,185224 6500 6500
W 953 624 0 952 652 BEZIER "Transitions" | 41843,183928 52367,184199 74470,184214 84994,184485
C 954 953 0 TEXT "Conditions" | 44940,182382 1 0 0 "CRC5UpdateRdy == 1'b1"
L 431 432 0 TEXT "State Labels" | 171639,58504 1 0 0 "S5\n/17/"
S 430 17 0 ELLIPSE "States" | 61659,61312 6500 6500
L 429 430 0 TEXT "State Labels" | 61659,61312 1 0 0 "S4\n/16/"
S 428 17 0 ELLIPSE "States" | 169767,93136 6500 6500
L 427 428 0 TEXT "State Labels" | 169767,93136 1 0 0 "S3\n/15/"
C 426 425 0 TEXT "Conditions" | 60723,121216 1 0 0 "i == `RESUME_LEN"
W 425 17 0 407 424 BEZIER "Transitions" | 59198,124338 59315,117669 59604,105482 59721,98813
L 416 415 0 TEXT "State Labels" | 59644,215155 1 0 0 "WAIT_GNT\n/34/"
I 417 17 0 Builtin Entry | 44586,243455
I 418 17 0 Builtin Exit | 145044,30588
A 420 407 4 TEXT "Actions" | 77715,133314 1 0 0 "USBWireWEn <= 1'b0;"
W 422 17 8194 407 411 BEZIER "Transitions" | 53385,128518 47424,127138 37085,126164 32881,127325\
28677,128486 23782,135891 23342,141914 22903,147938\
26042,164631 28457,169650 30873,174670 37399,178059\
40756,178310 44113,178561 50283,175993 53734,174801
A 420 407 4 TEXT "Actions" | 77715,133314 1 0 0 "USBWireWEn <= 1'b0;"
I 418 17 0 Builtin Exit | 145044,30588
I 417 17 0 Builtin Entry | 44586,243455
L 416 415 0 TEXT "State Labels" | 59644,215155 1 0 0 "WAIT_GNT\n/33/"
W 425 17 0 407 424 BEZIER "Transitions" | 59198,124338 59315,117669 59604,105482 59721,98813
C 426 425 0 TEXT "Conditions" | 60723,121216 1 0 0 "i == `RESUME_LEN"
L 427 428 0 TEXT "State Labels" | 169767,93136 1 0 0 "S3\n/15/"
S 428 17 0 ELLIPSE "States" | 169767,93136 6500 6500
L 429 430 0 TEXT "State Labels" | 61659,61312 1 0 0 "S4\n/16/"
S 430 17 0 ELLIPSE "States" | 61659,61312 6500 6500
L 431 432 0 TEXT "State Labels" | 171639,58504 1 0 0 "S5\n/17/"
L 423 424 0 TEXT "State Labels" | 60229,92346 1 0 0 "S1\n/14/"
S 424 17 0 ELLIPSE "States" | 60229,92346 6500 6500
I 688 633 0 Builtin Entry | 62705,250796
S 689 633 0 ELLIPSE "States" | 92481,230442 6500 6500
A 690 689 4 TEXT "Actions" | 111005,232856 1 0 0 "SIEPortTxRdy <= 1'b1;"
W 691 633 0 689 939 BEZIER "Transitions" | 92993,223971 75388,211318 57781,198664 40176,186011
C 692 691 0 TEXT "Conditions" | 56194,223187 1 0 0 "SIEPortWEn == 1'b1"
A 693 691 16 TEXT "Actions" | 43803,209291 1 0 0 "SIEPortData <= SIEPortDataIn;\nSIEPortCtrl <= SIEPortCtrlIn;\nSIEPortTxRdy <= 1'b0;"
L 694 689 0 TEXT "State Labels" | 93468,229615 1 0 0 "WAIT_BYTE\n/30/"
A 695 684 4 TEXT "Actions" | 120585,140116 1 0 0 "CRC5En <= 1'b0;"
W 696 633 0 680 684 BEZIER "Transitions" | 95455,175338 97065,167173 99679,151534 101289,143369
A 697 680 4 TEXT "Actions" | 113685,182896 1 0 0 "CRCData <= SIEPortData;\nCRC5_8Bit <= 1'b0;\nCRC5En <= 1'b1;"
A 698 685 16 TEXT "Actions" | 82229,115323 1 0 0 "processTxByteWEn <= 1'b1;\nTxByteOut <= {~CRC5Result, SIEPortData[2:0] };\nTxByteOutCtrl <= `DATA_STOP;"
S 699 633 0 ELLIPSE "States" | 101591,83516 6500 6500
L 700 699 0 TEXT "State Labels" | 101591,83516 1 0 0 "PKT_SENT\n/6/"
L 701 684 0 TEXT "State Labels" | 102868,137066 1 0 0 "WAIT_RDY\n/41/"
A 702 699 4 TEXT "Actions" | 115813,85052 1 0 0 "processTxByteWEn <= 1'b0;"
S 703 480 0 ELLIPSE "States" | 69140,212180 6500 6500
A 447 438 16 TEXT "Actions" | 92898,48208 1 0 0 "USBWireData <= JBit;\nUSBWireCtrl <= `TRI_STATE;\nUSBWireWEn <= 1'b1;"
A 446 437 16 TEXT "Actions" | 106002,65992 1 0 0 "USBWireData <= JBit;\nUSBWireCtrl <= `DRIVE;\nUSBWireWEn <= 1'b1;"
A 445 436 16 TEXT "Actions" | 86814,83776 1 0 0 "USBWireData <= `SE0;\nUSBWireCtrl <= `DRIVE;\nUSBWireWEn <= 1'b1;"
C 444 438 0 TEXT "Conditions" | 142974,49612 1 0 0 "USBWireRdy == 1'b1"
C 443 437 0 TEXT "Conditions" | 69498,58972 1 0 0 "USBWireRdy == 1'b1"
C 442 436 0 TEXT "Conditions" | 131742,84244 1 0 0 "USBWireRdy == 1'b1"
A 441 428 4 TEXT "Actions" | 154674,106708 1 0 0 "USBWireWEn <= 1'b0;"
A 440 435 16 TEXT "Actions" | 109454,101542 1 0 0 "USBWireData <= `SE0;\nUSBWireCtrl <= `DRIVE;\nUSBWireWEn <= 1'b1;"
C 439 435 0 TEXT "Conditions" | 69889,97267 1 0 0 "USBWireRdy == 1'b1"
W 438 17 0 432 434 BEZIER "Transitions" | 165378,56758 153093,51025 79495,38601 67210,32868
W 437 17 0 430 432 BEZIER "Transitions" | 68151,60997 79383,60646 153908,58822 165140,58471
W 436 17 0 428 430 BEZIER "Transitions" | 163491,91448 151908,85481 78683,70834 67100,64867
W 435 17 0 424 428 BEZIER "Transitions" | 66726,92159 77841,92276 152154,92898 163269,93015
S 434 17 0 ELLIPSE "States" | 61659,29488 6500 6500
L 433 434 0 TEXT "State Labels" | 61659,29488 1 0 0 "S6\n/18/"
S 432 17 0 ELLIPSE "States" | 171639,58504 6500 6500
L 704 703 0 TEXT "State Labels" | 69140,212180 1 0 0 "WAIT_RDY\n/35/"
W 705 480 0 703 706 BEZIER "Transitions" | 68745,205705 68610,192018 68473,178331 68338,164644
S 706 480 0 ELLIPSE "States" | 67863,158169 6500 6500
L 707 706 0 TEXT "State Labels" | 67863,158169 1 0 0 "PKT_SENT\n/10/"
A 708 705 16 TEXT "Actions" | 48502,190165 1 0 0 "processTxByteWEn <= 1'b1;\nTxByteOut <= SIEPortData;\nTxByteOutCtrl <= `DATA_STOP;"
C 709 705 0 TEXT "Conditions" | 69774,203788 1 0 0 "processTxByteRdy == 1'b1"
W 710 480 0 711 703 BEZIER "Transitions" | 43257,251308 41695,240089 71091,229875 69529,218656
I 711 480 0 Builtin Entry | 43257,253243
A 712 706 4 TEXT "Actions" | 82085,159705 1 0 0 "processTxByteWEn <= 1'b0;"
I 713 480 0 Builtin Exit | 85376,122104
W 714 480 0 706 713 BEZIER "Transitions" | 69635,151918 72955,144404 79261,129618 82581,122104
I 715 471 0 Builtin Exit | 140592,59380
I 716 471 0 Builtin Entry | 83616,227615
S 717 471 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 116595,155623 6500 6500
S 718 471 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 114290,206333 6500 6500
L 719 718 0 TEXT "State Labels" | 114290,206333 1 0 0 "PID"
S 718 471 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 114290,206333 6500 6500
S 717 471 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 116595,155623 6500 6500
I 716 471 0 Builtin Entry | 83616,227615
I 715 471 0 Builtin Exit | 140592,59380
W 714 480 0 706 713 BEZIER "Transitions" | 69635,151918 72955,144404 79261,129618 82581,122104
I 713 480 0 Builtin Exit | 85376,122104
A 712 706 4 TEXT "Actions" | 82085,159705 1 0 0 "processTxByteWEn <= 1'b0;"
I 711 480 0 Builtin Entry | 43257,253243
W 710 480 0 711 703 BEZIER "Transitions" | 43257,251308 41695,240089 71091,229875 69529,218656
C 709 705 0 TEXT "Conditions" | 69774,203788 1 0 0 "processTxByteRdy == 1'b1"
A 708 705 16 TEXT "Actions" | 48502,190165 1 0 0 "processTxByteWEn <= 1'b1;\nTxByteOut <= SIEPortData;\nTxByteOutCtrl <= `DATA_STOP;"
L 707 706 0 TEXT "State Labels" | 67863,158169 1 0 0 "PKT_SENT\n/5/"
S 706 480 0 ELLIPSE "States" | 67863,158169 6500 6500
W 705 480 0 703 706 BEZIER "Transitions" | 68745,205705 68610,192018 68473,178331 68338,164644
L 704 703 0 TEXT "State Labels" | 69140,212180 1 0 0 "WAIT_RDY\n/38/"
S 432 17 0 ELLIPSE "States" | 171639,58504 6500 6500
L 433 434 0 TEXT "State Labels" | 61659,29488 1 0 0 "S6\n/18/"
S 434 17 0 ELLIPSE "States" | 61659,29488 6500 6500
W 435 17 0 424 428 BEZIER "Transitions" | 66726,92159 77841,92276 152154,92898 163269,93015
W 436 17 0 428 430 BEZIER "Transitions" | 163491,91448 151908,85481 78683,70834 67100,64867
W 437 17 0 430 432 BEZIER "Transitions" | 68151,60997 79383,60646 153908,58822 165140,58471
W 438 17 0 432 434 BEZIER "Transitions" | 165378,56758 153093,51025 79495,38601 67210,32868
C 439 435 0 TEXT "Conditions" | 69889,97267 1 0 0 "USBWireRdy == 1'b1"
A 440 435 16 TEXT "Actions" | 109454,101542 1 0 0 "USBWireData <= `SE0;\nUSBWireCtrl <= `DRIVE;\nUSBWireWEn <= 1'b1;"
A 441 428 4 TEXT "Actions" | 154674,106708 1 0 0 "USBWireWEn <= 1'b0;"
C 442 436 0 TEXT "Conditions" | 131742,84244 1 0 0 "USBWireRdy == 1'b1"
C 443 437 0 TEXT "Conditions" | 69498,58972 1 0 0 "USBWireRdy == 1'b1"
C 444 438 0 TEXT "Conditions" | 142974,49612 1 0 0 "USBWireRdy == 1'b1"
A 445 436 16 TEXT "Actions" | 86814,83776 1 0 0 "USBWireData <= `SE0;\nUSBWireCtrl <= `DRIVE;\nUSBWireWEn <= 1'b1;"
A 446 437 16 TEXT "Actions" | 106002,65992 1 0 0 "USBWireData <= JBit;\nUSBWireCtrl <= `DRIVE;\nUSBWireWEn <= 1'b1;"
A 447 438 16 TEXT "Actions" | 92898,48208 1 0 0 "USBWireData <= JBit;\nUSBWireCtrl <= `TRI_STATE;\nUSBWireWEn <= 1'b1;"
L 735 736 0 TEXT "State Labels" | 95348,170101 1 0 0 "UPD_CRC\n/29/"
H 458 455 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 455 360 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 132272,125032 6500 6500
L 454 455 0 TEXT "State Labels" | 132272,125032 1 0 0 "SPCL"
S 453 360 0 ELLIPSE "States" | 46763,217013 6500 6500
L 452 453 0 TEXT "State Labels" | 46763,217013 1 0 0 "WAIT_RDY_PKT\n/46/"
W 451 17 0 434 418 BEZIER "Transitions" | 68149,29834 86752,29717 123646,30705 142249,30588
A 450 434 4 TEXT "Actions" | 48667,24292 1 0 0 "USBWireWEn <= 1'b0;\nUSBWireReq <= 1'b0;"
A 449 430 4 TEXT "Actions" | 34866,50548 1 0 0 "USBWireWEn <= 1'b0;"
A 448 432 4 TEXT "Actions" | 158418,73480 1 0 0 "USBWireWEn <= 1'b0;"
C 188 13 0 TEXT "Conditions" | 25531,201445 1 0 0 "rst"
I 187 0 2 Builtin InPort | 186243,259666 "" ""
L 186 187 0 TEXT "Labels" | 192243,259666 1 0 0 "rst"
I 185 0 3 Builtin InPort | 186136,264720 "" ""
L 184 185 0 TEXT "Labels" | 192136,264720 1 0 0 "clk"
H 727 718 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
H 733 720 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
H 734 717 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 720 471 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 115212,96615 6500 6500
L 721 720 0 TEXT "State Labels" | 115212,96615 1 0 0 "CRC"
L 722 717 0 TEXT "State Labels" | 116595,155623 1 0 0 "DATA"
W 723 471 0 720 715 BEZIER "Transitions" | 118058,90773 123244,82936 132611,67217 137797,59380
W 724 471 0 717 720 BEZIER "Transitions" | 116320,149134 115744,137033 115587,115198 115011,103097
W 725 471 0 718 717 BEZIER "Transitions" | 114359,199837 114704,190041 115649,171890 115994,162094
W 726 471 0 716 718 BEZIER "Transitions" | 87378,227615 94177,223812 102260,213992 109059,210189
C 728 729 0 TEXT "Conditions" | 98125,186740 1 0 0 "processTxByteRdy == 1'b1"
W 729 727 0 732 742 BEZIER "Transitions" | 97095,188632 96960,174945 96824,161717 96689,148030
W 730 727 0 731 732 BEZIER "Transitions" | 71380,234686 69818,223467 90464,208437 97872,201588
I 731 727 0 Builtin Entry | 71380,236621
S 732 727 0 ELLIPSE "States" | 97491,195105 6500 6500
I 731 727 0 Builtin Entry | 71380,236621
W 730 727 0 731 732 BEZIER "Transitions" | 71380,234686 69818,223467 90464,208437 97872,201588
W 729 727 0 732 742 BEZIER "Transitions" | 97095,188632 96960,174945 96824,161717 96689,148030
C 728 729 0 TEXT "Conditions" | 98125,186740 1 0 0 "processTxByteRdy == 1'b1"
W 726 471 0 716 718 BEZIER "Transitions" | 87378,227615 94177,223812 102260,213992 109059,210189
W 725 471 0 718 717 BEZIER "Transitions" | 114359,199837 114704,190041 115649,171890 115994,162094
W 724 471 0 717 720 BEZIER "Transitions" | 116320,149134 115744,137033 115587,115198 115011,103097
W 723 471 0 720 715 BEZIER "Transitions" | 118058,90773 123244,82936 132611,67217 137797,59380
L 722 717 0 TEXT "State Labels" | 116595,155623 1 0 0 "DATA"
L 721 720 0 TEXT "State Labels" | 115212,96615 1 0 0 "CRC"
S 720 471 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 115212,96615 6500 6500
H 734 717 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
H 733 720 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
H 727 718 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
L 184 185 0 TEXT "Labels" | 192136,264720 1 0 0 "clk"
I 185 0 3 Builtin InPort | 186136,264720 "" ""
L 186 187 0 TEXT "Labels" | 192243,259666 1 0 0 "rst"
I 187 0 2 Builtin InPort | 186243,259666 "" ""
C 188 13 0 TEXT "Conditions" | 25531,201445 1 0 0 "rst"
A 448 432 4 TEXT "Actions" | 158418,73480 1 0 0 "USBWireWEn <= 1'b0;"
A 449 430 4 TEXT "Actions" | 34866,50548 1 0 0 "USBWireWEn <= 1'b0;"
A 450 434 4 TEXT "Actions" | 48667,24292 1 0 0 "USBWireWEn <= 1'b0;\nUSBWireReq <= 1'b0;"
W 451 17 0 434 418 BEZIER "Transitions" | 68149,29834 86752,29717 123646,30705 142249,30588
L 452 453 0 TEXT "State Labels" | 46763,217013 1 0 0 "WAIT_RDY_PKT\n/46/"
S 453 360 0 ELLIPSE "States" | 46763,217013 6500 6500
L 454 455 0 TEXT "State Labels" | 132272,125032 1 0 0 "SPCL"
S 455 360 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 132272,125032 6500 6500
H 458 455 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
A 751 756 4 TEXT "Actions" | 107490,236900 1 0 0 "SIEPortTxRdy <= 1'b1;"
I 750 734 0 Builtin Entry | 59190,254840
W 749 734 0 750 756 BEZIER "Transitions" | 62952,254840 69047,251390 77619,241763 83714,238313
W 748 734 0 746 772 BEZIER "Transitions" | 98957,134637 98822,120950 98686,107722 98551,94035
C 747 748 0 TEXT "Conditions" | 99987,132745 1 0 0 "processTxByteRdy == 1'b1"
S 746 734 0 ELLIPSE "States" | 99353,141110 6500 6500
I 744 734 0 Builtin Exit | 116402,43935
A 743 729 16 TEXT "Actions" | 76852,173362 1 0 0 "processTxByteWEn <= 1'b1;\nTxByteOut <= SIEPortData;\nTxByteOutCtrl <= `DATA_STREAM;\nrstCRC <= 1'b1;"
S 742 727 0 ELLIPSE "States" | 96214,141555 6500 6500
L 741 742 0 TEXT "State Labels" | 96214,141555 1 0 0 "PKT_SENT\n/8/"
L 740 732 0 TEXT "State Labels" | 97491,195105 1 0 0 "WAIT_RDY\n/41/"
A 739 742 4 TEXT "Actions" | 110436,143091 1 0 0 "processTxByteWEn <= 1'b0;\nrstCRC <= 1'b0;"
I 738 727 0 Builtin Exit | 114540,97930
L 735 736 0 TEXT "State Labels" | 95348,170101 1 0 0 "UPD_CRC\n/28/"
S 474 360 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 134154,68885 6500 6500
L 472 465 0 TEXT "State Labels" | 134778,36136 1 0 0 "DATA"
S 465 360 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 134778,36136 6500 6500
H 471 465 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 736 734 0 ELLIPSE "States" | 95348,170101 6500 6500
W 737 727 0 742 738 BEZIER "Transitions" | 96587,135073 97277,126966 98440,110637 100308,106008\
102177,101380 108698,99080 111745,97930
S 736 734 0 ELLIPSE "States" | 95348,170101 6500 6500
H 471 465 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 465 360 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 134778,36136 6500 6500
L 472 465 0 TEXT "State Labels" | 134778,36136 1 0 0 "DATA"
S 474 360 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 134154,68885 6500 6500
A 767 736 4 TEXT "Actions" | 114170,171190 1 0 0 "CRCData <= SIEPortData;\nCRC16En <= 1'b1;"
W 766 734 0 736 746 BEZIER "Transitions" | 95556,163608 97166,155443 96164,155578 97774,147413
A 765 746 4 TEXT "Actions" | 117070,144160 1 0 0 "CRC16En <= 1'b0;"
I 762 733 0 Builtin Exit | 119917,39891
I 738 727 0 Builtin Exit | 114540,97930
A 739 742 4 TEXT "Actions" | 110436,143091 1 0 0 "processTxByteWEn <= 1'b0;\nrstCRC <= 1'b0;"
L 740 732 0 TEXT "State Labels" | 97491,195105 1 0 0 "WAIT_RDY\n/36/"
L 741 742 0 TEXT "State Labels" | 96214,141555 1 0 0 "PKT_SENT\n/9/"
S 742 727 0 ELLIPSE "States" | 96214,141555 6500 6500
A 743 729 16 TEXT "Actions" | 76852,173362 1 0 0 "processTxByteWEn <= 1'b1;\nTxByteOut <= SIEPortData;\nTxByteOutCtrl <= `DATA_STREAM;\nrstCRC <= 1'b1;"
I 744 734 0 Builtin Exit | 116402,43935
S 746 734 0 ELLIPSE "States" | 99353,141110 6500 6500
C 747 748 0 TEXT "Conditions" | 99987,132745 1 0 0 "processTxByteRdy == 1'b1"
W 748 734 0 746 772 BEZIER "Transitions" | 98957,134637 98822,120950 98686,107722 98551,94035
W 749 734 0 750 756 BEZIER "Transitions" | 62952,254840 69047,251390 77619,241763 83714,238313
I 750 734 0 Builtin Entry | 59190,254840
A 751 756 4 TEXT "Actions" | 107490,236900 1 0 0 "SIEPortTxRdy <= 1'b1;"
W 495 360 0 453 493 BEZIER "Transitions" | 46368,210538 46233,196851 46096,183164 45961,169477
S 493 360 0 ELLIPSE "States" | 45486,163002 6500 6500
L 492 493 0 TEXT "State Labels" | 45486,163002 1 0 0 "CHK_PID\n/3/"
L 490 483 0 TEXT "State Labels" | 134497,103286 1 0 0 "TKN"
S 483 360 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 134497,103286 6500 6500
H 489 483 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
L 481 474 0 TEXT "State Labels" | 134154,68885 1 0 0 "HS"
H 480 474 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
L 212 213 0 TEXT "State Labels" | 113703,142150 1 0 0 "DIR_CTL"
S 213 6 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 113934,140548 6500 6500
L 215 216 0 TEXT "State Labels" | 113402,157040 1 0 0 "IDLE"
S 216 6 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 113402,157040 6500 6500
H 217 216 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 220 217 0 Builtin Entry | 38120,245025
I 221 217 0 Builtin Exit | 61830,96075
C 752 754 0 TEXT "Conditions" | 92034,227575 1 0 0 "SIEPortWEn == 1'b1"
A 753 754 16 TEXT "Actions" | 69186,217034 1 0 0 "SIEPortData <= SIEPortDataIn;\nSIEPortCtrl <= SIEPortCtrlIn;\nSIEPortTxRdy <= 1'b0;"
W 754 734 0 756 789 BEZIER "Transitions" | 89129,228010 89081,216045 90467,210855 90419,198890
L 755 756 0 TEXT "State Labels" | 89953,233659 1 0 0 "WAIT_BYTE\n/32/"
S 756 734 0 ELLIPSE "States" | 88966,234486 6500 6500
C 758 759 0 TEXT "Conditions" | 103502,128701 1 0 0 "processTxByteRdy == 1'b1"
W 759 733 0 760 776 BEZIER "Transitions" | 102472,130593 102337,116906 102201,103678 102066,89991
S 760 733 0 ELLIPSE "States" | 102868,137066 6500 6500
W 761 733 0 776 762 BEZIER "Transitions" | 101964,77034 102654,68927 103817,52598 105685,47969\
107554,43341 114075,41041 117122,39891
S 760 733 0 ELLIPSE "States" | 102868,137066 6500 6500
W 759 733 0 760 776 BEZIER "Transitions" | 102472,130593 102337,116906 102201,103678 102066,89991
C 758 759 0 TEXT "Conditions" | 103502,128701 1 0 0 "processTxByteRdy == 1'b1"
S 756 734 0 ELLIPSE "States" | 88966,234486 6500 6500
L 755 756 0 TEXT "State Labels" | 89953,233659 1 0 0 "WAIT_BYTE\n/32/"
W 754 734 0 756 789 BEZIER "Transitions" | 89129,228010 89081,216045 90467,210855 90419,198890
A 753 754 16 TEXT "Actions" | 69186,217034 1 0 0 "SIEPortData <= SIEPortDataIn;\nSIEPortCtrl <= SIEPortCtrlIn;\nSIEPortTxRdy <= 1'b0;"
C 752 754 0 TEXT "Conditions" | 92034,227575 1 0 0 "SIEPortWEn == 1'b1"
I 221 217 0 Builtin Exit | 61830,96075
I 220 217 0 Builtin Entry | 38120,245025
H 217 216 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 216 6 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 113402,157040 6500 6500
L 215 216 0 TEXT "State Labels" | 113402,157040 1 0 0 "IDLE"
S 213 6 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 113934,140548 6500 6500
L 212 213 0 TEXT "State Labels" | 113703,142150 1 0 0 "DIR_CTL"
H 480 474 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
L 481 474 0 TEXT "State Labels" | 134154,68885 1 0 0 "HS"
H 489 483 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 483 360 4 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 134497,103286 6500 6500
L 490 483 0 TEXT "State Labels" | 134497,103286 1 0 0 "TKN"
L 492 493 0 TEXT "State Labels" | 45486,163002 1 0 0 "CHK_PID\n/3/"
S 493 360 0 ELLIPSE "States" | 45486,163002 6500 6500
W 495 360 0 453 493 BEZIER "Transitions" | 46368,210538 46233,196851 46096,183164 45961,169477
A 777 759 16 TEXT "Actions" | 82229,115323 1 0 0 "processTxByteWEn <= 1'b1;\nTxByteOut <= ~CRC16Result[15:8];\nTxByteOutCtrl <= `DATA_STOP;"
S 776 733 0 ELLIPSE "States" | 101591,83516 6500 6500
L 775 776 0 TEXT "State Labels" | 101591,83516 1 0 0 "PKT_SENT2\n/13/"
L 774 760 0 TEXT "State Labels" | 102868,137066 1 0 0 "WAIT_RDY2\n/45/"
A 773 776 4 TEXT "Actions" | 115813,85052 1 0 0 "processTxByteWEn <= 1'b0;"
S 772 734 0 ELLIPSE "States" | 98076,87560 6500 6500
A 771 772 4 TEXT "Actions" | 112298,89096 1 0 0 "processTxByteWEn <= 1'b0;"
L 770 746 0 TEXT "State Labels" | 99353,141110 1 0 0 "WAIT_RDY\n/36/"
L 769 772 0 TEXT "State Labels" | 98076,87560 1 0 0 "PKT_SENT\n/7/"
A 768 748 16 TEXT "Actions" | 78714,119367 1 0 0 "processTxByteWEn <= 1'b1;\nTxByteOut <= SIEPortData;\nTxByteOutCtrl <= `DATA_STREAM;"
W 236 6 0 213 911 BEZIER "Transitions" | 118353,135782 128966,124034 151320,99434 161933,87686
W 235 6 0 216 911 BEZIER "Transitions" | 117419,151931 129033,135644 150867,104376 162481,88089
C 234 231 0 TEXT "Conditions" | 59709,153376 1 0 0 "SIEPortCtrl == `TX_IDLE"
C 233 232 0 TEXT "Conditions" | 46155,137545 1 0 0 "SIEPortCtrl == `TX_DIRECT_CONTROL"
I 762 733 0 Builtin Exit | 119917,39891
A 765 746 4 TEXT "Actions" | 117070,144160 1 0 0 "CRC16En <= 1'b0;"
W 766 734 0 736 746 BEZIER "Transitions" | 95556,163608 97166,155443 96164,155578 97774,147413
A 767 736 4 TEXT "Actions" | 114170,171190 1 0 0 "CRCData <= SIEPortData;\nCRC16En <= 1'b1;"
C 511 507 0 TEXT "Conditions" | 51054,101600 1 0 0 "SIEPortData[1:0] == `TOKEN"
C 510 506 0 TEXT "Conditions" | 63617,125837 1 0 0 "SIEPortData[1:0] == `SPECIAL"
W 509 360 0 493 465 BEZIER "Transitions" | 45611,156504 46243,128295 46932,73331 47880,57961\
48829,42592 51359,37532 61605,36267 71852,35002\
109061,35775 128289,35775
W 508 360 0 493 474 BEZIER "Transitions" | 45400,156533 46032,136040 46426,97493 47311,86108\
48196,74723 50474,70169 60657,69030 70840,67892\
108432,68626 127660,68626
W 507 360 0 493 483 BEZIER "Transitions" | 45216,156518 45469,145133 45287,123299 46109,116405\
46931,109511 49715,104703 60024,103501 70334,102300\
108774,103037 128002,103037
W 506 360 0 493 455 BEZIER "Transitions" | 45177,156529 45177,152608 45034,145689 45666,142780\
46299,139871 48829,136075 59202,135063 69575,134052\
106314,125693 125795,125567
A 498 493 4 TEXT "Actions" | 59708,164538 1 0 0 "processTxByteWEn <= 1'b0;"
A 497 495 16 TEXT "Actions" | 26125,194998 1 0 0 "processTxByteWEn <= 1'b1;\nTxByteOut <= `SYNC_BYTE;\nTxByteOutCtrl <= `DATA_START;"
C 496 495 0 TEXT "Conditions" | 47022,204871 1 0 0 "processTxByteRdy == 1'b1"
H 224 213 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
W 231 6 0 11 216 BEZIER "Transitions" | 41320,169131 41386,166461 41370,161119 41770,159283\
42170,157448 43639,155445 51849,155011 60059,154577\
91249,156261 106935,156394
W 232 6 0 11 213 BEZIER "Transitions" | 41377,169111 41443,162637 41370,149971 41770,146133\
42170,142296 43639,139892 51882,139324 60126,138757\
91699,140001 107452,140067
W 231 6 0 11 216 BEZIER "Transitions" | 41320,169131 41386,166461 41370,161119 41770,159283\
42170,157448 43639,155445 51849,155011 60059,154577\
91249,156261 106935,156394
H 224 213 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
C 496 495 0 TEXT "Conditions" | 47022,204871 1 0 0 "processTxByteRdy == 1'b1"
A 497 495 16 TEXT "Actions" | 26125,194998 1 0 0 "processTxByteWEn <= 1'b1;\nTxByteOut <= `SYNC_BYTE;\nTxByteOutCtrl <= `DATA_START;"
A 498 493 4 TEXT "Actions" | 59708,164538 1 0 0 "processTxByteWEn <= 1'b0;"
W 506 360 0 493 455 BEZIER "Transitions" | 45177,156529 45177,152608 45034,145689 45666,142780\
46299,139871 48829,136075 59202,135063 69575,134052\
106314,125693 125795,125567
W 507 360 0 493 483 BEZIER "Transitions" | 45216,156518 45469,145133 45287,123299 46109,116405\
46931,109511 49715,104703 60024,103501 70334,102300\
108774,103037 128002,103037
W 508 360 0 493 474 BEZIER "Transitions" | 45400,156533 46032,136040 46426,97493 47311,86108\
48196,74723 50474,70169 60657,69030 70840,67892\
108432,68626 127660,68626
W 509 360 0 493 465 BEZIER "Transitions" | 45611,156504 46243,128295 46932,73331 47880,57961\
48829,42592 51359,37532 61605,36267 71852,35002\
109061,35775 128289,35775
C 510 506 0 TEXT "Conditions" | 63617,125837 1 0 0 "SIEPortData[1:0] == `SPECIAL"
C 511 507 0 TEXT "Conditions" | 51054,101600 1 0 0 "SIEPortData[1:0] == `TOKEN"
C 799 798 0 TEXT "Conditions" | 99353,221346 1 0 0 "processTxByteRdy == 1'b1"
W 798 733 0 797 801 BEZIER "Transitions" | 98323,223238 98188,209551 98052,196323 97917,182636
S 797 733 0 ELLIPSE "States" | 98719,229711 6500 6500
C 233 232 0 TEXT "Conditions" | 46155,137545 1 0 0 "SIEPortCtrl == `TX_DIRECT_CONTROL"
C 234 231 0 TEXT "Conditions" | 59709,153376 1 0 0 "SIEPortCtrl == `TX_IDLE"
W 235 6 0 216 911 BEZIER "Transitions" | 117419,151931 129033,135644 150867,104376 162481,88089
W 236 6 0 213 911 BEZIER "Transitions" | 118353,135782 128966,124034 151320,99434 161933,87686
A 768 748 16 TEXT "Actions" | 78714,119367 1 0 0 "processTxByteWEn <= 1'b1;\nTxByteOut <= SIEPortData;\nTxByteOutCtrl <= `DATA_STREAM;"
L 769 772 0 TEXT "State Labels" | 98076,87560 1 0 0 "PKT_SENT\n/8/"
L 770 746 0 TEXT "State Labels" | 99353,141110 1 0 0 "WAIT_RDY\n/39/"
A 771 772 4 TEXT "Actions" | 112298,89096 1 0 0 "processTxByteWEn <= 1'b0;"
S 772 734 0 ELLIPSE "States" | 98076,87560 6500 6500
A 773 776 4 TEXT "Actions" | 115813,85052 1 0 0 "processTxByteWEn <= 1'b0;"
L 774 760 0 TEXT "State Labels" | 102868,137066 1 0 0 "WAIT_RDY2\n/45/"
L 775 776 0 TEXT "State Labels" | 101591,83516 1 0 0 "PKT_SENT2\n/13/"
S 776 733 0 ELLIPSE "States" | 101591,83516 6500 6500
A 777 759 16 TEXT "Actions" | 82229,115323 1 0 0 "processTxByteWEn <= 1'b1;\nTxByteOut <= ~CRC16Result[15:8];\nTxByteOutCtrl <= `DATA_STOP;"
W 517 360 0 465 872 BEZIER "Transitions" | 139358,40747 150851,52494 174388,74569 185881,86316
W 516 360 0 474 872 BEZIER "Transitions" | 140265,71099 152076,75607 174070,81808 185881,86316
W 515 360 0 483 872 BEZIER "Transitions" | 140706,101366 152453,97810 174134,89872 185881,86316
W 514 360 0 455 872 BEZIER "Transitions" | 137766,121560 150783,110638 172864,97238 185881,86316
C 513 509 0 TEXT "Conditions" | 55372,33724 1 0 0 "SIEPortData[1:0] == `DATA"
C 512 508 0 TEXT "Conditions" | 54864,67310 1 0 0 "SIEPortData[1:0] == `HANDSHAKE"
I 787 733 0 Builtin Entry | 62705,250796
L 788 789 0 TEXT "State Labels" | 90750,192400 1 0 0 "CHK_STOP\n/4/"
S 789 734 0 ELLIPSE "States" | 90750,192400 6500 6500
W 790 734 8193 789 744 BEZIER "Transitions" | 84430,190883 71180,188633 44000,183400 37625,167025\
31250,150650 32250,89650 34750,72525 37250,55400\
46250,47900 56000,46150 65750,44400 95896,46012\
103573,44899 111250,43786 113107,43935 113607,43935
C 791 790 0 TEXT "Conditions" | 28148,194956 1 0 0 "SIEPortCtrl == `TX_PACKET_STOP"
W 795 734 0 772 756 BEZIER "Transitions" | 100994,81753 104106,78392 108938,71609 118897,69430\
128857,67252 162473,65260 171997,66691 181521,68123\
186003,75843 187123,97692 188244,119542 188244,199222\
573,42 → 584,31
184384,221196 180525,243170 165087,251388 155563,253628\
146039,255869 123379,256617 115100,254625 106821,252633\
98206,243956 92977,239599
C 791 790 0 TEXT "Conditions" | 28148,194956 1 0 0 "SIEPortCtrl == `TX_PACKET_STOP"
W 790 734 8193 789 744 BEZIER "Transitions" | 84430,190883 71180,188633 44000,183400 37625,167025\
31250,150650 32250,89650 34750,72525 37250,55400\
46250,47900 56000,46150 65750,44400 95896,46012\
103573,44899 111250,43786 113107,43935 113607,43935
S 789 734 0 ELLIPSE "States" | 90750,192400 6500 6500
L 788 789 0 TEXT "State Labels" | 90750,192400 1 0 0 "CHK_STOP\n/4/"
I 787 733 0 Builtin Entry | 62705,250796
C 512 508 0 TEXT "Conditions" | 54864,67310 1 0 0 "SIEPortData[1:0] == `HANDSHAKE"
C 513 509 0 TEXT "Conditions" | 55372,33724 1 0 0 "SIEPortData[1:0] == `DATA"
W 514 360 0 455 872 BEZIER "Transitions" | 137766,121560 150783,110638 172864,97238 185881,86316
W 515 360 0 483 872 BEZIER "Transitions" | 140706,101366 152453,97810 174134,89872 185881,86316
W 516 360 0 474 872 BEZIER "Transitions" | 140265,71099 152076,75607 174070,81808 185881,86316
W 517 360 0 465 872 BEZIER "Transitions" | 139358,40747 150851,52494 174388,74569 185881,86316
S 797 733 0 ELLIPSE "States" | 98719,229711 6500 6500
W 798 733 0 797 801 BEZIER "Transitions" | 98323,223238 98188,209551 98052,196323 97917,182636
C 799 798 0 TEXT "Conditions" | 99353,221346 1 0 0 "processTxByteRdy == 1'b1"
S 530 458 0 ELLIPSE "States" | 174738,68697 6500 6500
L 531 530 0 TEXT "State Labels" | 174738,68697 1 0 0 "SEND_IDLE3\n/21/"
S 543 458 0 ELLIPSE "States" | 63328,102539 6500 6500
I 540 458 0 Builtin Exit | 68103,43333
L 535 534 0 TEXT "State Labels" | 172866,103329 1 0 0 "SEND_IDLE1\n/19/"
S 534 458 0 ELLIPSE "States" | 172866,103329 6500 6500
L 533 532 0 TEXT "State Labels" | 64758,71505 1 0 0 "SEND_IDLE2\n/20/"
S 532 458 0 ELLIPSE "States" | 64758,71505 6500 6500
A 800 798 16 TEXT "Actions" | 78080,207968 1 0 0 "processTxByteWEn <= 1'b1;\nTxByteOut <= ~CRC16Result[7:0];\nTxByteOutCtrl <= `DATA_STREAM;"
S 801 733 0 ELLIPSE "States" | 97442,176161 6500 6500
L 802 801 0 TEXT "State Labels" | 97442,176161 1 0 0 "PKT_SENT1\n/11/"
L 803 797 0 TEXT "State Labels" | 98719,229711 1 0 0 "WAIT_RDY1\n/44/"
A 804 801 4 TEXT "Actions" | 111664,177697 1 0 0 "processTxByteWEn <= 1'b0;"
W 805 733 0 787 797 BEZIER "Transitions" | 66467,250796 73606,246725 85810,236773 92949,232702
W 806 733 0 801 760 BEZIER "Transitions" | 98101,169695 98927,162969 100807,150169 101633,143443
L 807 808 0 TEXT "Labels" | 24830,264678 1 0 0 "SIEPortWEn"
I 808 0 2 Builtin InPort | 18830,264678 "" ""
L 809 810 0 TEXT "Labels" | 22510,259806 1 0 0 "SIEPortTxRdy"
I 810 0 2 Builtin OutPort | 16510,259806 "" ""
L 811 812 0 TEXT "Labels" | 24598,255166 1 0 0 "SIEPortDataIn[7:0]"
I 812 0 130 Builtin InPort | 18598,255166 "" ""
L 813 814 0 TEXT "Labels" | 25062,250526 1 0 0 "SIEPortCtrlIn[7:0]"
I 814 0 130 Builtin InPort | 19062,250526 "" ""
L 815 816 0 TEXT "Labels" | 70372,260578 1 0 0 "processTxByteWEn"
I 814 0 130 Builtin InPort | 19062,250526 "" ""
L 813 814 0 TEXT "Labels" | 25062,250526 1 0 0 "SIEPortCtrlIn[7:0]"
I 812 0 130 Builtin InPort | 18598,255166 "" ""
L 811 812 0 TEXT "Labels" | 24598,255166 1 0 0 "SIEPortDataIn[7:0]"
I 810 0 2 Builtin OutPort | 16510,259806 "" ""
L 809 810 0 TEXT "Labels" | 22510,259806 1 0 0 "SIEPortTxRdy"
I 808 0 2 Builtin InPort | 18830,264678 "" ""
L 807 808 0 TEXT "Labels" | 24830,264678 1 0 0 "SIEPortWEn"
W 806 733 0 801 760 BEZIER "Transitions" | 98101,169695 98927,162969 100807,150169 101633,143443
W 805 733 0 787 797 BEZIER "Transitions" | 66467,250796 73606,246725 85810,236773 92949,232702
A 804 801 4 TEXT "Actions" | 111664,177697 1 0 0 "processTxByteWEn <= 1'b0;"
L 803 797 0 TEXT "State Labels" | 98719,229711 1 0 0 "WAIT_RDY1\n/44/"
L 802 801 0 TEXT "State Labels" | 97442,176161 1 0 0 "PKT_SENT1\n/11/"
S 801 733 0 ELLIPSE "States" | 97442,176161 6500 6500
A 800 798 16 TEXT "Actions" | 78080,207968 1 0 0 "processTxByteWEn <= 1'b1;\nTxByteOut <= ~CRC16Result[7:0];\nTxByteOutCtrl <= `DATA_STREAM;"
S 532 458 0 ELLIPSE "States" | 64758,71505 6500 6500
L 533 532 0 TEXT "State Labels" | 64758,71505 1 0 0 "SEND_IDLE2\n/20/"
S 534 458 0 ELLIPSE "States" | 172866,103329 6500 6500
L 535 534 0 TEXT "State Labels" | 172866,103329 1 0 0 "SEND_IDLE1\n/19/"
I 540 458 0 Builtin Exit | 68103,43333
S 543 458 0 ELLIPSE "States" | 63328,102539 6500 6500
L 531 530 0 TEXT "State Labels" | 174738,68697 1 0 0 "SEND_IDLE3\n/21/"
S 530 458 0 ELLIPSE "States" | 174738,68697 6500 6500
END
/trunk/RTL/serialInterfaceEngine/updateCRC16.v
41,7 → 41,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: updateCRC16.v,v 1.2 2004-12-18 14:36:16 sfielding Exp $
// $Id: updateCRC16.v,v 1.3 2004-12-31 14:40:43 sfielding Exp $
//
// CVS Revision History
//
85,7 → 85,7
if (doUpdateCRC == 1'b0)
begin
if (CRCEn == 1'b1) begin
doUpdateCRC = 1'b1;
doUpdateCRC <= 1'b1;
data <= dataIn;
ready <= 1'b0;
end
/trunk/RTL/serialInterfaceEngine/processTxByte.asf
6,7 → 6,7
ENTITY="processTxByte"
FRAMES=ON
FREEOID=1000
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// processTxByte\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: processTxByte.asf,v 1.2 2004-12-18 14:36:15 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n`include \"usbConstants_h.v\"\n"
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// processTxByte\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: processTxByte.asf,v 1.3 2004-12-31 14:40:43 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n`include \"usbConstants_h.v\"\n"
END
BUNDLES
B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3333 0 0000 1 "Arial" 0
49,170 → 49,170
GRIDSIZE 0,0 10000,10000
END
OBJECTS
L 831 832 0 TEXT "Labels" | 21372,222732 1 0 0 "USBWireWEn"
I 830 0 2 Builtin OutPort | 15372,227372 "" ""
L 829 830 0 TEXT "Labels" | 21372,227372 1 0 0 "USBWireReq"
I 828 0 2 Builtin InPort | 17692,231780 "" ""
L 827 828 0 TEXT "Labels" | 23692,231780 1 0 0 "USBWireGnt"
I 826 0 2 Builtin OutPort | 15372,236188 "" ""
L 825 826 0 TEXT "Labels" | 21140,235724 1 0 0 "USBWireCtrl"
I 824 0 130 Builtin OutPort | 15604,240596 "" ""
L 823 824 0 TEXT "Labels" | 21604,240596 1 0 0 "USBWireData[1:0]"
I 822 0 130 Builtin InPort | 20959,250108 "" ""
L 821 822 0 TEXT "Labels" | 26959,250108 1 0 0 "TxByteCtrlIn[7:0]"
I 820 0 130 Builtin InPort | 20959,254515 "" ""
L 819 820 0 TEXT "Labels" | 26959,254515 1 0 0 "TxByteIn[7:0]"
I 818 0 2 Builtin OutPort | 18852,259388 "" ""
L 817 818 0 TEXT "Labels" | 24852,259388 1 0 0 "processTxByteRdy"
I 816 0 2 Builtin InPort | 20959,264028 "" ""
W 13 6 0 12 9 BEZIER "Transitions" | 22016,204762 26512,204498 31110,200468 35074,198608
I 12 6 0 Builtin Reset | 22016,204762
S 9 6 0 ELLIPSE "States" | 41526,197822 6500 6500
L 8 9 0 TEXT "State Labels" | 41526,197822 1 0 0 "START_PTBY\n/0/"
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 93869,266185 1 0 0 "Module: processTxByte"
F 6 0 671089152 185 0 RECT 0,0,0 0 0 1 255,255,255 0 | 14988,15700 199488,210298
L 7 6 0 TEXT "Labels" | 57079,207538 1 0 0 "prcTxB"
F 6 0 671089152 185 0 RECT 0,0,0 0 0 1 255,255,255 0 | 14988,15700 199488,210298
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 93869,266185 1 0 0 "Module: processTxByte"
L 8 9 0 TEXT "State Labels" | 41526,197822 1 0 0 "START_PTBY\n/0/"
S 9 6 0 ELLIPSE "States" | 41526,197822 6500 6500
I 12 6 0 Builtin Reset | 22016,204762
W 13 6 0 12 9 BEZIER "Transitions" | 22016,204762 26512,204498 31110,200468 35074,198608
I 816 0 2 Builtin InPort | 20959,264028 "" ""
L 817 818 0 TEXT "Labels" | 24852,259388 1 0 0 "processTxByteRdy"
I 818 0 2 Builtin OutPort | 18852,259388 "" ""
L 819 820 0 TEXT "Labels" | 26959,254515 1 0 0 "TxByteIn[7:0]"
I 820 0 130 Builtin InPort | 20959,254515 "" ""
L 821 822 0 TEXT "Labels" | 26959,250108 1 0 0 "TxByteCtrlIn[7:0]"
I 822 0 130 Builtin InPort | 20959,250108 "" ""
L 823 824 0 TEXT "Labels" | 21604,240596 1 0 0 "USBWireData[1:0]"
I 824 0 130 Builtin OutPort | 15604,240596 "" ""
L 825 826 0 TEXT "Labels" | 21140,235724 1 0 0 "USBWireCtrl"
I 826 0 2 Builtin OutPort | 15372,236188 "" ""
L 827 828 0 TEXT "Labels" | 23692,231780 1 0 0 "USBWireGnt"
I 828 0 2 Builtin InPort | 17692,231780 "" ""
L 829 830 0 TEXT "Labels" | 21372,227372 1 0 0 "USBWireReq"
I 830 0 2 Builtin OutPort | 15372,227372 "" ""
L 831 832 0 TEXT "Labels" | 21372,222732 1 0 0 "USBWireWEn"
I 847 0 130 Builtin InPort | 125241,221252 "" ""
I 846 0 130 Builtin InPort | 125108,216932 "" ""
L 845 846 0 TEXT "Labels" | 131108,216932 1 0 0 "KBit[1:0]"
I 844 0 130 Builtin Signal | 69660,223196 "" ""
L 843 844 0 TEXT "Labels" | 72660,223196 1 0 0 "i[3:0]"
I 834 0 2 Builtin InPort | 17692,218324 "" ""
L 833 834 0 TEXT "Labels" | 23692,218324 1 0 0 "USBWireRdy"
I 832 0 2 Builtin OutPort | 15372,222732 "" ""
L 833 834 0 TEXT "Labels" | 23692,218324 1 0 0 "USBWireRdy"
I 834 0 2 Builtin InPort | 17692,218324 "" ""
L 843 844 0 TEXT "Labels" | 72660,223196 1 0 0 "i[3:0]"
I 844 0 130 Builtin Signal | 69660,223196 "" ""
L 845 846 0 TEXT "Labels" | 131108,216932 1 0 0 "KBit[1:0]"
I 846 0 130 Builtin InPort | 125108,216932 "" ""
I 847 0 130 Builtin InPort | 125241,221252 "" ""
L 848 847 0 TEXT "Labels" | 131241,221252 1 0 0 "JBit[1:0]"
L 864 865 0 TEXT "State Labels" | 43124,173002 1 0 0 "PTBY_WAIT_EN\n/1/"
S 865 6 4096 ELLIPSE "States" | 43124,173002 6500 6500
W 866 6 0 9 865 BEZIER "Transitions" | 41794,191349 41968,188029 42333,182785 42507,179465
W 869 6 0 865 994 BEZIER "Transitions" | 43506,166514 43972,160806 44382,144193 44848,138485
C 870 869 0 TEXT "Conditions" | 44743,165433 1 0 0 "processTxByteWEn == 1'b1"
A 871 869 16 TEXT "Actions" | 40695,156023 1 0 0 "processTxByteRdy <= 1'b0;\nTxByte <= TxByteIn;\nTxByteCtrl <= TxByteCtrlIn;"
A 872 865 4 TEXT "Actions" | 55007,174633 1 0 0 "processTxByteRdy <= 1'b1;"
L 873 874 0 TEXT "State Labels" | 48483,85161 1 0 0 "SEND_BYTE"
S 874 6 8196 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 48483,85161 6500 6500
L 873 874 0 TEXT "State Labels" | 48483,85161 1 0 0 "SEND_BYTE"
A 872 865 4 TEXT "Actions" | 55007,174633 1 0 0 "processTxByteRdy <= 1'b1;"
A 871 869 16 TEXT "Actions" | 40695,156023 1 0 0 "processTxByteRdy <= 1'b0;\nTxByte <= TxByteIn;\nTxByteCtrl <= TxByteCtrlIn;"
C 870 869 0 TEXT "Conditions" | 44743,165433 1 0 0 "processTxByteWEn == 1'b1"
W 869 6 0 865 994 BEZIER "Transitions" | 43506,166514 43972,160806 44382,144193 44848,138485
W 866 6 0 9 865 BEZIER "Transitions" | 41794,191349 41968,188029 42333,182785 42507,179465
S 865 6 4096 ELLIPSE "States" | 43124,173002 6500 6500
L 864 865 0 TEXT "State Labels" | 43124,173002 1 0 0 "PTBY_WAIT_EN\n/1/"
H 880 874 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 883 880 0 Builtin Entry | 38120,248040
I 884 880 0 Builtin Exit | 178131,23271
W 885 880 0 883 901 BEZIER "Transitions" | 42416,248040 47778,233267 52771,218493 58133,203720
H 895 887 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 887 6 12292 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 49971,45111 6500 6500
L 888 887 0 TEXT "State Labels" | 49971,45111 1 0 0 "STOP"
S 887 6 12292 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 49971,45111 6500 6500
H 895 887 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
W 885 880 0 883 901 BEZIER "Transitions" | 42416,248040 47778,233267 52771,218493 58133,203720
I 884 880 0 Builtin Exit | 178131,23271
I 883 880 0 Builtin Entry | 38120,248040
H 880 874 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
C 911 909 0 TEXT "Conditions" | 63744,160236 1 0 0 "USBWireRdy == 1'b1"
W 909 880 0 904 906 BEZIER "Transitions" | 62562,160798 63190,153505 63227,143345 63855,136052
W 908 880 0 901 904 BEZIER "Transitions" | 61196,191380 61824,178554 61181,186583 61809,173757
S 906 880 24576 ELLIPSE "States" | 64960,129650 6500 6500
L 905 906 0 TEXT "State Labels" | 64960,129650 1 0 0 "CHK\n/4/"
S 904 880 20480 ELLIPSE "States" | 62200,167285 6500 6500
L 903 904 0 TEXT "State Labels" | 62200,167285 1 0 0 "WAIT_RDY\n/3/"
A 902 901 4 TEXT "Actions" | 87131,216544 1 0 0 "i <= i + 1'b1;\nTxByte <= {1'b0, TxByte[7:1] };\nif (TxByte[0] == 1'b1) //If this bit is 1, then\n TXOneCount <= TXOneCount + 1'b1; //increment 'TXOneCount'\nelse //else this is a zero bit\nbegin\n TXOneCount <= 4'h1; //reset 'TXOneCount'\n if (TXLineState == JBit) \n TXLineState <= KBit; //toggle the line state\n else \n TXLineState <= JBit;\nend"
S 901 880 16384 ELLIPSE "States" | 60963,197870 6500 6500
L 900 901 0 TEXT "State Labels" | 60963,197870 1 0 0 "UPDATE_BYTE\n/2/"
W 896 6 8194 994 874 BEZIER "Transitions" | 45464,131529 46046,122326 47391,100834 47973,91631
W 897 6 0 874 887 BEZIER "Transitions" | 48237,78679 48703,71573 48867,58679 49333,51573
W 898 6 0 887 865 BEZIER "Transitions" | 43587,46330 39277,46796 30872,48264 28251,49254\
25630,50244 23766,53274 22950,67894 22135,82515\
20737,137969 21261,153813 21785,169657 25281,177579\
27028,179792 28775,182006 32271,182938 33727,182355\
35183,181773 37321,179186 38486,177555
W 897 6 0 874 887 BEZIER "Transitions" | 48237,78679 48703,71573 48867,58679 49333,51573
W 896 6 8194 994 874 BEZIER "Transitions" | 45464,131529 46046,122326 47391,100834 47973,91631
L 900 901 0 TEXT "State Labels" | 60963,197870 1 0 0 "UPDATE_BYTE\n/2/"
S 901 880 16384 ELLIPSE "States" | 60963,197870 6500 6500
A 902 901 4 TEXT "Actions" | 87131,216544 1 0 0 "i <= i + 1'b1;\nTxByte <= {1'b0, TxByte[7:1] };\nif (TxByte[0] == 1'b1) //If this bit is 1, then\n TXOneCount <= TXOneCount + 1'b1; //increment 'TXOneCount'\nelse //else this is a zero bit\nbegin\n TXOneCount <= 4'h1; //reset 'TXOneCount'\n if (TXLineState == JBit) \n TXLineState <= KBit; //toggle the line state\n else \n TXLineState <= JBit;\nend"
L 903 904 0 TEXT "State Labels" | 62200,167285 1 0 0 "WAIT_RDY\n/3/"
S 904 880 20480 ELLIPSE "States" | 62200,167285 6500 6500
L 905 906 0 TEXT "State Labels" | 64960,129650 1 0 0 "CHK\n/4/"
S 906 880 24576 ELLIPSE "States" | 64960,129650 6500 6500
W 908 880 0 901 904 BEZIER "Transitions" | 61196,191380 61824,178554 61181,186583 61809,173757
W 909 880 0 904 906 BEZIER "Transitions" | 62562,160798 63190,153505 63227,143345 63855,136052
C 911 909 0 TEXT "Conditions" | 63744,160236 1 0 0 "USBWireRdy == 1'b1"
A 912 909 16 TEXT "Actions" | 49573,154836 1 0 0 "USBWireWEn <= 1'b1;\nUSBWireData <= TXLineState;\nUSBWireCtrl <= `DRIVE;"
A 913 906 4 TEXT "Actions" | 83555,132365 1 0 0 "USBWireWEn <= 1'b0;"
L 914 915 0 TEXT "State Labels" | 67031,103511 1 0 0 "BIT_STUFF\n/5/"
S 915 880 28672 ELLIPSE "States" | 67031,103511 6500 6500
L 916 917 0 TEXT "State Labels" | 69840,83253 1 0 0 "WAIT_RDY2\n/6/"
S 917 880 32768 ELLIPSE "States" | 69840,83253 6500 6500
W 918 880 8193 906 915 BEZIER "Transitions" | 65281,123173 65470,118240 66017,114889 66206,109956
C 919 918 0 TEXT "Conditions" | 67653,122954 1 0 0 "TXOneCount == 4'h6"
A 920 915 4 TEXT "Actions" | 82970,116161 1 0 0 "TXOneCount <= 4'h1; //reset 'TXOneCount'\nif (TXLineState == JBit) \n TXLineState <= KBit; //toggle the line state\nelse \n TXLineState <= JBit;"
W 921 880 0 917 923 BEZIER "Transitions" | 70442,76789 71070,69496 71344,53592 71972,46299
A 922 921 16 TEXT "Actions" | 67128,66767 1 0 0 "USBWireWEn <= 1'b1;\nUSBWireData <= TXLineState;\nUSBWireCtrl <= `DRIVE;"
S 923 880 36864 ELLIPSE "States" | 72651,39838 6500 6500
A 924 923 4 TEXT "Actions" | 91246,42553 1 0 0 "USBWireWEn <= 1'b0;"
C 925 921 0 TEXT "Conditions" | 71683,75885 1 0 0 "USBWireRdy == 1'b1"
L 926 923 0 TEXT "State Labels" | 72651,39838 1 0 0 "CHK_FIN\n/7/"
W 927 880 0 915 917 BEZIER "Transitions" | 67528,97031 67912,94983 68323,91700 68707,89652
L 926 923 0 TEXT "State Labels" | 72651,39838 1 0 0 "CHK_FIN\n/7/"
C 925 921 0 TEXT "Conditions" | 71683,75885 1 0 0 "USBWireRdy == 1'b1"
A 924 923 4 TEXT "Actions" | 91246,42553 1 0 0 "USBWireWEn <= 1'b0;"
S 923 880 36864 ELLIPSE "States" | 72651,39838 6500 6500
A 922 921 16 TEXT "Actions" | 67128,66767 1 0 0 "USBWireWEn <= 1'b1;\nUSBWireData <= TXLineState;\nUSBWireCtrl <= `DRIVE;"
W 921 880 0 917 923 BEZIER "Transitions" | 70442,76789 71070,69496 71344,53592 71972,46299
A 920 915 4 TEXT "Actions" | 82970,116161 1 0 0 "TXOneCount <= 4'h1; //reset 'TXOneCount'\nif (TXLineState == JBit) \n TXLineState <= KBit; //toggle the line state\nelse \n TXLineState <= JBit;"
C 919 918 0 TEXT "Conditions" | 67653,122954 1 0 0 "TXOneCount == 4'h6"
W 918 880 8193 906 915 BEZIER "Transitions" | 65281,123173 65470,118240 66017,114889 66206,109956
S 917 880 32768 ELLIPSE "States" | 69840,83253 6500 6500
L 916 917 0 TEXT "State Labels" | 69840,83253 1 0 0 "WAIT_RDY2\n/6/"
S 915 880 28672 ELLIPSE "States" | 67031,103511 6500 6500
L 914 915 0 TEXT "State Labels" | 67031,103511 1 0 0 "BIT_STUFF\n/5/"
A 913 906 4 TEXT "Actions" | 83555,132365 1 0 0 "USBWireWEn <= 1'b0;"
A 912 909 16 TEXT "Actions" | 49573,154836 1 0 0 "USBWireWEn <= 1'b1;\nUSBWireData <= TXLineState;\nUSBWireCtrl <= `DRIVE;"
L 943 942 0 TEXT "State Labels" | 74939,175324 1 0 0 "SND_SE0_2\n/9/"
S 942 895 45056 ELLIPSE "States" | 74939,175324 6500 6500
C 941 940 0 TEXT "Conditions" | 111729,100310 1 0 0 "USBWireGnt == 1'b1"
W 940 6 0 936 874 BEZIER "Transitions" | 142661,111545 128565,105371 68178,94636 54082,88462
A 939 937 16 TEXT "Actions" | 80687,127638 1 0 0 "TXOneCount <= 1; \nTXLineState <= JBit;\nUSBWireReq <= 1'b1;"
C 938 937 0 TEXT "Conditions" | 56024,136519 1 0 0 "TxByteCtrlIn == `DATA_START"
W 937 6 8193 994 936 BEZIER "Transitions" | 48651,134144 59369,131814 131883,116838 142601,114508
S 936 6 40960 ELLIPSE "States" | 148958,113156 6500 6500
L 935 936 0 TEXT "State Labels" | 148958,113156 1 0 0 "PTBY_WAIT_GNT\n/8/"
W 928 880 8193 923 884 BEZIER "Transitions" | 77516,35528 81612,32648 88778,27048 101066,25480\
113354,23912 154429,23527 174909,23271
C 929 928 0 TEXT "Conditions" | 90570,32872 1 0 0 "i == 4'h8"
W 930 880 8194 923 901 BEZIER "Transitions" | 66152,39809 60904,40065 50250,40296 45386,41576\
40522,42856 31562,47464 29098,65320 26634,83176\
25738,149992 26858,168968 27978,187944 33354,197032\
36938,198888 40522,200744 49226,198568 51498,198152\
53770,197736 54409,198230 54473,198230
C 929 928 0 TEXT "Conditions" | 90570,32872 1 0 0 "i == 4'h8"
W 928 880 8193 923 884 BEZIER "Transitions" | 77516,35528 81612,32648 88778,27048 101066,25480\
113354,23912 154429,23527 174909,23271
L 935 936 0 TEXT "State Labels" | 148958,113156 1 0 0 "PTBY_WAIT_GNT\n/8/"
S 936 6 40960 ELLIPSE "States" | 148958,113156 6500 6500
W 937 6 8193 994 936 BEZIER "Transitions" | 48651,134144 59369,131814 131883,116838 142601,114508
C 938 937 0 TEXT "Conditions" | 56024,136519 1 0 0 "TxByteCtrlIn == `DATA_START"
A 939 937 16 TEXT "Actions" | 80687,127638 1 0 0 "TXOneCount <= 1; \nTXLineState <= JBit;\nUSBWireReq <= 1'b1;"
W 940 6 0 936 874 BEZIER "Transitions" | 142661,111545 128565,105371 68178,94636 54082,88462
C 941 940 0 TEXT "Conditions" | 111729,100310 1 0 0 "USBWireGnt == 1'b1"
S 942 895 45056 ELLIPSE "States" | 74939,175324 6500 6500
L 943 942 0 TEXT "State Labels" | 74939,175324 1 0 0 "SND_SE0_2\n/9/"
W 944 895 0 948 942 BEZIER "Transitions" | 72730,212275 73358,204982 73632,189078 74260,181785
C 945 944 0 TEXT "Conditions" | 73971,211371 1 0 0 "USBWireRdy == 1'b1"
A 946 942 4 TEXT "Actions" | 93534,178039 1 0 0 "USBWireWEn <= 1'b0;"
A 947 944 16 TEXT "Actions" | 69416,202253 1 0 0 "USBWireWEn <= 1'b1;\nUSBWireData <= `SE0;\nUSBWireCtrl <= `DRIVE;"
S 948 895 49152 ELLIPSE "States" | 72128,218739 6500 6500
L 949 948 0 TEXT "State Labels" | 72128,218739 1 0 0 "SND_SE0_1\n/10/"
L 950 951 0 TEXT "State Labels" | 66294,250403 1 0 0 "CHK\n/11/"
S 951 895 53248 ELLIPSE "States" | 66294,250403 6500 6500
W 952 895 8193 951 948 BEZIER "Transitions" | 67478,244015 68286,238818 70288,230349 71096,225152
C 954 952 0 TEXT "Conditions" | 70699,244255 1 0 0 "TxByteCtrl == `DATA_STOP"
S 956 895 57344 ELLIPSE "States" | 78157,132848 6500 6500
L 957 956 0 TEXT "State Labels" | 78157,132848 1 0 0 "SND_J\n/12/"
W 958 895 0 942 956 BEZIER "Transitions" | 75377,168841 76005,161548 76957,146611 77585,139318
A 959 958 16 TEXT "Actions" | 72304,159240 1 0 0 "USBWireWEn <= 1'b1;\nUSBWireData <= `SE0;\nUSBWireCtrl <= `DRIVE;"
W 958 895 0 942 956 BEZIER "Transitions" | 75377,168841 76005,161548 76957,146611 77585,139318
L 957 956 0 TEXT "State Labels" | 78157,132848 1 0 0 "SND_J\n/12/"
S 956 895 57344 ELLIPSE "States" | 78157,132848 6500 6500
C 954 952 0 TEXT "Conditions" | 70699,244255 1 0 0 "TxByteCtrl == `DATA_STOP"
W 952 895 8193 951 948 BEZIER "Transitions" | 67478,244015 68286,238818 70288,230349 71096,225152
S 951 895 53248 ELLIPSE "States" | 66294,250403 6500 6500
L 950 951 0 TEXT "State Labels" | 66294,250403 1 0 0 "CHK\n/11/"
L 949 948 0 TEXT "State Labels" | 72128,218739 1 0 0 "SND_SE0_1\n/10/"
S 948 895 49152 ELLIPSE "States" | 72128,218739 6500 6500
A 947 944 16 TEXT "Actions" | 69416,202253 1 0 0 "USBWireWEn <= 1'b1;\nUSBWireData <= `SE0;\nUSBWireCtrl <= `DRIVE;"
A 946 942 4 TEXT "Actions" | 93534,178039 1 0 0 "USBWireWEn <= 1'b0;"
C 945 944 0 TEXT "Conditions" | 73971,211371 1 0 0 "USBWireRdy == 1'b1"
W 944 895 0 948 942 BEZIER "Transitions" | 72730,212275 73358,204982 73632,189078 74260,181785
A 960 956 4 TEXT "Actions" | 96752,135563 1 0 0 "USBWireWEn <= 1'b0;"
C 961 958 0 TEXT "Conditions" | 76516,167828 1 0 0 "USBWireRdy == 1'b1"
S 962 895 61440 ELLIPSE "States" | 81045,83881 6500 6500
L 963 962 0 TEXT "State Labels" | 81045,83881 1 0 0 "SND_IDLE\n/13/"
W 964 895 0 956 962 BEZIER "Transitions" | 78681,126377 79309,119084 79833,97641 80461,90348
A 965 964 16 TEXT "Actions" | 75410,113723 1 0 0 "USBWireWEn <= 1'b1;\nUSBWireData <= JBit;\nUSBWireCtrl <= `DRIVE;"
A 966 962 4 TEXT "Actions" | 99640,86596 1 0 0 "USBWireWEn <= 1'b0;"
C 967 964 0 TEXT "Conditions" | 79852,125749 1 0 0 "USBWireRdy == 1'b1"
S 968 895 65536 ELLIPSE "States" | 83969,44131 6500 6500
L 969 968 0 TEXT "State Labels" | 83969,44131 1 0 0 "FIN\n/14/"
W 970 895 0 962 968 BEZIER "Transitions" | 81334,77407 81962,70114 82544,57872 83172,50579
A 971 970 16 TEXT "Actions" | 77621,69378 1 0 0 "USBWireWEn <= 1'b1;\nUSBWireData <= JBit;\nUSBWireCtrl <= `TRI_STATE;"
A 972 968 4 TEXT "Actions" | 102564,46846 1 0 0 "USBWireWEn <= 1'b0;\nUSBWireReq <= 1'b0; //release the wire"
C 973 970 0 TEXT "Conditions" | 81643,77033 1 0 0 "USBWireRdy == 1'b1"
I 974 895 0 Builtin Exit | 97904,23272
W 975 895 0 968 974 BEZIER "Transitions" | 85932,37938 86628,34922 87928,30000 89030,28086\
90132,26172 93257,24084 94765,23272
I 974 895 0 Builtin Exit | 97904,23272
C 973 970 0 TEXT "Conditions" | 81643,77033 1 0 0 "USBWireRdy == 1'b1"
A 972 968 4 TEXT "Actions" | 102564,46846 1 0 0 "USBWireWEn <= 1'b0;\nUSBWireReq <= 1'b0; //release the wire"
A 971 970 16 TEXT "Actions" | 77621,69378 1 0 0 "USBWireWEn <= 1'b1;\nUSBWireData <= JBit;\nUSBWireCtrl <= `TRI_STATE;"
W 970 895 0 962 968 BEZIER "Transitions" | 81334,77407 81962,70114 82544,57872 83172,50579
L 969 968 0 TEXT "State Labels" | 83969,44131 1 0 0 "FIN\n/14/"
S 968 895 65536 ELLIPSE "States" | 83969,44131 6500 6500
C 967 964 0 TEXT "Conditions" | 79852,125749 1 0 0 "USBWireRdy == 1'b1"
A 966 962 4 TEXT "Actions" | 99640,86596 1 0 0 "USBWireWEn <= 1'b0;"
A 965 964 16 TEXT "Actions" | 75410,113723 1 0 0 "USBWireWEn <= 1'b1;\nUSBWireData <= JBit;\nUSBWireCtrl <= `DRIVE;"
W 964 895 0 956 962 BEZIER "Transitions" | 78681,126377 79309,119084 79833,97641 80461,90348
L 963 962 0 TEXT "State Labels" | 81045,83881 1 0 0 "SND_IDLE\n/13/"
S 962 895 61440 ELLIPSE "States" | 81045,83881 6500 6500
C 961 958 0 TEXT "Conditions" | 76516,167828 1 0 0 "USBWireRdy == 1'b1"
A 960 956 4 TEXT "Actions" | 96752,135563 1 0 0 "USBWireWEn <= 1'b0;"
I 987 0 130 Builtin Signal | 69201,241421 "" ""
L 986 987 0 TEXT "Labels" | 72201,241421 1 0 0 "TXOneCount[3:0]"
I 985 0 130 Builtin Signal | 69201,236994 "" ""
L 984 985 0 TEXT "Labels" | 72201,236994 1 0 0 "TXLineState[1:0]"
I 983 0 130 Builtin Signal | 69201,232334 "" ""
L 982 983 0 TEXT "Labels" | 72201,232334 1 0 0 "TxByteCtrl[7:0]"
I 981 0 130 Builtin Signal | 69434,227674 "" ""
L 980 981 0 TEXT "Labels" | 72434,227674 1 0 0 "TxByte[7:0]"
A 979 9 4 TEXT "Actions" | 108416,207754 1 0 0 "processTxByteRdy <= 1'b0;\nUSBWireData <= 2'b00;\nUSBWireCtrl <= `TRI_STATE;\nUSBWireReq <= 1'b0;\nUSBWireWEn <= 1'b0;\ni <= 4'h0;\nTxByte <= 8'h00;\nTxByteCtrl <= 8'h00;\nTXLineState <= 2'b0;\nTXOneCount <= 4'h0;"
W 978 895 0 977 951 BEZIER "Transitions" | 38683,259216 44135,257418 54598,254006 60050,252208
I 977 895 0 Builtin Entry | 34452,259216
W 991 880 8195 906 884 BEZIER "Transitions" | 69617,134183 72517,135343 77069,138112 90815,138750\
104561,139388 153745,139620 168013,138576 182281,137532\
190169,133124 192141,121582 194113,110040 194113,68280\
192025,55114 189937,41948 185529,28723 181353,23271
C 990 989 0 TEXT "Conditions" | 32613,121194 1 0 0 "i != 4'h8"
W 989 880 8194 906 901 BEZIER "Transitions" | 58978,127109 55150,125485 47040,121872 44082,121756\
41124,121640 36948,124424 36020,132602 35092,140780\
35556,170708 38166,179350 40776,187992 50140,192687\
55128,195007
W 976 895 8194 951 974 BEZIER "Transitions" | 61300,246245 53760,240097 39092,228012 35032,223372\
30972,218732 29812,212468 29638,189094 29464,165720\
29928,78488 31900,55230 33872,31972 41296,26172\
49358,24664 57420,23156 82353,23388 94765,23272
W 989 880 8194 906 901 BEZIER "Transitions" | 58978,127109 55150,125485 47040,121872 44082,121756\
41124,121640 36948,124424 36020,132602 35092,140780\
35556,170708 38166,179350 40776,187992 50140,192687\
55128,195007
C 990 989 0 TEXT "Conditions" | 32613,121194 1 0 0 "i != 4'h8"
W 991 880 8195 906 884 BEZIER "Transitions" | 69617,134183 72517,135343 77069,138112 90815,138750\
104561,139388 153745,139620 168013,138576 182281,137532\
190169,133124 192141,121582 194113,110040 194113,68280\
192025,55114 189937,41948 185529,28723 181353,23271
I 977 895 0 Builtin Entry | 34452,259216
W 978 895 0 977 951 BEZIER "Transitions" | 38683,259216 44135,257418 54598,254006 60050,252208
A 979 9 4 TEXT "Actions" | 108416,207754 1 0 0 "processTxByteRdy <= 1'b0;\nUSBWireData <= 2'b00;\nUSBWireCtrl <= `TRI_STATE;\nUSBWireReq <= 1'b0;\nUSBWireWEn <= 1'b0;\ni <= 4'h0;\nTxByte <= 8'h00;\nTxByteCtrl <= 8'h00;\nTXLineState <= 2'b0;\nTXOneCount <= 4'h0;"
L 980 981 0 TEXT "Labels" | 72434,227674 1 0 0 "TxByte[7:0]"
I 981 0 130 Builtin Signal | 69434,227674 "" ""
L 982 983 0 TEXT "Labels" | 72201,232334 1 0 0 "TxByteCtrl[7:0]"
I 983 0 130 Builtin Signal | 69201,232334 "" ""
L 984 985 0 TEXT "Labels" | 72201,236994 1 0 0 "TXLineState[1:0]"
I 985 0 130 Builtin Signal | 69201,236994 "" ""
L 986 987 0 TEXT "Labels" | 72201,241421 1 0 0 "TXOneCount[3:0]"
I 987 0 130 Builtin Signal | 69201,241421 "" ""
A 999 885 16 TEXT "Actions" | 43433,228332 1 0 0 "i <= 4'h0;"
W 998 995 0 996 997 BEZIER "Transitions" | 90591,167640 102761,150317 114231,129084 126401,111760
I 997 995 0 Builtin Exit | 129540,111760
I 996 995 0 Builtin Entry | 86360,167640
H 995 994 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 994 6 69652 ELLIPSE "Junction" | 45260,135010 3500 3500
L 993 994 0 TEXT "State Labels" | 45260,135010 1 0 0 "J1"
L 184 185 0 TEXT "Labels" | 192136,264720 1 0 0 "clk"
I 185 0 3 Builtin InPort | 186136,264720 "" ""
L 186 187 0 TEXT "Labels" | 192243,259666 1 0 0 "rst"
I 187 0 2 Builtin InPort | 186243,259666 "" ""
C 188 13 0 TEXT "Conditions" | 25531,201445 1 0 0 "rst"
I 187 0 2 Builtin InPort | 186243,259666 "" ""
L 186 187 0 TEXT "Labels" | 192243,259666 1 0 0 "rst"
I 185 0 3 Builtin InPort | 186136,264720 "" ""
L 184 185 0 TEXT "Labels" | 192136,264720 1 0 0 "clk"
L 993 994 0 TEXT "State Labels" | 45260,135010 1 0 0 "J1"
S 994 6 69652 ELLIPSE "Junction" | 45260,135010 3500 3500
H 995 994 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 996 995 0 Builtin Entry | 86360,167640
I 997 995 0 Builtin Exit | 129540,111760
W 998 995 0 996 997 BEZIER "Transitions" | 90591,167640 102761,150317 114231,129084 126401,111760
A 999 885 16 TEXT "Actions" | 43433,228332 1 0 0 "i <= 4'h0;"
L 815 816 0 TEXT "Labels" | 26959,264028 1 0 0 "processTxByteWEn"
END
/trunk/RTL/serialInterfaceEngine/writeUSBWireData.v
41,7 → 41,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: writeUSBWireData.v,v 1.2 2004-12-18 14:36:16 sfielding Exp $
// $Id: writeUSBWireData.v,v 1.3 2004-12-31 14:40:43 sfielding Exp $
//
// CVS Revision History
//
/trunk/RTL/serialInterfaceEngine/processRxBit.v
42,7 → 42,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: processRxBit.v,v 1.2 2004-12-18 14:36:15 sfielding Exp $
// $Id: processRxBit.v,v 1.3 2004-12-31 14:40:43 sfielding Exp $
//
// CVS Revision History
//
150,27 → 150,27
end
`WAIT_BITS:
begin
if ((processRxBitsWEn == 1'b1) && (RXBitStMachCurrState == `DATA_RECEIVE_BIT_ST))
if ((processRxBitsWEn == 1'b1) && (RXBitStMachCurrState == `WAIT_RESUME_ST))
begin
NextState_prRxBit <= `DATA_RX_CHK_SE0;
NextState_prRxBit <= `RES_RX_CHK;
next_RxBits <= RxBitsIn;
next_processRxBitRdy <= 1'b0;
end
else if ((processRxBitsWEn == 1'b1) && (RXBitStMachCurrState == `WAIT_RESUME_ST))
else if ((processRxBitsWEn == 1'b1) && (RXBitStMachCurrState == `DATA_RECEIVE_BIT_ST))
begin
NextState_prRxBit <= `RES_RX_CHK;
NextState_prRxBit <= `DATA_RX_CHK_SE0;
next_RxBits <= RxBitsIn;
next_processRxBitRdy <= 1'b0;
end
else if ((processRxBitsWEn == 1'b1) && (RXBitStMachCurrState == `RESUME_END_WAIT_ST))
else if ((processRxBitsWEn == 1'b1) && (RXBitStMachCurrState == `IDLE_BIT_ST))
begin
NextState_prRxBit <= `RES_END_CHK1;
NextState_prRxBit <= `IDLE_CHK_KBIT;
next_RxBits <= RxBitsIn;
next_processRxBitRdy <= 1'b0;
end
else if ((processRxBitsWEn == 1'b1) && (RXBitStMachCurrState == `IDLE_BIT_ST))
else if ((processRxBitsWEn == 1'b1) && (RXBitStMachCurrState == `RESUME_END_WAIT_ST))
begin
NextState_prRxBit <= `IDLE_CHK_KBIT;
NextState_prRxBit <= `RES_END_CHK1;
next_RxBits <= RxBitsIn;
next_processRxBitRdy <= 1'b0;
end
/trunk/RTL/serialInterfaceEngine/processRxBit.asf
6,7 → 6,7
ENTITY="processRxBit"
FRAMES=ON
FREEOID=256
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// processrxbit\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: processRxBit.asf,v 1.2 2004-12-18 14:36:15 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n\n"
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// processrxbit\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: processRxBit.asf,v 1.3 2004-12-31 14:40:43 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n\n"
END
BUNDLES
B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3333 0 0000 1 "Arial" 0
91,223 → 91,223
GRIDSIZE 0,0 10000,10000
END
OBJECTS
L 15 16 0 TEXT "State Labels" | 116068,123104 1 0 0 "IDLE"
W 13 6 0 12 9 BEZIER "Transitions" | 22728,190398 27224,190134 31822,186104 35786,184244
I 12 6 0 Builtin Reset | 22728,190398
S 9 6 0 ELLIPSE "States" | 42238,183458 6500 6500
L 8 9 0 TEXT "State Labels" | 42238,183458 1 0 0 "START\n/0/"
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 94226,265828 1 0 0 "Module: processRxBit"
F 6 0 671089152 185 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,221539
L 7 6 0 TEXT "Labels" | 23239,210942 1 0 0 "prRxBit"
F 6 0 671089152 185 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,221539
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 94226,265828 1 0 0 "Module: processRxBit"
L 8 9 0 TEXT "State Labels" | 42238,183458 1 0 0 "START\n/0/"
S 9 6 0 ELLIPSE "States" | 42238,183458 6500 6500
I 12 6 0 Builtin Reset | 22728,190398
W 13 6 0 12 9 BEZIER "Transitions" | 22728,190398 27224,190134 31822,186104 35786,184244
L 15 16 0 TEXT "State Labels" | 116068,123104 1 0 0 "IDLE"
L 25 24 0 TEXT "State Labels" | 116801,94499 1 0 0 "DATA_RX"
S 24 6 12292 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 116801,94499 6500 6500
W 23 17 0 18 21 BEZIER "Transitions" | 111741,134422 116780,127404 120535,103988 125575,96970
I 21 17 0 Builtin Exit | 128380,96970
I 20 17 0 Builtin Entry | 56736,212076
L 19 18 0 TEXT "State Labels" | 107950,139700 1 0 0 "FIRST_BIT\n/1/"
S 18 17 8192 ELLIPSE "States" | 107950,139700 6500 6500
H 17 16 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 16 6 4100 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 116068,123104 6500 6500
H 17 16 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 18 17 8192 ELLIPSE "States" | 107950,139700 6500 6500
L 19 18 0 TEXT "State Labels" | 107950,139700 1 0 0 "FIRST_BIT\n/1/"
I 20 17 0 Builtin Entry | 56736,212076
I 21 17 0 Builtin Exit | 128380,96970
W 23 17 0 18 21 BEZIER "Transitions" | 111741,134422 116780,127404 120535,103988 125575,96970
S 24 6 12292 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 116801,94499 6500 6500
L 25 24 0 TEXT "State Labels" | 116801,94499 1 0 0 "DATA_RX"
L 43 42 0 TEXT "State Labels" | 119820,36808 1 0 0 "RES_END"
S 42 6 20484 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 119820,36808 6500 6500
S 40 41 65536 ELLIPSE "States" | 107950,139700 6500 6500
L 39 40 0 TEXT "State Labels" | 107950,139700 1 0 0 "CHK\n/9/"
I 38 41 0 Builtin Entry | 86360,167640
I 37 41 0 Builtin Exit | 129540,111760
W 36 41 0 38 40 BEZIER "Transitions" | 90251,167640 94982,160656 99574,152064 104305,145080
W 35 41 0 40 37 BEZIER "Transitions" | 111741,134422 116780,127404 121695,118778 126735,111760
L 34 33 0 TEXT "State Labels" | 118212,64680 1 0 0 "RES_RX"
S 33 6 16388 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 118212,64680 6500 6500
H 41 33 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
H 32 24 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15330,15700 199830,263700
H 41 33 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 33 6 16388 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 118212,64680 6500 6500
L 34 33 0 TEXT "State Labels" | 118212,64680 1 0 0 "RES_RX"
W 35 41 0 40 37 BEZIER "Transitions" | 111741,134422 116780,127404 121695,118778 126735,111760
W 36 41 0 38 40 BEZIER "Transitions" | 90251,167640 94982,160656 99574,152064 104305,145080
I 37 41 0 Builtin Exit | 129540,111760
I 38 41 0 Builtin Entry | 86360,167640
L 39 40 0 TEXT "State Labels" | 107950,139700 1 0 0 "CHK\n/9/"
S 40 41 65536 ELLIPSE "States" | 107950,139700 6500 6500
S 42 6 20484 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 119820,36808 6500 6500
L 43 42 0 TEXT "State Labels" | 119820,36808 1 0 0 "RES_END"
H 50 42 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
W 51 6 0 213 16 BEZIER "Transitions" | 42388,154240 42522,148478 41966,137442 42502,133556\
43038,129670 44914,125650 53423,124511 61932,123372\
93489,123426 109569,123158
S 63 6 24576 ELLIPSE "States" | 113456,158815 6500 6500
L 62 63 0 TEXT "State Labels" | 113723,160148 1 0 0 "WAIT_BITS\n/2/"
C 58 54 0 TEXT "Conditions" | 37965,30092 1 0 0 "RXBitStMachCurrState == `RESUME_END_WAIT_ST"
C 57 53 0 TEXT "Conditions" | 50070,58068 1 0 0 "RXBitStMachCurrState == `WAIT_RESUME_ST"
C 56 52 0 TEXT "Conditions" | 48456,87658 1 0 0 "RXBitStMachCurrState == `DATA_RECEIVE_BIT_ST"
C 55 51 0 TEXT "Conditions" | 46862,121215 1 0 0 "RXBitStMachCurrState == `IDLE_BIT_ST"
W 54 6 0 213 42 BEZIER "Transitions" | 42671,154227 43609,125551 43842,70308 45115,54764\
46388,39220 49604,34396 58247,33391 66890,32386\
97657,35973 113335,36375
W 53 6 0 213 33 BEZIER "Transitions" | 42645,154234 43047,131722 42770,88800 43976,77142\
45182,65484 49202,63876 57711,63474 66220,63072\
96236,63072 103807,63072 111378,63072 111758,63165\
111892,63165
W 52 6 0 213 24 BEZIER "Transitions" | 42699,154238 43235,140704 42636,114126 43641,106354\
44646,98582 47594,94562 55902,93624 64210,92686\
94494,92954 102132,93021 109770,93088 110325,93078\
110459,93078
W 53 6 0 213 33 BEZIER "Transitions" | 42645,154234 43047,131722 42770,88800 43976,77142\
45182,65484 49202,63876 57711,63474 66220,63072\
96236,63072 103807,63072 111378,63072 111758,63165\
111892,63165
W 54 6 0 213 42 BEZIER "Transitions" | 42671,154227 43609,125551 43842,70308 45115,54764\
46388,39220 49604,34396 58247,33391 66890,32386\
97657,35973 113335,36375
C 55 51 0 TEXT "Conditions" | 46862,121215 1 0 0 "RXBitStMachCurrState == `IDLE_BIT_ST"
C 56 52 0 TEXT "Conditions" | 48456,87658 1 0 0 "RXBitStMachCurrState == `DATA_RECEIVE_BIT_ST"
C 57 53 0 TEXT "Conditions" | 50070,58068 1 0 0 "RXBitStMachCurrState == `WAIT_RESUME_ST"
C 58 54 0 TEXT "Conditions" | 37965,30092 1 0 0 "RXBitStMachCurrState == `RESUME_END_WAIT_ST"
L 62 63 0 TEXT "State Labels" | 113723,160148 1 0 0 "WAIT_BITS\n/2/"
S 63 6 24576 ELLIPSE "States" | 113456,158815 6500 6500
W 64 6 0 9 63 BEZIER "Transitions" | 48724,183047 60291,181433 96001,163180 107568,161566
W 65 6 0 63 213 BEZIER "Transitions" | 107011,157978 95175,155961 57808,160629 45972,158612
C 66 65 0 TEXT "Conditions" | 64836,155511 1 0 0 "processRxBitsWEn == 1'b1"
W 51 6 0 213 16 BEZIER "Transitions" | 42388,154240 42522,148478 41966,137442 42502,133556\
43038,129670 44914,125650 53423,124511 61932,123372\
93489,123426 109569,123158
H 50 42 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
A 78 65 16 TEXT "Actions" | 57414,163918 1 0 0 "RxBits <= RxBitsIn;\nprocessRxBitRdy <= 1'b0;"
W 76 17 4096 241 18 BEZIER "Transitions" | 130017,172236 121274,163054 112530,153872 103787,144690
S 75 17 28672 ELLIPSE "States" | 77268,176778 6500 6500
L 74 75 0 TEXT "State Labels" | 77268,176778 1 0 0 "CHK_KBIT\n/3/"
A 73 18 4 TEXT "Actions" | 122746,145328 1 0 0 "processRxByteWEn <= 1'b0;\nRXBitStMachCurrState <= `DATA_RECEIVE_BIT_ST;\nRXSameBitCount <= 4'h1; \nRXBitCount <= 4'h1;\noldRXBits <= RxBits;\n//zero is always the first RZ data bit of a new packet\nRXByte <= 8'h00;"
W 72 6 0 42 219 BEZIER "Transitions" | 124182,41625 133497,51750 153075,73168 162390,83293
W 71 6 0 33 219 BEZIER "Transitions" | 124072,67490 133252,71405 152285,80632 161465,84547
W 69 6 0 24 219 BEZIER "Transitions" | 123174,93221 132840,90845 152243,88111 161207,86437
W 68 6 0 16 219 BEZIER "Transitions" | 121312,119265 131167,111435 152206,96104 162061,88274
W 67 6 0 219 63 BEZIER "Transitions" | 168098,86660 172418,87740 183648,91372 185943,95422\
188238,99472 188778,113512 186145,122422 183513,131332\
167904,143587 159264,149864 150624,156142 133542,158851\
125779,159931 118017,161011 123617,159646 119837,160051
W 68 6 0 16 219 BEZIER "Transitions" | 121312,119265 131167,111435 152206,96104 162061,88274
W 69 6 0 24 219 BEZIER "Transitions" | 123174,93221 132840,90845 152243,88111 161207,86437
W 71 6 0 33 219 BEZIER "Transitions" | 124072,67490 133252,71405 152285,80632 161465,84547
W 72 6 0 42 219 BEZIER "Transitions" | 124182,41625 133497,51750 153075,73168 162390,83293
A 73 18 4 TEXT "Actions" | 122746,145328 1 0 0 "processRxByteWEn <= 1'b0;\nRXBitStMachCurrState <= `DATA_RECEIVE_BIT_ST;\nRXSameBitCount <= 4'h1; \nRXBitCount <= 4'h1;\noldRXBits <= RxBits;\n//zero is always the first RZ data bit of a new packet\nRXByte <= 8'h00;"
L 74 75 0 TEXT "State Labels" | 77268,176778 1 0 0 "CHK_KBIT\n/3/"
S 75 17 28672 ELLIPSE "States" | 77268,176778 6500 6500
W 76 17 4096 241 18 BEZIER "Transitions" | 130017,172236 121274,163054 112530,153872 103787,144690
A 78 65 16 TEXT "Actions" | 57414,163918 1 0 0 "RxBits <= RxBitsIn;\nprocessRxBitRdy <= 1'b0;"
A 95 91 16 TEXT "Actions" | 81602,214284 1 0 0 "RxDataOut <= 8'h00; //redundant data\nRxCtrlOut <= `DATA_STOP; //end of packet\nprocessRxByteWEn <= 1'b1;"
W 94 32 0 85 89 BEZIER "Transitions" | 41504,245373 45564,238486 43946,239209 48006,232322
W 91 32 4096 246 83 BEZIER "Transitions" | 118511,229192 108252,217383 97992,205574 87733,193765
L 90 89 0 TEXT "State Labels" | 51785,227035 1 0 0 "CHK_SE0\n/5/"
S 89 32 36864 ELLIPSE "States" | 51785,227035 6500 6500
A 88 83 4 TEXT "Actions" | 104179,197041 1 0 0 "processRxByteWEn <= 1'b0;\nRXBitStMachCurrState <= `IDLE_BIT_ST;"
I 86 32 0 Builtin Exit | 178157,29567
I 85 32 0 Builtin Entry | 37613,245373
L 84 83 0 TEXT "State Labels" | 82467,189957 1 0 0 "LAST_BIT\n/4/"
S 83 32 32768 ELLIPSE "States" | 82467,189957 6500 6500
C 66 65 0 TEXT "Conditions" | 64836,155511 1 0 0 "processRxBitsWEn == 1'b1"
W 65 6 0 63 213 BEZIER "Transitions" | 107011,157978 95175,155961 57808,160629 45972,158612
W 64 6 0 9 63 BEZIER "Transitions" | 48724,183047 60291,181433 96001,163180 107568,161566
A 80 76 16 TEXT "Actions" | 98161,161647 1 0 0 "RxDataOut <= 8'h00; //redundant data\nRxCtrlOut <= `DATA_START; //start of packet\nprocessRxByteWEn <= 1'b1;"
W 81 17 0 20 75 BEZIER "Transitions" | 60627,212076 64687,205189 69782,189186 73842,182299
W 82 17 8194 75 21 BEZIER "Transitions" | 74719,170800 71529,161085 64380,142085 64960,133312\
65540,124540 74240,108880 82215,104385 90190,99890\
113975,98130 125575,96970
W 81 17 0 20 75 BEZIER "Transitions" | 60627,212076 64687,205189 69782,189186 73842,182299
A 80 76 16 TEXT "Actions" | 98161,161647 1 0 0 "RxDataOut <= 8'h00; //redundant data\nRxCtrlOut <= `DATA_START; //start of packet\nprocessRxByteWEn <= 1'b1;"
S 83 32 32768 ELLIPSE "States" | 82467,189957 6500 6500
L 84 83 0 TEXT "State Labels" | 82467,189957 1 0 0 "LAST_BIT\n/4/"
I 85 32 0 Builtin Entry | 37613,245373
I 86 32 0 Builtin Exit | 178157,29567
A 88 83 4 TEXT "Actions" | 104179,197041 1 0 0 "processRxByteWEn <= 1'b0;\nRXBitStMachCurrState <= `IDLE_BIT_ST;"
S 89 32 36864 ELLIPSE "States" | 51785,227035 6500 6500
L 90 89 0 TEXT "State Labels" | 51785,227035 1 0 0 "CHK_SE0\n/5/"
W 91 32 4096 246 83 BEZIER "Transitions" | 118511,229192 108252,217383 97992,205574 87733,193765
W 94 32 0 85 89 BEZIER "Transitions" | 41504,245373 45564,238486 43946,239209 48006,232322
A 95 91 16 TEXT "Actions" | 81602,214284 1 0 0 "RxDataOut <= 8'h00; //redundant data\nRxCtrlOut <= `DATA_STOP; //end of packet\nprocessRxByteWEn <= 1'b1;"
L 96 97 0 TEXT "State Labels" | 66418,142124 1 0 0 "DATA"
S 97 32 40964 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 66418,142124 6500 6500
W 98 32 8194 89 97 BEZIER "Transitions" | 49942,220803 46756,202617 58189,166563 64651,148377
A 99 89 4 TEXT "Actions" | 56907,247297 1 0 0 "bitStuffError <= 1'b0;"
H 101 97 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 102 101 45056 ELLIPSE "States" | 118810,97708 6500 6500
L 103 102 0 TEXT "State Labels" | 118810,97708 1 0 0 "DESTUFF\n/6/"
I 105 101 0 Builtin Entry | 97220,125648
I 106 101 0 Builtin Exit | 140400,69768
W 107 101 0 105 102 BEZIER "Transitions" | 101111,125648 105710,118844 110572,109896 115171,103091
W 108 101 0 102 106 BEZIER "Transitions" | 122599,92427 127505,85589 132688,76607 137595,69768
W 111 32 0 97 227 BEZIER "Transitions" | 66477,135648 66678,131226 66890,120750 67091,116328
W 108 101 0 102 106 BEZIER "Transitions" | 122599,92427 127505,85589 132688,76607 137595,69768
W 107 101 0 105 102 BEZIER "Transitions" | 101111,125648 105710,118844 110572,109896 115171,103091
I 106 101 0 Builtin Exit | 140400,69768
I 105 101 0 Builtin Entry | 97220,125648
L 103 102 0 TEXT "State Labels" | 118810,97708 1 0 0 "DESTUFF\n/6/"
S 102 101 45056 ELLIPSE "States" | 118810,97708 6500 6500
H 101 97 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
A 99 89 4 TEXT "Actions" | 56907,247297 1 0 0 "bitStuffError <= 1'b0;"
W 98 32 8194 89 97 BEZIER "Transitions" | 49942,220803 46756,202617 58189,166563 64651,148377
S 97 32 40964 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 66418,142124 6500 6500
L 96 97 0 TEXT "State Labels" | 66418,142124 1 0 0 "DATA"
H 122 113 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
C 121 118 0 TEXT "Conditions" | 90285,92809 1 0 0 "bitStuffError == 1'b1"
C 120 117 0 TEXT "Conditions" | 17125,90667 1 0 0 "RXBitCount == 4'h8 & bitStuffError == 1'b0"
W 119 32 8195 227 86 BEZIER "Transitions" | 70866,112476 88554,110332 126022,106808 138752,96624\
151482,86440 167580,47791 175352,29567
W 118 32 8194 227 115 BEZIER "Transitions" | 69923,110435 79839,101323 101636,81685 111552,72573
W 117 32 8193 227 113 BEZIER "Transitions" | 65361,109992 60269,101550 49374,82448 44282,74006
L 112 113 0 TEXT "State Labels" | 41334,68216 1 0 0 "BYTE"
S 113 32 49156 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 41334,68216 6500 6500
L 114 115 0 TEXT "State Labels" | 116374,68216 1 0 0 "ERROR"
S 115 32 53252 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 116374,68216 6500 6500
W 116 32 0 83 86 BEZIER "Transitions" | 88704,188128 110546,183706 152420,173406 164480,164897\
176540,156388 181096,131196 181431,113977 181766,96758\
182570,51409 180962,29567
S 115 32 53252 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 116374,68216 6500 6500
L 114 115 0 TEXT "State Labels" | 116374,68216 1 0 0 "ERROR"
S 113 32 49156 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 41334,68216 6500 6500
L 112 113 0 TEXT "State Labels" | 41334,68216 1 0 0 "BYTE"
W 117 32 8193 227 113 BEZIER "Transitions" | 65361,109992 60269,101550 49374,82448 44282,74006
W 118 32 8194 227 115 BEZIER "Transitions" | 69923,110435 79839,101323 101636,81685 111552,72573
W 119 32 8195 227 86 BEZIER "Transitions" | 70866,112476 88554,110332 126022,106808 138752,96624\
151482,86440 167580,47791 175352,29567
C 120 117 0 TEXT "Conditions" | 17125,90667 1 0 0 "RXBitCount == 4'h8 & bitStuffError == 1'b0"
C 121 118 0 TEXT "Conditions" | 90285,92809 1 0 0 "bitStuffError == 1'b1"
H 122 113 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
H 129 115 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 136 122 57344 ELLIPSE "States" | 83564,162911 6500 6500
L 137 136 0 TEXT "State Labels" | 83564,162911 1 0 0 "SEND2\n/7/"
I 138 122 0 Builtin Entry | 32350,235287
I 139 122 0 Builtin Exit | 103994,120181
W 140 122 0 136 139 BEZIER "Transitions" | 87355,157633 92394,150615 96149,127199 101189,120181
A 141 136 4 TEXT "Actions" | 98360,168539 1 0 0 "processRxByteWEn <= 1'b0;"
S 142 122 61440 ELLIPSE "States" | 68810,217727 6500 6500
L 143 142 0 TEXT "State Labels" | 68810,217727 1 0 0 "WAIT_RDY\n/8/"
S 142 122 61440 ELLIPSE "States" | 68810,217727 6500 6500
A 141 136 4 TEXT "Actions" | 98360,168539 1 0 0 "processRxByteWEn <= 1'b0;"
W 140 122 0 136 139 BEZIER "Transitions" | 87355,157633 92394,150615 96149,127199 101189,120181
I 139 122 0 Builtin Exit | 103994,120181
I 138 122 0 Builtin Entry | 32350,235287
L 137 136 0 TEXT "State Labels" | 83564,162911 1 0 0 "SEND2\n/7/"
S 136 122 57344 ELLIPSE "States" | 83564,162911 6500 6500
H 129 115 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
W 144 122 4096 142 136 BEZIER "Transitions" | 70118,211361 75926,204431 73609,174845 79417,167915
W 147 122 0 138 142 BEZIER "Transitions" | 36241,235287 40301,228400 58702,226995 62762,220108
A 148 144 16 TEXT "Actions" | 66554,198501 1 0 0 "RXBitCount <= 4'h0;\nRxDataOut <= RXByte; \nRxCtrlOut <= `DATA_STREAM; \nprocessRxByteWEn <= 1'b1;"
S 151 129 65536 ELLIPSE "States" | 70001,162635 6500 6500
A 152 151 4 TEXT "Actions" | 94367,174643 1 0 0 "processRxByteWEn <= 1'b0;\nif (RxBits == JBit) //if current bit is a JBit, then\n RXBitStMachCurrState <= `IDLE_BIT_ST; //next state is idle\nelse //else\nbegin\n RXBitStMachCurrState <= `WAIT_RESUME_ST; //check for resume\n resumeWaitCnt <= 0; \nend"
W 153 129 0 151 154 BEZIER "Transitions" | 75624,159375 80663,152357 107236,129533 112276,122515
I 154 129 0 Builtin Exit | 115081,122515
I 155 129 0 Builtin Entry | 43437,237621
L 156 151 0 TEXT "State Labels" | 70001,162635 1 0 0 "CHK_RES\n/10/"
W 159 129 0 155 251 BEZIER "Transitions" | 47328,237621 58765,237907 69242,234957 80679,235243
L 156 151 0 TEXT "State Labels" | 70001,162635 1 0 0 "CHK_RES\n/10/"
I 155 129 0 Builtin Entry | 43437,237621
I 154 129 0 Builtin Exit | 115081,122515
W 153 129 0 151 154 BEZIER "Transitions" | 75624,159375 80663,152357 107236,129533 112276,122515
A 152 151 4 TEXT "Actions" | 94367,174643 1 0 0 "processRxByteWEn <= 1'b0;\nif (RxBits == JBit) //if current bit is a JBit, then\n RXBitStMachCurrState <= `IDLE_BIT_ST; //next state is idle\nelse //else\nbegin\n RXBitStMachCurrState <= `WAIT_RESUME_ST; //check for resume\n resumeWaitCnt <= 0; \nend"
S 151 129 65536 ELLIPSE "States" | 70001,162635 6500 6500
A 148 144 16 TEXT "Actions" | 66554,198501 1 0 0 "RXBitCount <= 4'h0;\nRxDataOut <= RXByte; \nRxCtrlOut <= `DATA_STREAM; \nprocessRxByteWEn <= 1'b1;"
W 147 122 0 138 142 BEZIER "Transitions" | 36241,235287 40301,228400 58702,226995 62762,220108
W 144 122 4096 142 136 BEZIER "Transitions" | 70118,211361 75926,204431 73609,174845 79417,167915
I 175 0 130 Builtin OutPort | 78804,245816 "" ""
L 174 175 0 TEXT "Labels" | 84804,245816 1 0 0 "RxCtrlOut[7:0]"
I 173 0 130 Builtin OutPort | 79602,240762 "" ""
L 172 173 0 TEXT "Labels" | 85602,240762 1 0 0 "RxDataOut[7:0]"
I 171 0 2 Builtin OutPort | 78239,230321 "" ""
L 170 171 0 TEXT "Labels" | 84239,230321 1 0 0 "resumeDetected"
A 169 167 4 TEXT "Actions" | 55436,189333 1 0 0 "if (RxBits != KBit) //line must leave KBit state for the end of resume\nbegin\n RXBitStMachCurrState <= `IDLE_BIT_ST;\n resumeDetected <= 1'b0; //clear resume detected flag\nend"
L 168 167 0 TEXT "State Labels" | 117624,117720 1 0 0 "CHK1\n/11/"
S 167 50 69632 ELLIPSE "States" | 117624,117720 6500 6500
I 166 50 0 Builtin Entry | 96034,145660
I 165 50 0 Builtin Exit | 139214,89780
W 164 50 0 166 167 BEZIER "Transitions" | 99925,145660 104656,138676 109248,130084 113979,123100
W 163 50 0 167 165 BEZIER "Transitions" | 121415,112442 126454,105424 131369,96798 136409,89780
A 162 40 4 TEXT "Actions" | 29424,246323 1 0 0 "if (RxBits != KBit) //can only be a resume if line remains in Kbit state\n RXBitStMachCurrState <= `IDLE_BIT_ST;\nelse \nbegin\n resumeWaitCnt <= resumeWaitCnt + 1'b1; \n //if we've waited long enough, then\n if (resumeWaitCnt == `RESUME_WAIT_TIME_MINUS1)\n begin \n RXBitStMachCurrState <= `RESUME_END_WAIT_ST; \n resumeDetected <= 1'b1; //report resume detected\n end\nend"
W 160 32 0 115 86 BEZIER "Transitions" | 119806,62698 125032,57070 133928,45540 139522,41252\
145117,36964 157043,31068 161599,29627 166155,28187\
172203,29500 175352,29567
W 161 32 0 113 86 BEZIER "Transitions" | 45583,63298 57777,53382 79524,32408 93292,27115\
107061,21822 137747,20482 148467,20415 159187,20348\
171381,21420 174463,22458 177545,23497 178090,26035\
178157,27576
W 160 32 0 115 86 BEZIER "Transitions" | 119806,62698 125032,57070 133928,45540 139522,41252\
145117,36964 157043,31068 161599,29627 166155,28187\
172203,29500 175352,29567
A 162 40 4 TEXT "Actions" | 29424,246323 1 0 0 "if (RxBits != KBit) //can only be a resume if line remains in Kbit state\n RXBitStMachCurrState <= `IDLE_BIT_ST;\nelse \nbegin\n resumeWaitCnt <= resumeWaitCnt + 1'b1; \n //if we've waited long enough, then\n if (resumeWaitCnt == `RESUME_WAIT_TIME_MINUS1)\n begin \n RXBitStMachCurrState <= `RESUME_END_WAIT_ST; \n resumeDetected <= 1'b1; //report resume detected\n end\nend"
W 163 50 0 167 165 BEZIER "Transitions" | 121415,112442 126454,105424 131369,96798 136409,89780
W 164 50 0 166 167 BEZIER "Transitions" | 99925,145660 104656,138676 109248,130084 113979,123100
I 165 50 0 Builtin Exit | 139214,89780
I 166 50 0 Builtin Entry | 96034,145660
S 167 50 69632 ELLIPSE "States" | 117624,117720 6500 6500
L 168 167 0 TEXT "State Labels" | 117624,117720 1 0 0 "CHK1\n/11/"
A 169 167 4 TEXT "Actions" | 55436,189333 1 0 0 "if (RxBits != KBit) //line must leave KBit state for the end of resume\nbegin\n RXBitStMachCurrState <= `IDLE_BIT_ST;\n resumeDetected <= 1'b0; //clear resume detected flag\nend"
L 170 171 0 TEXT "Labels" | 84239,230321 1 0 0 "resumeDetected"
I 171 0 2 Builtin OutPort | 78239,230321 "" ""
L 172 173 0 TEXT "Labels" | 85602,240762 1 0 0 "RxDataOut[7:0]"
I 173 0 130 Builtin OutPort | 79602,240762 "" ""
L 174 175 0 TEXT "Labels" | 84804,245816 1 0 0 "RxCtrlOut[7:0]"
I 175 0 130 Builtin OutPort | 78804,245816 "" ""
L 176 177 0 TEXT "Labels" | 84272,250604 1 0 0 "processRxByteWEn"
I 177 0 2 Builtin OutPort | 78272,250604 "" ""
L 178 179 0 TEXT "Labels" | 158752,245018 1 0 0 "RxBitsIn[1:0]"
I 179 0 130 Builtin InPort | 152752,245018 "" ""
L 180 181 0 TEXT "Labels" | 158486,249540 1 0 0 "processRxBitsWEn"
I 181 0 2 Builtin InPort | 152486,249540 "" ""
L 182 183 0 TEXT "Labels" | 158486,239964 1 0 0 "KBit[1:0]"
I 183 0 130 Builtin InPort | 152486,239964 "" ""
L 184 185 0 TEXT "Labels" | 189608,264702 1 0 0 "clk"
I 185 0 3 Builtin InPort | 183608,264702 "" ""
L 186 187 0 TEXT "Labels" | 189608,259648 1 0 0 "rst"
I 187 0 2 Builtin InPort | 183608,259648 "" ""
C 188 13 0 TEXT "Conditions" | 26243,187081 1 0 0 "rst"
A 191 9 4 TEXT "Actions" | 132502,217743 1 0 0 "processRxByteWEn <= 1'b0;\nRxCtrlOut <= 8'h00;\nRxDataOut <= 8'h00;\nresumeDetected <= 1'b0;\nRXBitStMachCurrState <= `IDLE_BIT_ST;\nRxBits <= 2'b00;\nRXSameBitCount <= 4'h0;\nRXBitCount <= 4'h0;\noldRXBits <= 2'b00;\nRXByte <= 8'h00;\nbitStuffError <= 1'b0;\nresumeWaitCnt <= 4'h0;\nprocessRxBitRdy <= 1'b1;"
C 188 13 0 TEXT "Conditions" | 26243,187081 1 0 0 "rst"
I 187 0 2 Builtin InPort | 183608,259648 "" ""
L 186 187 0 TEXT "Labels" | 189608,259648 1 0 0 "rst"
I 185 0 3 Builtin InPort | 183608,264702 "" ""
L 184 185 0 TEXT "Labels" | 189608,264702 1 0 0 "clk"
I 183 0 130 Builtin InPort | 152486,239964 "" ""
L 182 183 0 TEXT "Labels" | 158486,239964 1 0 0 "KBit[1:0]"
I 181 0 2 Builtin InPort | 152486,249540 "" ""
L 180 181 0 TEXT "Labels" | 158486,249540 1 0 0 "processRxBitsWEn"
I 179 0 130 Builtin InPort | 152752,245018 "" ""
L 178 179 0 TEXT "Labels" | 158752,245018 1 0 0 "RxBitsIn[1:0]"
I 177 0 2 Builtin OutPort | 78272,250604 "" ""
L 176 177 0 TEXT "Labels" | 84272,250604 1 0 0 "processRxByteWEn"
L 192 193 0 TEXT "Labels" | 21954,263638 1 0 0 "RXBitStMachCurrState[1:0]"
I 193 0 130 Builtin Signal | 18954,263638 "" ""
L 196 197 0 TEXT "Labels" | 21422,253264 1 0 0 "RxBits[1:0]"
I 197 0 130 Builtin Signal | 18422,253264 "" ""
L 198 199 0 TEXT "Labels" | 21422,248742 1 0 0 "RXSameBitCount[3:0]"
I 199 0 130 Builtin Signal | 18422,248742 "" ""
L 200 201 0 TEXT "Labels" | 22264,243362 1 0 0 "RXBitCount[3:0]"
I 201 0 130 Builtin Signal | 19264,243362 "" ""
L 202 203 0 TEXT "Labels" | 21561,238021 1 0 0 "oldRXBits[1:0]"
I 203 0 130 Builtin Signal | 18561,238021 "" ""
L 204 205 0 TEXT "Labels" | 21834,232706 1 0 0 "RXByte[7:0]"
I 205 0 130 Builtin Signal | 18834,232706 "" ""
L 206 207 0 TEXT "Labels" | 21806,227486 1 0 0 "bitStuffError"
I 207 0 2 Builtin Signal | 18806,227486 "" ""
L 206 207 0 TEXT "Labels" | 21806,227486 1 0 0 "bitStuffError"
I 205 0 130 Builtin Signal | 18834,232706 "" ""
L 204 205 0 TEXT "Labels" | 21834,232706 1 0 0 "RXByte[7:0]"
I 203 0 130 Builtin Signal | 18561,238021 "" ""
L 202 203 0 TEXT "Labels" | 21561,238021 1 0 0 "oldRXBits[1:0]"
I 201 0 130 Builtin Signal | 19264,243362 "" ""
L 200 201 0 TEXT "Labels" | 22264,243362 1 0 0 "RXBitCount[3:0]"
I 199 0 130 Builtin Signal | 18422,248742 "" ""
L 198 199 0 TEXT "Labels" | 21422,248742 1 0 0 "RXSameBitCount[3:0]"
I 197 0 130 Builtin Signal | 18422,253264 "" ""
L 196 197 0 TEXT "Labels" | 21422,253264 1 0 0 "RxBits[1:0]"
I 193 0 130 Builtin Signal | 18954,263638 "" ""
L 192 193 0 TEXT "Labels" | 21954,263638 1 0 0 "RXBitStMachCurrState[1:0]"
W 223 220 0 221 222 BEZIER "Transitions" | 90251,167640 102382,150340 114603,129061 126735,111760
I 222 220 0 Builtin Exit | 129540,111760
I 221 220 0 Builtin Entry | 86360,167640
H 220 219 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 219 6 77844 ELLIPSE "Junction" | 164672,85946 3500 3500
L 218 219 0 TEXT "State Labels" | 164672,85946 1 0 0 "J2"
W 217 214 0 215 216 BEZIER "Transitions" | 90251,167640 102382,150340 114603,129061 126735,111760
I 216 214 0 Builtin Exit | 129540,111760
I 215 214 0 Builtin Entry | 86360,167640
H 214 213 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 213 6 73748 ELLIPSE "Junction" | 42588,157720 3500 3500
L 212 213 0 TEXT "State Labels" | 42588,157720 1 0 0 "J1"
I 208 0 130 Builtin InPort | 152667,234292 "" ""
L 209 208 0 TEXT "Labels" | 158667,234292 1 0 0 "JBit[1:0]"
L 210 211 0 TEXT "Labels" | 81080,259259 1 0 0 "resumeWaitCnt[3:0]"
I 211 0 130 Builtin Signal | 78080,259259 "" ""
L 210 211 0 TEXT "Labels" | 81080,259259 1 0 0 "resumeWaitCnt[3:0]"
L 209 208 0 TEXT "Labels" | 158667,234292 1 0 0 "JBit[1:0]"
I 208 0 130 Builtin InPort | 152667,234292 "" ""
L 212 213 0 TEXT "State Labels" | 42588,157720 1 0 0 "J1"
S 213 6 73748 ELLIPSE "Junction" | 42588,157720 3500 3500
H 214 213 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 215 214 0 Builtin Entry | 86360,167640
I 216 214 0 Builtin Exit | 129540,111760
W 217 214 0 215 216 BEZIER "Transitions" | 90251,167640 102382,150340 114603,129061 126735,111760
L 218 219 0 TEXT "State Labels" | 164672,85946 1 0 0 "J2"
S 219 6 77844 ELLIPSE "Junction" | 164672,85946 3500 3500
H 220 219 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 221 220 0 Builtin Entry | 86360,167640
I 222 220 0 Builtin Exit | 129540,111760
W 223 220 0 221 222 BEZIER "Transitions" | 90251,167640 102382,150340 114603,129061 126735,111760
I 239 0 2 Builtin InPort | 152372,254090 "" ""
L 238 239 0 TEXT "Labels" | 158372,254090 1 0 0 "processRxByteRdy"
A 237 102 2 TEXT "Actions" | 35548,248222 1 0 0 "if (RxBits == oldRXBits) //if the current 'RxBits' are the same as the old 'RxBits', then\nbegin\n RXSameBitCount <= RXSameBitCount + 1'b1; //inc 'RXSameBitCount'\n if (RXSameBitCount == `MAX_CONSEC_SAME_BITS) //if 'RXSameBitCount' == 7 there has been a bit stuff error\n bitStuffError <= 1'b1; //flag 'bitStuffError'\n else //else no bit stuffing error\n begin\n RXBitCount <= RXBitCount + 1'b1;\n if (RXBitCount != 4'h7) begin\n processRxBitRdy <= 1'b1; //early indication of ready\n end\n RXByte <= { 1'b1, RXByte[7:1]}; //RZ bit = 1 (ie no change in 'RxBits')\n end\nend\nelse //else current 'RxBits' are different from old 'RxBits'\nbegin\n if (RXSameBitCount != `MAX_CONSEC_SAME_BITS) //if this is not the RZ 0 bit after 6 consecutive RZ 1s, then\n begin\n RXBitCount <= RXBitCount + 1'b1;\n if (RXBitCount != 4'h7) begin\n processRxBitRdy <= 1'b1; //early indication of ready\n end\n RXByte <= {1'b0, RXByte[7:1]}; //RZ bit = 0 (ie current'RxBits' is different than old 'RxBits')\n end\n RXSameBitCount <= 4'h1; //reset 'RXSameBitCount'\nend\noldRXBits <= RxBits;"
A 234 67 16 TEXT "Actions" | 139445,159206 1 0 0 "processRxBitRdy <= 1'b1;"
I 233 0 2 Builtin OutPort | 150002,229172 "" ""
L 232 233 0 TEXT "Labels" | 156002,229172 1 0 0 "processRxBitRdy"
W 231 228 0 229 230 BEZIER "Transitions" | 90251,167640 102488,150092 114497,129309 126735,111760
I 230 228 0 Builtin Exit | 129540,111760
I 229 228 0 Builtin Entry | 86360,167640
H 228 227 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 227 32 81940 ELLIPSE "Junction" | 67386,112844 3500 3500
L 226 227 0 TEXT "State Labels" | 67386,112844 1 0 0 "J3"
S 227 32 81940 ELLIPSE "Junction" | 67386,112844 3500 3500
H 228 227 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 229 228 0 Builtin Entry | 86360,167640
I 230 228 0 Builtin Exit | 129540,111760
W 231 228 0 229 230 BEZIER "Transitions" | 90251,167640 102488,150092 114497,129309 126735,111760
L 232 233 0 TEXT "Labels" | 156002,229172 1 0 0 "processRxBitRdy"
I 233 0 2 Builtin OutPort | 150002,229172 "" ""
A 234 67 16 TEXT "Actions" | 139445,159206 1 0 0 "processRxBitRdy <= 1'b1;"
A 237 102 2 TEXT "Actions" | 35548,248222 1 0 0 "if (RxBits == oldRXBits) //if the current 'RxBits' are the same as the old 'RxBits', then\nbegin\n RXSameBitCount <= RXSameBitCount + 1'b1; //inc 'RXSameBitCount'\n if (RXSameBitCount == `MAX_CONSEC_SAME_BITS) //if 'RXSameBitCount' == 7 there has been a bit stuff error\n bitStuffError <= 1'b1; //flag 'bitStuffError'\n else //else no bit stuffing error\n begin\n RXBitCount <= RXBitCount + 1'b1;\n if (RXBitCount != 4'h7) begin\n processRxBitRdy <= 1'b1; //early indication of ready\n end\n RXByte <= { 1'b1, RXByte[7:1]}; //RZ bit = 1 (ie no change in 'RxBits')\n end\nend\nelse //else current 'RxBits' are different from old 'RxBits'\nbegin\n if (RXSameBitCount != `MAX_CONSEC_SAME_BITS) //if this is not the RZ 0 bit after 6 consecutive RZ 1s, then\n begin\n RXBitCount <= RXBitCount + 1'b1;\n if (RXBitCount != 4'h7) begin\n processRxBitRdy <= 1'b1; //early indication of ready\n end\n RXByte <= {1'b0, RXByte[7:1]}; //RZ bit = 0 (ie current'RxBits' is different than old 'RxBits')\n end\n RXSameBitCount <= 4'h1; //reset 'RXSameBitCount'\nend\noldRXBits <= RxBits;"
L 238 239 0 TEXT "Labels" | 158372,254090 1 0 0 "processRxByteRdy"
I 239 0 2 Builtin InPort | 152372,254090 "" ""
C 255 144 0 TEXT "Conditions" | 72542,211451 1 0 0 "processRxByteRdy == 1'b1"
A 254 252 16 TEXT "Actions" | 67337,205212 1 0 0 "RxDataOut <= 8'h00; //redundant data\nRxCtrlOut <= `DATA_BIT_STUFF_ERROR; \nprocessRxByteWEn <= 1'b1;"
C 253 252 0 TEXT "Conditions" | 86956,225452 1 0 0 "processRxByteRdy == 1'b1"
W 252 129 0 251 151 BEZIER "Transitions" | 86179,228754 82949,208010 75931,189290 72701,168546
S 251 129 94208 ELLIPSE "States" | 87178,235174 6500 6500
L 250 251 0 TEXT "State Labels" | 87178,235174 1 0 0 "WAIT_RDY\n/14/"
C 249 91 0 TEXT "Conditions" | 115810,224225 1 0 0 "processRxByteRdy == 1'b1"
C 248 247 0 TEXT "Conditions" | 63893,236141 1 0 0 "RxBits == `SE0"
W 247 32 8193 89 246 BEZIER "Transitions" | 58283,227149 73079,228913 102192,230896 116988,232660
S 246 32 90112 ELLIPSE "States" | 123442,233426 6500 6500
L 245 246 0 TEXT "State Labels" | 123442,233426 1 0 0 "WAIT_PRB_RDY\n/13/"
C 244 76 0 TEXT "Conditions" | 125584,169201 1 0 0 "processRxByteRdy == 1'b1"
C 243 242 0 TEXT "Conditions" | 86880,174058 1 0 0 "RxBits == KBit"
W 242 17 8193 75 241 BEZIER "Transitions" | 83767,176813 93495,176723 111780,177768 121508,177678
S 241 17 86016 ELLIPSE "States" | 127967,178402 6500 6500
L 240 241 0 TEXT "State Labels" | 127967,178402 1 0 0 "WAIT_PRB_RDY\n/12/"
S 241 17 86016 ELLIPSE "States" | 127967,178402 6500 6500
W 242 17 8193 75 241 BEZIER "Transitions" | 83767,176813 93495,176723 111780,177768 121508,177678
C 243 242 0 TEXT "Conditions" | 86880,174058 1 0 0 "RxBits == KBit"
C 244 76 0 TEXT "Conditions" | 125584,169201 1 0 0 "processRxByteRdy == 1'b1"
L 245 246 0 TEXT "State Labels" | 123442,233426 1 0 0 "WAIT_PRB_RDY\n/13/"
S 246 32 90112 ELLIPSE "States" | 123442,233426 6500 6500
W 247 32 8193 89 246 BEZIER "Transitions" | 58283,227149 73079,228913 102192,230896 116988,232660
C 248 247 0 TEXT "Conditions" | 63893,236141 1 0 0 "RxBits == `SE0"
C 249 91 0 TEXT "Conditions" | 115810,224225 1 0 0 "processRxByteRdy == 1'b1"
L 250 251 0 TEXT "State Labels" | 87178,235174 1 0 0 "WAIT_RDY\n/14/"
S 251 129 94208 ELLIPSE "States" | 87178,235174 6500 6500
W 252 129 0 251 151 BEZIER "Transitions" | 86179,228754 82949,208010 75931,189290 72701,168546
C 253 252 0 TEXT "Conditions" | 86956,225452 1 0 0 "processRxByteRdy == 1'b1"
A 254 252 16 TEXT "Actions" | 67337,205212 1 0 0 "RxDataOut <= 8'h00; //redundant data\nRxCtrlOut <= `DATA_BIT_STUFF_ERROR; \nprocessRxByteWEn <= 1'b1;"
C 255 144 0 TEXT "Conditions" | 72542,211451 1 0 0 "processRxByteRdy == 1'b1"
END
/trunk/RTL/serialInterfaceEngine/usbSerialInterfaceEngine.v
41,7 → 41,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: usbSerialInterfaceEngine.v,v 1.2 2004-12-18 14:36:16 sfielding Exp $
// $Id: usbSerialInterfaceEngine.v,v 1.3 2004-12-31 14:40:43 sfielding Exp $
//
// CVS Revision History
//
323,7 → 323,7
.processTxByteWEn(processTxByteWEn),
.rst(rst) );
USBWireTxArbiter u_USBWireTxArbiter
USBTxWireArbiter u_USBTxWireArbiter
(.SIETxCtrl(SIETxCtrl),
.SIETxData(SIETxData),
.SIETxGnt(SIETxGnt),
/trunk/RTL/serialInterfaceEngine/usbTxWireArbiter.v
42,7 → 42,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: usbTxWireArbiter.v,v 1.2 2004-12-18 14:36:16 sfielding Exp $
// $Id: usbTxWireArbiter.v,v 1.3 2004-12-31 14:40:43 sfielding Exp $
//
// CVS Revision History
//
54,7 → 54,7
 
 
 
module USBWireTxArbiter (clk, prcTxByteCtrl, prcTxByteData, prcTxByteGnt, prcTxByteReq, prcTxByteWEn, rst, SIETxCtrl, SIETxData, SIETxGnt, SIETxReq, SIETxWEn, TxBits, TxCtl, USBWireRdyIn, USBWireRdyOut, USBWireWEn);
module USBTxWireArbiter (clk, prcTxByteCtrl, prcTxByteData, prcTxByteGnt, prcTxByteReq, prcTxByteWEn, rst, SIETxCtrl, SIETxData, SIETxGnt, SIETxReq, SIETxWEn, TxBits, TxCtl, USBWireRdyIn, USBWireRdyOut, USBWireWEn);
input clk;
input prcTxByteCtrl;
input [1:0]prcTxByteData;
/trunk/RTL/serialInterfaceEngine/siereceiver.v
42,7 → 42,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: siereceiver.v,v 1.2 2004-12-18 14:36:16 sfielding Exp $
// $Id: siereceiver.v,v 1.3 2004-12-31 14:40:43 sfielding Exp $
//
// CVS Revision History
//
114,33 → 114,33
case (CurrState_rcvr) // synopsys parallel_case full_case
`WAIT_BIT:
begin
if ((RxWireDataWEn == 1'b1) && (RXStMachCurrState == `WAIT_LOW_SPEED_CONN_ST))
if ((RxWireDataWEn == 1'b1) && (RXStMachCurrState == `WAIT_LOW_SP_DISCONNECT_ST))
begin
NextState_rcvr <= `WAIT_LS_CONN_CHK_RX_BITS;
NextState_rcvr <= `WAIT_LS_DIS_CHK_RX_BITS;
next_RxBits <= RxWireDataIn;
next_SIERxRdyOut <= 1'b0;
end
else if ((RxWireDataWEn == 1'b1) && (RXStMachCurrState == `CONNECT_LOW_SPEED_ST))
else if ((RxWireDataWEn == 1'b1) && (RXStMachCurrState == `CONNECT_FULL_SPEED_ST))
begin
NextState_rcvr <= `LS_CONN_CHK_RX_BITS;
NextState_rcvr <= `FS_CONN_CHK_RX_BITS1;
next_RxBits <= RxWireDataIn;
next_SIERxRdyOut <= 1'b0;
end
else if ((RxWireDataWEn == 1'b1) && (RXStMachCurrState == `CONNECT_FULL_SPEED_ST))
else if ((RxWireDataWEn == 1'b1) && (RXStMachCurrState == `CONNECT_LOW_SPEED_ST))
begin
NextState_rcvr <= `FS_CONN_CHK_RX_BITS1;
NextState_rcvr <= `LS_CONN_CHK_RX_BITS;
next_RxBits <= RxWireDataIn;
next_SIERxRdyOut <= 1'b0;
end
else if ((RxWireDataWEn == 1'b1) && (RXStMachCurrState == `WAIT_LOW_SP_DISCONNECT_ST))
else if ((RxWireDataWEn == 1'b1) && (RXStMachCurrState == `WAIT_LOW_SPEED_CONN_ST))
begin
NextState_rcvr <= `WAIT_LS_DIS_CHK_RX_BITS;
NextState_rcvr <= `WAIT_LS_CONN_CHK_RX_BITS;
next_RxBits <= RxWireDataIn;
next_SIERxRdyOut <= 1'b0;
end
else if ((RxWireDataWEn == 1'b1) && (RXStMachCurrState == `WAIT_FULL_SP_DISCONNECT_ST))
else if ((RxWireDataWEn == 1'b1) && (RXStMachCurrState == `WAIT_FULL_SPEED_CONN_ST))
begin
NextState_rcvr <= `WAIT_FS_DIS_CHK_RX_BITS2;
NextState_rcvr <= `WAIT_FS_CONN_CHK_RX_BITS;
next_RxBits <= RxWireDataIn;
next_SIERxRdyOut <= 1'b0;
end
150,9 → 150,9
next_RxBits <= RxWireDataIn;
next_SIERxRdyOut <= 1'b0;
end
else if ((RxWireDataWEn == 1'b1) && (RXStMachCurrState == `WAIT_FULL_SPEED_CONN_ST))
else if ((RxWireDataWEn == 1'b1) && (RXStMachCurrState == `WAIT_FULL_SP_DISCONNECT_ST))
begin
NextState_rcvr <= `WAIT_FS_CONN_CHK_RX_BITS;
NextState_rcvr <= `WAIT_FS_DIS_CHK_RX_BITS2;
next_RxBits <= RxWireDataIn;
next_SIERxRdyOut <= 1'b0;
end
/trunk/RTL/serialInterfaceEngine/usbTxWireArbiter.asf
3,10 → 3,10
FILE="usbTxWireArbiter.asf"
FID=4053e959
LANGUAGE=VERILOG
ENTITY="USBWireTxArbiter"
ENTITY="USBTxWireArbiter"
FRAMES=ON
FREEOID=128
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// usbTxWireArbiter\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: usbTxWireArbiter.asf,v 1.2 2004-12-18 14:36:16 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbConstants_h.v\"\n`include \"usbSerialInterfaceEngine_h.v\"\n\n\n"
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// usbTxWireArbiter\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: usbTxWireArbiter.asf,v 1.3 2004-12-31 14:40:43 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbConstants_h.v\"\n`include \"usbSerialInterfaceEngine_h.v\"\n\n\n"
END
BUNDLES
B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3333 0 0000 1 "Arial" 0
41,7 → 41,7
L 8 9 0 TEXT "State Labels" | 128958,117844 1 0 0 "START_TARB\n/0/"
L 7 6 0 TEXT "Labels" | 40741,140742 1 0 0 "txWireArb"
F 6 0 671089152 59 0 RECT 0,0,0 0 0 1 255,255,255 0 | 30299,2691 211973,147394
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 106825,252275 1 0 0 "Module: USBWireTxArbiter"
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 106825,252275 1 0 0 "Module: USBTxWireArbiter"
A 31 23 16 TEXT "Actions" | 139723,54159 1 0 0 "SIETxGnt <= 1'b1;\nmuxSIENotPTXB <= 1'b1;"
C 30 23 0 TEXT "Conditions" | 137571,82115 1 0 0 "SIETxReq == 1'b1"
C 29 24 0 TEXT "Conditions" | 87204,80074 1 0 0 "prcTxByteReq == 1'b1"
/trunk/RTL/serialInterfaceEngine/siereceiver.asf
6,7 → 6,7
ENTITY="SIEReceiver"
FRAMES=ON
FREEOID=262
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// SIEReceiver\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: siereceiver.asf,v 1.2 2004-12-18 14:36:16 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n\n"
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// SIEReceiver\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: siereceiver.asf,v 1.3 2004-12-31 14:40:43 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n\n"
END
BUNDLES
B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3333 0 0000 1 "Arial" 0
85,196 → 85,196
GRIDSIZE 0,0 10000,10000
END
OBJECTS
W 15 6 0 11 241 BEZIER "Transitions" | 54697,186192 54895,182331 55070,163352 55268,159491
W 14 6 0 9 11 BEZIER "Transitions" | 53793,212320 54090,208657 54044,202830 54341,199167
S 11 6 16384 ELLIPSE "States" | 54795,192690 6500 6500
L 10 11 0 TEXT "State Labels" | 54795,192690 1 0 0 "WAIT_BIT\n/4/"
S 9 6 20480 ELLIPSE "States" | 54004,218793 6500 6500
L 8 9 0 TEXT "State Labels" | 54004,218793 1 0 0 "START_SRX\n/5/"
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 97950,263700 1 0 0 "Module: SIEReceiver"
F 6 0 671089152 228 0 RECT 0,0,0 0 0 1 255,255,255 0 | 14253,12655 205887,234211
L 7 6 0 TEXT "Labels" | 17253,231211 1 0 0 "rcvr"
F 6 0 671089152 228 0 RECT 0,0,0 0 0 1 255,255,255 0 | 14253,12655 205887,234211
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 97950,263700 1 0 0 "Module: SIEReceiver"
L 8 9 0 TEXT "State Labels" | 54004,218793 1 0 0 "START_SRX\n/5/"
S 9 6 20480 ELLIPSE "States" | 54004,218793 6500 6500
L 10 11 0 TEXT "State Labels" | 54795,192690 1 0 0 "WAIT_BIT\n/4/"
S 11 6 16384 ELLIPSE "States" | 54795,192690 6500 6500
W 14 6 0 9 11 BEZIER "Transitions" | 53793,212320 54090,208657 54044,202830 54341,199167
W 15 6 0 11 241 BEZIER "Transitions" | 54697,186192 54895,182331 55070,163352 55268,159491
S 23 6 24580 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 143681,32406 6500 6500
L 22 23 0 TEXT "State Labels" | 143681,32406 1 0 0 "DISCNCT"
A 21 15 16 TEXT "Actions" | 50061,176470 1 0 0 "RxBits <= RxWireDataIn;\nSIERxRdyOut <= 1'b0;"
C 19 15 0 TEXT "Conditions" | 55867,186045 1 0 0 "RxWireDataWEn == 1'b1"
W 17 6 0 16 9 BEZIER "Transitions" | 25106,221421 30781,219421 43306,224917 48981,222917
I 16 6 0 Builtin Reset | 25106,221421
W 17 6 0 16 9 BEZIER "Transitions" | 25106,221421 30781,219421 43306,224917 48981,222917
C 19 15 0 TEXT "Conditions" | 55867,186045 1 0 0 "RxWireDataWEn == 1'b1"
A 21 15 16 TEXT "Actions" | 50061,176470 1 0 0 "RxBits <= RxWireDataIn;\nSIERxRdyOut <= 1'b0;"
L 22 23 0 TEXT "State Labels" | 143681,32406 1 0 0 "DISCNCT"
S 23 6 24580 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 143681,32406 6500 6500
H 39 23 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 40 39 12288 ELLIPSE "States" | 64508,213851 6500 6500
L 41 40 0 TEXT "State Labels" | 64508,213851 1 0 0 "CHK_RXBITS\n/3/"
I 42 39 0 Builtin Entry | 42918,241791
I 43 39 0 Builtin Exit | 147281,109121
W 44 39 0 42 40 BEZIER "Transitions" | 47426,241791 52025,234967 56275,226064 60875,219240
S 46 6 28676 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 142838,49983 6500 6500
L 47 46 0 TEXT "State Labels" | 142838,49983 1 0 0 "WAIT_FS_CONN"
S 46 6 28676 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 142838,49983 6500 6500
W 44 39 0 42 40 BEZIER "Transitions" | 47426,241791 52025,234967 56275,226064 60875,219240
I 43 39 0 Builtin Exit | 147281,109121
I 42 39 0 Builtin Entry | 42918,241791
L 41 40 0 TEXT "State Labels" | 64508,213851 1 0 0 "CHK_RXBITS\n/3/"
S 40 39 12288 ELLIPSE "States" | 64508,213851 6500 6500
H 39 23 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
H 54 46 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
W 48 54 4096 53 50 BEZIER "Transitions" | 111761,134435 116730,128048 137142,101490 142112,94624
W 49 54 0 51 53 BEZIER "Transitions" | 90868,167640 95467,160816 99717,151913 104317,145089
I 50 54 0 Builtin Exit | 145248,94624
I 51 54 0 Builtin Entry | 86360,167640
L 52 53 0 TEXT "State Labels" | 107950,139700 1 0 0 "CHK_RX_BITS\n/0/"
S 53 54 0 ELLIPSE "States" | 107950,139700 6500 6500
H 63 55 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 55 6 32772 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 141452,68793 6500 6500
L 56 55 0 TEXT "State Labels" | 141452,68793 1 0 0 "WAIT_LS_CONN"
W 57 63 0 62 59 BEZIER "Transitions" | 111761,134435 116730,127570 121442,118626 126412,111760
W 58 63 0 60 62 BEZIER "Transitions" | 90868,167640 95467,160816 99717,151913 104317,145089
I 59 63 0 Builtin Exit | 129540,111760
I 60 63 0 Builtin Entry | 86360,167640
L 61 62 0 TEXT "State Labels" | 107950,139700 1 0 0 "CHK_RX_BITS\n/1/"
S 62 63 4096 ELLIPSE "States" | 107950,139700 6500 6500
L 61 62 0 TEXT "State Labels" | 107950,139700 1 0 0 "CHK_RX_BITS\n/1/"
I 60 63 0 Builtin Entry | 86360,167640
I 59 63 0 Builtin Exit | 129540,111760
W 58 63 0 60 62 BEZIER "Transitions" | 90868,167640 95467,160816 99717,151913 104317,145089
W 57 63 0 62 59 BEZIER "Transitions" | 111761,134435 116730,127570 121442,118626 126412,111760
L 56 55 0 TEXT "State Labels" | 141452,68793 1 0 0 "WAIT_LS_CONN"
S 55 6 32772 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 141452,68793 6500 6500
H 63 55 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 53 54 0 ELLIPSE "States" | 107950,139700 6500 6500
L 52 53 0 TEXT "State Labels" | 107950,139700 1 0 0 "CHK_RX_BITS\n/0/"
I 51 54 0 Builtin Entry | 86360,167640
I 50 54 0 Builtin Exit | 145248,94624
W 49 54 0 51 53 BEZIER "Transitions" | 90868,167640 95467,160816 99717,151913 104317,145089
W 48 54 4096 53 50 BEZIER "Transitions" | 111761,134435 116730,128048 137142,101490 142112,94624
H 54 46 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
H 72 64 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 64 6 36868 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 140066,86613 6500 6500
L 65 64 0 TEXT "State Labels" | 140066,86613 1 0 0 "LS_CONN"
W 67 72 0 69 71 BEZIER "Transitions" | 69044,194920 73643,188096 77893,179193 82493,172369
I 68 72 0 Builtin Exit | 131860,37310
I 69 72 0 Builtin Entry | 64536,194920
L 70 71 0 TEXT "State Labels" | 86126,166980 1 0 0 "CHK_RX_BITS\n/2/"
S 71 72 8192 ELLIPSE "States" | 86126,166980 6500 6500
S 73 6 40964 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 139274,106215 6500 6500
L 74 73 0 TEXT "State Labels" | 139274,106215 1 0 0 "FS_CONN"
S 73 6 40964 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 139274,106215 6500 6500
S 71 72 8192 ELLIPSE "States" | 86126,166980 6500 6500
L 70 71 0 TEXT "State Labels" | 86126,166980 1 0 0 "CHK_RX_BITS\n/2/"
I 69 72 0 Builtin Entry | 64536,194920
I 68 72 0 Builtin Exit | 131860,37310
W 67 72 0 69 71 BEZIER "Transitions" | 69044,194920 73643,188096 77893,179193 82493,172369
L 65 64 0 TEXT "State Labels" | 140066,86613 1 0 0 "LS_CONN"
S 64 6 36868 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 140066,86613 6500 6500
H 72 64 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
H 81 73 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
H 90 82 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 82 6 45060 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 137888,126411 6500 6500
L 83 82 0 TEXT "State Labels" | 137888,126411 1 0 0 "WAIT_LS_DIS"
S 91 6 49156 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 136700,148244 6500 6500
L 92 91 0 TEXT "State Labels" | 136700,148244 1 0 0 "WAIT_FS_DIS"
S 91 6 49156 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 136700,148244 6500 6500
L 83 82 0 TEXT "State Labels" | 137888,126411 1 0 0 "WAIT_LS_DIS"
S 82 6 45060 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 137888,126411 6500 6500
H 90 82 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
H 81 73 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
H 99 91 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
W 143 6 0 241 46 BEZIER "Transitions" | 54918,152546 51842,126940 43778,76555 43182,62859\
42587,49163 46360,45589 52513,44944 58666,44299\
125961,48736 136382,49232
W 129 39 8194 40 43 BEZIER "Transitions" | 67288,207977 90867,158271 120574,158827 144153,109121
W 130 39 8193 40 43 BEZIER "Transitions" | 69252,218293 110985,257468 165540,129446 150409,109121
C 131 129 0 TEXT "Conditions" | 55856,199298 1 0 0 "RxBits == `ONE_ZERO"
C 132 130 0 TEXT "Conditions" | 98621,230429 1 0 0 "RxBits == `ZERO_ONE"
A 133 130 16 TEXT "Actions" | 102033,204788 1 0 0 "RXStMachCurrState <= `WAIT_LOW_SPEED_CONN_ST\nRXWaitCount <= 8'h00;"
A 134 129 16 TEXT "Actions" | 41551,160050 1 0 0 "RXStMachCurrState <= `WAIT_FULL_SPEED_CONN_ST\nRXWaitCount <= 8'h00;"
W 138 6 0 241 91 BEZIER "Transitions" | 55726,152526 55825,150740 55689,148412 56830,147271\
57971,146130 62339,145137 65812,144988 69286,144839\
125497,147159 130261,147357
W 139 6 0 241 82 BEZIER "Transitions" | 54775,152569 53765,144812 51800,131524 53198,127807\
54597,124090 58369,121813 62636,121465 66904,121118\
125138,124972 131490,125269
W 140 6 0 241 73 BEZIER "Transitions" | 54816,152562 53725,141843 49733,121615 49138,115313\
48543,109011 48344,105238 49038,103700 49733,102162\
52773,100254 56507,99743 60241,99232 74292,101683\
79033,101771 83774,101859 131499,104027 132998,104525
W 141 6 0 241 64 BEZIER "Transitions" | 54966,152543 53478,134579 47748,100673 48939,91443\
50130,82213 57873,81220 62984,81170 68095,81121\
127305,85134 133657,85531
W 142 6 0 241 55 BEZIER "Transitions" | 55084,152531 53397,129108 47947,83900 50081,72287\
52215,60675 60863,63077 65955,63276 71048,63475\
83004,63522 85042,64000 87080,64479 134402,67217\
135100,67416
W 141 6 0 241 64 BEZIER "Transitions" | 54966,152543 53478,134579 47748,100673 48939,91443\
50130,82213 57873,81220 62984,81170 68095,81121\
127305,85134 133657,85531
W 140 6 0 241 73 BEZIER "Transitions" | 54816,152562 53725,141843 49733,121615 49138,115313\
48543,109011 48344,105238 49038,103700 49733,102162\
52773,100254 56507,99743 60241,99232 74292,101683\
79033,101771 83774,101859 131499,104027 132998,104525
W 139 6 0 241 82 BEZIER "Transitions" | 54775,152569 53765,144812 51800,131524 53198,127807\
54597,124090 58369,121813 62636,121465 66904,121118\
125138,124972 131490,125269
W 138 6 0 241 91 BEZIER "Transitions" | 55726,152526 55825,150740 55689,148412 56830,147271\
57971,146130 62339,145137 65812,144988 69286,144839\
125497,147159 130261,147357
A 134 129 16 TEXT "Actions" | 41551,160050 1 0 0 "RXStMachCurrState <= `WAIT_FULL_SPEED_CONN_ST\nRXWaitCount <= 8'h00;"
A 133 130 16 TEXT "Actions" | 102033,204788 1 0 0 "RXStMachCurrState <= `WAIT_LOW_SPEED_CONN_ST\nRXWaitCount <= 8'h00;"
C 132 130 0 TEXT "Conditions" | 98621,230429 1 0 0 "RxBits == `ZERO_ONE"
C 131 129 0 TEXT "Conditions" | 55856,199298 1 0 0 "RxBits == `ONE_ZERO"
W 130 39 8193 40 43 BEZIER "Transitions" | 69252,218293 110985,257468 165540,129446 150409,109121
W 129 39 8194 40 43 BEZIER "Transitions" | 67288,207977 90867,158271 120574,158827 144153,109121
C 145 144 0 TEXT "Conditions" | 62881,26704 1 0 0 "RXStMachCurrState == `DISCONNECT_ST"
W 143 6 0 241 46 BEZIER "Transitions" | 54918,152546 51842,126940 43778,76555 43182,62859\
42587,49163 46360,45589 52513,44944 58666,44299\
125961,48736 136382,49232
W 159 6 0 23 235 BEZIER "Transitions" | 148132,37141 151647,41428 158891,48733 161548,55421\
164206,62109 167707,83613 169507,92702
W 158 6 0 46 235 BEZIER "Transitions" | 146210,55537 151355,64540 163238,84117 168383,93120
W 157 6 0 55 235 BEZIER "Transitions" | 145872,73557 150759,78444 162584,89003 167471,93890
W 155 6 0 64 235 BEZIER "Transitions" | 146100,89028 150732,91430 162771,94113 166713,95483
W 154 6 0 73 235 BEZIER "Transitions" | 145399,104041 150201,102669 162025,98607 166827,97235
W 153 6 0 82 235 BEZIER "Transitions" | 142566,121900 148139,116412 162016,104012 167589,98524
W 152 6 0 91 235 BEZIER "Transitions" | 140515,142982 147718,132349 161212,109811 168415,99178
C 151 138 0 TEXT "Conditions" | 53061,140339 1 0 0 "RXStMachCurrState == `WAIT_FULL_SP_DISCONNECT_ST"
C 150 139 0 TEXT "Conditions" | 52495,119006 1 0 0 "RXStMachCurrState == `WAIT_LOW_SP_DISCONNECT_ST"
C 149 140 0 TEXT "Conditions" | 50344,99146 1 0 0 "RXStMachCurrState == `CONNECT_FULL_SPEED_ST"
C 148 141 0 TEXT "Conditions" | 51096,80093 1 0 0 "RXStMachCurrState == `CONNECT_LOW_SPEED_ST"
C 147 142 0 TEXT "Conditions" | 46355,62337 1 0 0 "RXStMachCurrState == `WAIT_LOW_SPEED_CONN_ST"
C 146 143 0 TEXT "Conditions" | 46100,43512 1 0 0 "RXStMachCurrState == `WAIT_FULL_SPEED_CONN_ST"
W 144 6 0 241 23 BEZIER "Transitions" | 54917,152544 50947,121578 41893,61271 41744,45441\
41595,29611 48940,28220 55540,28071 62140,27923\
127685,31371 137213,31768
C 146 143 0 TEXT "Conditions" | 46100,43512 1 0 0 "RXStMachCurrState == `WAIT_FULL_SPEED_CONN_ST"
C 147 142 0 TEXT "Conditions" | 46355,62337 1 0 0 "RXStMachCurrState == `WAIT_LOW_SPEED_CONN_ST"
C 148 141 0 TEXT "Conditions" | 51096,80093 1 0 0 "RXStMachCurrState == `CONNECT_LOW_SPEED_ST"
C 149 140 0 TEXT "Conditions" | 50344,99146 1 0 0 "RXStMachCurrState == `CONNECT_FULL_SPEED_ST"
C 150 139 0 TEXT "Conditions" | 52495,119006 1 0 0 "RXStMachCurrState == `WAIT_LOW_SP_DISCONNECT_ST"
C 151 138 0 TEXT "Conditions" | 53061,140339 1 0 0 "RXStMachCurrState == `WAIT_FULL_SP_DISCONNECT_ST"
W 152 6 0 91 235 BEZIER "Transitions" | 140515,142982 147718,132349 161212,109811 168415,99178
W 153 6 0 82 235 BEZIER "Transitions" | 142566,121900 148139,116412 162016,104012 167589,98524
W 154 6 0 73 235 BEZIER "Transitions" | 145399,104041 150201,102669 162025,98607 166827,97235
W 155 6 0 64 235 BEZIER "Transitions" | 146100,89028 150732,91430 162771,94113 166713,95483
W 157 6 0 55 235 BEZIER "Transitions" | 145872,73557 150759,78444 162584,89003 167471,93890
W 158 6 0 46 235 BEZIER "Transitions" | 146210,55537 151355,64540 163238,84117 168383,93120
W 159 6 0 23 235 BEZIER "Transitions" | 148132,37141 151647,41428 158891,48733 161548,55421\
164206,62109 167707,83613 169507,92702
L 175 174 0 TEXT "State Labels" | 85374,175380 1 0 0 "CHK_RX_BITS1\n/7/"
S 174 81 57344 ELLIPSE "States" | 85374,175380 6500 6500
A 173 168 4 TEXT "Actions" | 121345,75637 1 0 0 "processRxBitsWEn <= 1'b0;"
W 170 72 0 168 68 BEZIER "Transitions" | 106629,68724 112767,60967 122594,45067 128732,37310
W 169 72 0 71 168 BEZIER "Transitions" | 86126,160480 86807,152989 100534,87755 101215,80264
S 168 72 53248 ELLIPSE "States" | 102779,73959 6500 6500
L 167 168 0 TEXT "State Labels" | 102779,73959 1 0 0 "PROC_RX_BITS\n/6/"
A 166 53 4 TEXT "Actions" | 101814,215348 1 0 0 "if (RxBits == `ONE_ZERO)\nbegin \n RXWaitCount <= RXWaitCount + 1'b1;\n if (RXWaitCount == `CONNECT_WAIT_TIME) \n begin\n connectState <= `FULL_SPEED_CONNECT;\n RXStMachCurrState <= `CONNECT_FULL_SPEED_ST;\n end\nend\nelse\nbegin\n RXStMachCurrState = `DISCONNECT_ST;\nend"
A 165 62 4 TEXT "Actions" | 104545,213104 1 0 0 "if (RxBits == `ZERO_ONE)\nbegin \n RXWaitCount <= RXWaitCount + 1'b1;\n if (RXWaitCount == `CONNECT_WAIT_TIME) \n begin\n connectState <= `LOW_SPEED_CONNECT;\n RXStMachCurrState <= `CONNECT_LOW_SPEED_ST;\n end\nend\nelse\nbegin\n RXStMachCurrState = `DISCONNECT_ST;\nend"
C 145 144 0 TEXT "Conditions" | 62881,26704 1 0 0 "RXStMachCurrState == `DISCONNECT_ST"
W 161 39 8195 40 43 BEZIER "Transitions" | 58578,211192 49548,206204 31147,197012 26632,187509\
22117,178006 22117,149970 33211,139263 44305,128556\
88681,113764 103817,110238 118953,106712 136069,108777\
144153,109121
W 160 6 0 235 11 BEZIER "Transitions" | 171556,99342 175414,111175 187017,133454 187960,147988\
188903,162522 181196,168609 172535,178212 163875,187816\
140506,197413 125270,198727 110035,200042 80303,196085\
61192,193841
W 161 39 8195 40 43 BEZIER "Transitions" | 58578,211192 49548,206204 31147,197012 26632,187509\
22117,178006 22117,149970 33211,139263 44305,128556\
88681,113764 103817,110238 118953,106712 136069,108777\
144153,109121
A 165 62 4 TEXT "Actions" | 104545,213104 1 0 0 "if (RxBits == `ZERO_ONE)\nbegin \n RXWaitCount <= RXWaitCount + 1'b1;\n if (RXWaitCount == `CONNECT_WAIT_TIME) \n begin\n connectState <= `LOW_SPEED_CONNECT;\n RXStMachCurrState <= `CONNECT_LOW_SPEED_ST;\n end\nend\nelse\nbegin\n RXStMachCurrState = `DISCONNECT_ST;\nend"
A 166 53 4 TEXT "Actions" | 101814,215348 1 0 0 "if (RxBits == `ONE_ZERO)\nbegin \n RXWaitCount <= RXWaitCount + 1'b1;\n if (RXWaitCount == `CONNECT_WAIT_TIME) \n begin\n connectState <= `FULL_SPEED_CONNECT;\n RXStMachCurrState <= `CONNECT_FULL_SPEED_ST;\n end\nend\nelse\nbegin\n RXStMachCurrState = `DISCONNECT_ST;\nend"
L 167 168 0 TEXT "State Labels" | 102779,73959 1 0 0 "PROC_RX_BITS\n/6/"
S 168 72 53248 ELLIPSE "States" | 102779,73959 6500 6500
W 169 72 0 71 168 BEZIER "Transitions" | 86126,160480 86807,152989 100534,87755 101215,80264
W 170 72 0 168 68 BEZIER "Transitions" | 106629,68724 112767,60967 122594,45067 128732,37310
A 173 168 4 TEXT "Actions" | 121345,75637 1 0 0 "processRxBitsWEn <= 1'b0;"
S 174 81 57344 ELLIPSE "States" | 85374,175380 6500 6500
L 175 174 0 TEXT "State Labels" | 85374,175380 1 0 0 "CHK_RX_BITS1\n/7/"
I 176 81 0 Builtin Entry | 63784,203320
I 177 81 0 Builtin Exit | 137732,35774
W 178 81 0 176 174 BEZIER "Transitions" | 67935,203320 72534,196496 77141,187593 81741,180769
S 179 81 81920 ELLIPSE "States" | 108651,72423 6500 6500
A 180 179 4 TEXT "Actions" | 127217,74101 1 0 0 "processRxBitsWEn <= 1'b0;"
W 182 81 0 179 177 BEZIER "Transitions" | 112501,67188 118639,59431 128706,43531 134844,35774
W 183 81 0 174 179 BEZIER "Transitions" | 85374,168880 86055,161389 106112,86141 106793,78650
L 184 179 0 TEXT "State Labels" | 108651,72423 1 0 0 "PROC_RX_BITS1\n/12/"
S 185 90 61440 ELLIPSE "States" | 81562,170615 6500 6500
L 186 185 0 TEXT "State Labels" | 81562,170615 1 0 0 "CHK_RX_BITS\n/8/"
I 187 90 0 Builtin Entry | 59972,198555
I 188 90 0 Builtin Exit | 126468,30181
W 189 90 0 187 185 BEZIER "Transitions" | 63495,198555 68094,191731 73329,182828 77929,176004
S 190 90 65536 ELLIPSE "States" | 97387,66830 6500 6500
A 191 190 4 TEXT "Actions" | 115953,68508 1 0 0 "processRxBitsWEn <= 1'b0;"
S 190 90 65536 ELLIPSE "States" | 97387,66830 6500 6500
W 189 90 0 187 185 BEZIER "Transitions" | 63495,198555 68094,191731 73329,182828 77929,176004
I 188 90 0 Builtin Exit | 126468,30181
I 187 90 0 Builtin Entry | 59972,198555
L 186 185 0 TEXT "State Labels" | 81562,170615 1 0 0 "CHK_RX_BITS\n/8/"
S 185 90 61440 ELLIPSE "States" | 81562,170615 6500 6500
L 184 179 0 TEXT "State Labels" | 108651,72423 1 0 0 "PROC_RX_BITS1\n/12/"
W 183 81 0 174 179 BEZIER "Transitions" | 85374,168880 86055,161389 106112,86141 106793,78650
W 182 81 0 179 177 BEZIER "Transitions" | 112501,67188 118639,59431 128706,43531 134844,35774
A 180 179 4 TEXT "Actions" | 127217,74101 1 0 0 "processRxBitsWEn <= 1'b0;"
S 179 81 81920 ELLIPSE "States" | 108651,72423 6500 6500
W 178 81 0 176 174 BEZIER "Transitions" | 67935,203320 72534,196496 77141,187593 81741,180769
I 177 81 0 Builtin Exit | 137732,35774
I 176 81 0 Builtin Entry | 63784,203320
W 193 90 0 190 188 BEZIER "Transitions" | 101237,61595 107375,53838 117590,37938 123728,30181
W 194 90 0 185 190 BEZIER "Transitions" | 81562,164115 82243,156624 95324,80670 96005,73179
L 195 190 0 TEXT "State Labels" | 97387,66830 1 0 0 "PROC_RX_BITS\n/9/"
S 196 99 69632 ELLIPSE "States" | 91399,59215 6500 6500
A 197 196 4 TEXT "Actions" | 109965,60893 1 0 0 "processRxBitsWEn <= 1'b0;"
W 198 99 0 200 201 BEZIER "Transitions" | 57914,190526 62513,183702 67134,174799 71734,167975
I 199 99 0 Builtin Exit | 120480,22566
I 200 99 0 Builtin Entry | 53777,190526
S 201 99 73728 ELLIPSE "States" | 75367,162586 6500 6500
L 202 201 0 TEXT "State Labels" | 75367,162586 1 0 0 "CHK_RX_BITS2\n/11/"
L 203 196 0 TEXT "State Labels" | 91399,59215 1 0 0 "PROC_RX_BITS2\n/10/"
W 204 99 0 201 196 BEZIER "Transitions" | 75367,156086 76048,148595 89316,73050 89997,65559
W 205 99 0 196 199 BEZIER "Transitions" | 95249,53980 101387,46223 111486,30323 117624,22566
W 204 99 0 201 196 BEZIER "Transitions" | 75367,156086 76048,148595 89316,73050 89997,65559
L 203 196 0 TEXT "State Labels" | 91399,59215 1 0 0 "PROC_RX_BITS2\n/10/"
L 202 201 0 TEXT "State Labels" | 75367,162586 1 0 0 "CHK_RX_BITS2\n/11/"
S 201 99 73728 ELLIPSE "States" | 75367,162586 6500 6500
I 200 99 0 Builtin Entry | 53777,190526
I 199 99 0 Builtin Exit | 120480,22566
W 198 99 0 200 201 BEZIER "Transitions" | 57914,190526 62513,183702 67134,174799 71734,167975
A 197 196 4 TEXT "Actions" | 109965,60893 1 0 0 "processRxBitsWEn <= 1'b0;"
S 196 99 69632 ELLIPSE "States" | 91399,59215 6500 6500
L 195 190 0 TEXT "State Labels" | 97387,66830 1 0 0 "PROC_RX_BITS\n/9/"
W 194 90 0 185 190 BEZIER "Transitions" | 81562,164115 82243,156624 95324,80670 96005,73179
W 193 90 0 190 188 BEZIER "Transitions" | 101237,61595 107375,53838 117590,37938 123728,30181
I 221 0 2 Builtin OutPort | 129743,241655 "" ""
L 220 221 0 TEXT "Labels" | 135743,241655 1 0 0 "processRxBitsWEn"
I 219 0 130 Builtin Signal | 20132,253454 "" ""
L 218 219 0 TEXT "Labels" | 23132,253454 1 0 0 "RXWaitCount[7:0]"
I 215 0 130 Builtin Signal | 20439,258880 "" ""
L 214 215 0 TEXT "Labels" | 23439,258880 1 0 0 "RXStMachCurrState[3:0]"
L 208 209 0 TEXT "Labels" | 83032,244882 1 0 0 "RxWireDataIn[1:0]"
I 209 0 130 Builtin InPort | 77032,244882 "" ""
L 212 213 0 TEXT "Labels" | 82921,240492 1 0 0 "RxWireDataWEn"
I 213 0 2 Builtin InPort | 76921,240492 "" ""
L 212 213 0 TEXT "Labels" | 82921,240492 1 0 0 "RxWireDataWEn"
I 209 0 130 Builtin InPort | 77032,244882 "" ""
L 208 209 0 TEXT "Labels" | 83032,244882 1 0 0 "RxWireDataIn[1:0]"
L 214 215 0 TEXT "Labels" | 23439,258880 1 0 0 "RXStMachCurrState[3:0]"
I 215 0 130 Builtin Signal | 20439,258880 "" ""
L 218 219 0 TEXT "Labels" | 23132,253454 1 0 0 "RXWaitCount[7:0]"
I 219 0 130 Builtin Signal | 20132,253454 "" ""
L 220 221 0 TEXT "Labels" | 135743,241655 1 0 0 "processRxBitsWEn"
I 221 0 2 Builtin OutPort | 129743,241655 "" ""
I 233 0 130 Builtin Signal | 19714,243194 "" ""
L 232 233 0 TEXT "Labels" | 22714,243194 1 0 0 "RxBits[1:0]"
C 231 17 0 TEXT "Conditions" | 33631,221484 1 0 0 "rst"
L 230 229 0 TEXT "Labels" | 184517,256651 1 0 0 "rst"
I 229 0 2 Builtin InPort | 178517,256651 "" ""
I 228 0 3 Builtin InPort | 178182,263543 "" ""
L 227 228 0 TEXT "Labels" | 184182,263543 1 0 0 "clk"
A 226 9 4 TEXT "Actions" | 91342,231317 1 0 0 "RXStMachCurrState <= `DISCONNECT_ST;\nRXWaitCount <= 8'h00;\nconnectState <= `DISCONNECT;\nRxBits <= 2'b00;\nRxBitsOut <= 2'b00;\nprocessRxBitsWEn <= 1'b0;\nSIERxRdyOut <= 1'b1;"
I 225 0 130 Builtin OutPort | 129743,246614 "" ""
L 224 225 0 TEXT "Labels" | 135743,246614 1 0 0 "RxBitsOut[1:0]"
L 234 235 0 TEXT "State Labels" | 170150,96140 1 0 0 "J1"
S 235 6 77844 ELLIPSE "Junction" | 170150,96140 3500 3500
H 236 235 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 237 236 0 Builtin Entry | 86360,167640
I 238 236 0 Builtin Exit | 129540,111760
W 239 236 0 237 238 BEZIER "Transitions" | 90868,167640 103038,150317 114242,129084 126412,111760
I 238 236 0 Builtin Exit | 129540,111760
I 237 236 0 Builtin Entry | 86360,167640
H 236 235 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 235 6 77844 ELLIPSE "Junction" | 170150,96140 3500 3500
L 234 235 0 TEXT "State Labels" | 170150,96140 1 0 0 "J1"
L 224 225 0 TEXT "Labels" | 135743,246614 1 0 0 "RxBitsOut[1:0]"
I 225 0 130 Builtin OutPort | 129743,246614 "" ""
A 226 9 4 TEXT "Actions" | 91342,231317 1 0 0 "RXStMachCurrState <= `DISCONNECT_ST;\nRXWaitCount <= 8'h00;\nconnectState <= `DISCONNECT;\nRxBits <= 2'b00;\nRxBitsOut <= 2'b00;\nprocessRxBitsWEn <= 1'b0;\nSIERxRdyOut <= 1'b1;"
L 227 228 0 TEXT "Labels" | 184182,263543 1 0 0 "clk"
I 228 0 3 Builtin InPort | 178182,263543 "" ""
I 229 0 2 Builtin InPort | 178517,256651 "" ""
L 230 229 0 TEXT "Labels" | 184517,256651 1 0 0 "rst"
C 231 17 0 TEXT "Conditions" | 33631,221484 1 0 0 "rst"
L 232 233 0 TEXT "Labels" | 22714,243194 1 0 0 "RxBits[1:0]"
I 233 0 130 Builtin Signal | 19714,243194 "" ""
A 255 194 16 TEXT "Actions" | 61406,142366 1 0 0 "if (RxBits == `SE0)\nbegin\n RXWaitCount <= RXWaitCount + 1'b1;\n if (RXWaitCount == `DISCONNECT_WAIT_TIME) \n begin\n RXStMachCurrState <= `DISCONNECT_ST;\n connectState = `DISCONNECT;\n end\nend\nelse\nbegin\n RXStMachCurrState = `CONNECT_LOW_SPEED_ST;\nend\nprocessRxBitsWEn <= 1'b1;"
C 254 194 0 TEXT "Conditions" | 81005,160052 1 0 0 "processRxBitRdyIn == 1'b1"
C 253 204 0 TEXT "Conditions" | 76690,153596 1 0 0 "processRxBitRdyIn == 1'b1"
A 252 204 16 TEXT "Actions" | 57026,138798 1 0 0 "if (RxBits == `SE0)\nbegin\n RXWaitCount <= RXWaitCount + 1'b1;\n if (RXWaitCount == `DISCONNECT_WAIT_TIME) \n begin\n RXStMachCurrState <= `DISCONNECT_ST;\n connectState = `DISCONNECT;\n end\nend\nelse\nbegin\n RXStMachCurrState = `CONNECT_FULL_SPEED_ST;\nend\nprocessRxBitsWEn <= 1'b1;"
A 250 160 16 TEXT "Actions" | 151210,187452 1 0 0 "SIERxRdyOut <= 1'b1;"
I 249 0 2 Builtin OutPort | 74763,249425 "" ""
L 248 249 0 TEXT "Labels" | 80763,249425 1 0 0 "SIERxRdyOut"
I 247 0 2 Builtin InPort | 132223,251370 "" ""
L 246 247 0 TEXT "Labels" | 138223,251370 1 0 0 "processRxBitRdyIn"
L 240 241 0 TEXT "State Labels" | 55410,156008 1 0 0 "J2"
S 241 6 81940 ELLIPSE "Junction" | 55410,156008 3500 3500
H 242 241 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 243 242 0 Builtin Entry | 86360,167640
I 244 242 0 Builtin Exit | 129540,111760
W 245 242 0 243 244 BEZIER "Transitions" | 90868,167640 103009,150334 114271,129067 126412,111760
I 244 242 0 Builtin Exit | 129540,111760
I 243 242 0 Builtin Entry | 86360,167640
H 242 241 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 241 6 81940 ELLIPSE "Junction" | 55410,156008 3500 3500
L 240 241 0 TEXT "State Labels" | 55410,156008 1 0 0 "J2"
L 246 247 0 TEXT "Labels" | 138223,251370 1 0 0 "processRxBitRdyIn"
I 247 0 2 Builtin InPort | 132223,251370 "" ""
L 248 249 0 TEXT "Labels" | 80763,249425 1 0 0 "SIERxRdyOut"
I 249 0 2 Builtin OutPort | 74763,249425 "" ""
A 250 160 16 TEXT "Actions" | 151210,187452 1 0 0 "SIERxRdyOut <= 1'b1;"
A 252 204 16 TEXT "Actions" | 57026,138798 1 0 0 "if (RxBits == `SE0)\nbegin\n RXWaitCount <= RXWaitCount + 1'b1;\n if (RXWaitCount == `DISCONNECT_WAIT_TIME) \n begin\n RXStMachCurrState <= `DISCONNECT_ST;\n connectState = `DISCONNECT;\n end\nend\nelse\nbegin\n RXStMachCurrState = `CONNECT_FULL_SPEED_ST;\nend\nprocessRxBitsWEn <= 1'b1;"
C 253 204 0 TEXT "Conditions" | 76690,153596 1 0 0 "processRxBitRdyIn == 1'b1"
C 254 194 0 TEXT "Conditions" | 81005,160052 1 0 0 "processRxBitRdyIn == 1'b1"
A 255 194 16 TEXT "Actions" | 61406,142366 1 0 0 "if (RxBits == `SE0)\nbegin\n RXWaitCount <= RXWaitCount + 1'b1;\n if (RXWaitCount == `DISCONNECT_WAIT_TIME) \n begin\n RXStMachCurrState <= `DISCONNECT_ST;\n connectState = `DISCONNECT;\n end\nend\nelse\nbegin\n RXStMachCurrState = `CONNECT_LOW_SPEED_ST;\nend\nprocessRxBitsWEn <= 1'b1;"
A 259 169 16 TEXT "Actions" | 64097,138640 1 0 0 "if (RxBits == `SE0)\nbegin\n RXStMachCurrState <= `WAIT_LOW_SP_DISCONNECT_ST;\n RXWaitCount <= 0;\nend\nprocessRxBitsWEn <= 1'b1;\nRxBitsOut <= RxBits;"
A 258 183 16 TEXT "Actions" | 78587,143608 1 0 0 "if (RxBits == `SE0)\nbegin\n RXStMachCurrState <= `WAIT_FULL_SP_DISCONNECT_ST;\n RXWaitCount <= 0;\nend\nprocessRxBitsWEn <= 1'b1;\nRxBitsOut <= RxBits;\nSIERxRdyOut <= 1'b1; //early indication of ready"
C 257 169 0 TEXT "Conditions" | 57276,154345 1 0 0 "processRxBitRdyIn == 1'b1"
C 256 183 0 TEXT "Conditions" | 63784,161795 1 0 0 "processRxBitRdyIn == 1'b1"
L 260 261 0 TEXT "Labels" | 80654,253805 1 0 0 "connectState[1:0]"
I 261 0 130 Builtin OutPort | 74654,253805 "" ""
L 260 261 0 TEXT "Labels" | 80654,253805 1 0 0 "connectState[1:0]"
C 256 183 0 TEXT "Conditions" | 63784,161795 1 0 0 "processRxBitRdyIn == 1'b1"
C 257 169 0 TEXT "Conditions" | 57276,154345 1 0 0 "processRxBitRdyIn == 1'b1"
A 258 183 16 TEXT "Actions" | 78587,143608 1 0 0 "if (RxBits == `SE0)\nbegin\n RXStMachCurrState <= `WAIT_FULL_SP_DISCONNECT_ST;\n RXWaitCount <= 0;\nend\nprocessRxBitsWEn <= 1'b1;\nRxBitsOut <= RxBits;\nSIERxRdyOut <= 1'b1; //early indication of ready"
A 259 169 16 TEXT "Actions" | 64097,138640 1 0 0 "if (RxBits == `SE0)\nbegin\n RXStMachCurrState <= `WAIT_LOW_SP_DISCONNECT_ST;\n RXWaitCount <= 0;\nend\nprocessRxBitsWEn <= 1'b1;\nRxBitsOut <= RxBits;"
END
/trunk/RTL/slaveController/slaveSendpacket.v
42,7 → 42,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: slaveSendpacket.v,v 1.2 2004-12-18 14:36:20 sfielding Exp $
// $Id: slaveSendpacket.v,v 1.3 2004-12-31 14:40:44 sfielding Exp $
//
// CVS Revision History
//
/trunk/RTL/slaveController/slavecontroller.v
42,7 → 42,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: slavecontroller.v,v 1.2 2004-12-18 14:36:21 sfielding Exp $
// $Id: slavecontroller.v,v 1.3 2004-12-31 14:40:44 sfielding Exp $
//
// CVS Revision History
//
/trunk/RTL/slaveController/sctxportarbiter.v
42,7 → 42,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: sctxportarbiter.v,v 1.2 2004-12-18 14:36:20 sfielding Exp $
// $Id: sctxportarbiter.v,v 1.3 2004-12-31 14:40:44 sfielding Exp $
//
// CVS Revision History
//
/trunk/RTL/slaveController/slaveSendpacket.asf
6,7 → 6,7
ENTITY="slaveSendPacket"
FRAMES=ON
FREEOID=215
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// slaveSendPacket\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: slaveSendpacket.asf,v 1.2 2004-12-18 14:36:20 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n`include \"usbConstants_h.v\"\n"
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// slaveSendPacket\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: slaveSendpacket.asf,v 1.3 2004-12-31 14:40:44 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n`include \"usbConstants_h.v\"\n"
END
BUNDLES
B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3333 0 0000 1 "Arial" 0
43,46 → 43,48
GRIDSIZE 0,0 10000,10000
END
OBJECTS
S 11 6 4096 ELLIPSE "States" | 110774,159341 6500 6500
L 10 11 0 TEXT "State Labels" | 110774,159341 1 0 0 "SP_WAIT_ENABLE\n/1/"
S 9 6 0 ELLIPSE "States" | 108917,188434 6500 6500
L 8 9 0 TEXT "State Labels" | 108917,188434 1 0 0 "START_SP1\n/0/"
L 7 6 0 TEXT "Labels" | 32660,203132 1 0 0 "slvSndPkt"
F 6 0 671089152 188 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3000 212900,208064
A 5 0 1 TEXT "Actions" | 29672,248644 1 0 0 "always @(PID)\nbegin\n PIDNotPID <= { (PID ^ 4'hf), PID };\nend"
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 110650,251000 1 0 0 "Module: slaveSendPacket"
I 12 6 0 Builtin Reset | 74872,202290
W 13 6 0 12 9 BEZIER "Transitions" | 74872,202290 82145,199755 95857,193927 103130,191392
W 14 6 0 9 11 BEZIER "Transitions" | 108829,181945 109138,177774 109593,169949 109902,165778
L 15 16 0 TEXT "State Labels" | 112482,123658 1 0 0 "SP1_WAIT_GNT\n/2/"
W 14 6 0 9 11 BEZIER "Transitions" | 108829,181945 109138,177774 109593,169949 109902,165778
W 13 6 0 12 9 BEZIER "Transitions" | 74872,202290 82145,199755 95857,193927 103130,191392
I 12 6 0 Builtin Reset | 74872,202290
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 110650,251000 1 0 0 "Module: slaveSendPacket"
A 5 0 1 TEXT "Actions" | 29672,248644 1 0 0 "always @(PID)\nbegin\n PIDNotPID <= { (PID ^ 4'hf), PID };\nend"
F 6 0 671089152 188 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3000 212900,208064
L 7 6 0 TEXT "Labels" | 32660,203132 1 0 0 "slvSndPkt"
L 8 9 0 TEXT "State Labels" | 108917,188434 1 0 0 "START_SP1\n/0/"
S 9 6 0 ELLIPSE "States" | 108917,188434 6500 6500
L 10 11 0 TEXT "State Labels" | 110774,159341 1 0 0 "SP_WAIT_ENABLE\n/1/"
S 11 6 4096 ELLIPSE "States" | 110774,159341 6500 6500
S 16 6 8192 ELLIPSE "States" | 112482,123658 6500 6500
W 17 6 0 11 16 BEZIER "Transitions" | 110929,152860 111315,148225 111934,134981 112152,130145
C 18 17 0 TEXT "Conditions" | 111903,152311 1 0 0 "sendPacketWEn == 1'b1"
A 19 17 16 TEXT "Actions" | 106114,144280 1 0 0 "sendPacketRdy <= 1'b0;\nSCTxPortReq <= 1'b1;"
L 20 21 0 TEXT "State Labels" | 113767,93734 1 0 0 "SP_SEND_PID"
S 21 6 12292 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 113767,93734 6500 6500
W 22 6 0 16 21 BEZIER "Transitions" | 112482,117158 112791,112755 112951,104607 113260,100204
C 23 22 0 TEXT "Conditions" | 114630,116691 1 0 0 "SCTxPortGnt == 1'b1"
H 25 21 0 RECT 0,0,0 0 0 1 255,255,255 0 | 29624,2084 214124,250084
S 26 25 16384 ELLIPSE "States" | 72734,192072 6500 6500
L 27 26 0 TEXT "State Labels" | 72734,192775 1 0 0 "WAIT_RDY\n/3/"
I 28 25 0 Builtin Entry | 49237,230379
I 29 25 0 Builtin Exit | 146004,95604
W 30 25 0 28 26 BEZIER "Transitions" | 53779,230379 60054,220138 63123,209223 69341,197615
I 29 25 0 Builtin Exit | 146004,95604
I 28 25 0 Builtin Entry | 49237,230379
L 27 26 0 TEXT "State Labels" | 72734,192775 1 0 0 "WAIT_RDY\n/3/"
S 26 25 16384 ELLIPSE "States" | 72734,192072 6500 6500
H 25 21 0 RECT 0,0,0 0 0 1 255,255,255 0 | 29624,2084 214124,250084
C 23 22 0 TEXT "Conditions" | 114630,116691 1 0 0 "SCTxPortGnt == 1'b1"
W 22 6 0 16 21 BEZIER "Transitions" | 112482,117158 112791,112755 112951,104607 113260,100204
S 21 6 12292 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 113767,93734 6500 6500
L 20 21 0 TEXT "State Labels" | 113767,93734 1 0 0 "SP_SEND_PID"
A 19 17 16 TEXT "Actions" | 106114,144280 1 0 0 "sendPacketRdy <= 1'b0;\nSCTxPortReq <= 1'b1;"
C 18 17 0 TEXT "Conditions" | 111903,152311 1 0 0 "sendPacketWEn == 1'b1"
W 17 6 0 11 16 BEZIER "Transitions" | 110929,152860 111315,148225 111934,134981 112152,130145
S 16 6 8192 ELLIPSE "States" | 112482,123658 6500 6500
L 32 33 0 TEXT "State Labels" | 75021,153035 1 0 0 "FIN\n/4/"
S 33 25 20480 ELLIPSE "States" | 75021,153035 6500 6500
W 34 25 0 26 33 BEZIER "Transitions" | 72953,185597 73302,178879 73960,166205 74309,159487
C 36 34 0 TEXT "Conditions" | 75236,185214 1 0 0 "SCTxPortRdy == 1'b1"
A 37 34 16 TEXT "Actions" | 67602,177580 1 0 0 "SCTxPortWEn <= 1'b1;\nSCTxPortData <= PIDNotPID;\nSCTxPortCntl <= `TX_PACKET_START;"
A 38 33 4 TEXT "Actions" | 93627,154331 1 0 0 "SCTxPortWEn <= 1'b0;"
W 39 25 0 33 29 BEZIER "Transitions" | 79375,148210 95944,135371 126275,108443 142844,95604
L 44 45 0 TEXT "State Labels" | 182202,45960 1 0 0 "SP_D0_D1"
S 45 6 24580 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 182202,45960 6500 6500
L 46 47 0 TEXT "State Labels" | 115848,16910 1 0 0 "FIN_SP1\n/5/"
S 47 6 28672 ELLIPSE "States" | 115848,16910 6500 6500
L 46 47 0 TEXT "State Labels" | 115848,16910 1 0 0 "FIN_SP1\n/5/"
S 45 6 24580 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 182202,45960 6500 6500
L 44 45 0 TEXT "State Labels" | 182202,45960 1 0 0 "SP_D0_D1"
W 39 25 0 33 29 BEZIER "Transitions" | 79375,148210 95944,135371 126275,108443 142844,95604
A 38 33 4 TEXT "Actions" | 93627,154331 1 0 0 "SCTxPortWEn <= 1'b0;"
A 37 34 16 TEXT "Actions" | 67602,177580 1 0 0 "SCTxPortWEn <= 1'b1;\nSCTxPortData <= PIDNotPID;\nSCTxPortCntl <= `TX_PACKET_START;"
C 36 34 0 TEXT "Conditions" | 75236,185214 1 0 0 "SCTxPortRdy == 1'b1"
W 34 25 0 26 33 BEZIER "Transitions" | 72953,185597 73302,178879 73960,166205 74309,159487
S 33 25 20480 ELLIPSE "States" | 75021,153035 6500 6500
L 32 33 0 TEXT "State Labels" | 75021,153035 1 0 0 "FIN\n/4/"
W 48 6 8194 21 205 BEZIER "Transitions" | 108645,89734 97773,80901 77133,63853 66261,55020
W 50 6 8193 21 45 BEZIER "Transitions" | 119169,90120 134042,80003 162156,60011 177029,49894
W 48 6 8194 21 205 BEZIER "Transitions" | 108645,89734 97773,80901 77133,63853 66261,55020
A 77 75 16 TEXT "Actions" | 56036,13776 1 0 0 "sendPacketRdy <= 1'b1;\nSCTxPortReq <= 1'b0;"
H 65 45 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,2136 212900,250688
W 73 6 0 45 47 BEZIER "Transitions" | 176581,42697 162161,37714 135904,25306 121888,19311
W 74 6 0 205 47 BEZIER "Transitions" | 67096,47093 78647,41129 99521,27639 110324,20335
W 75 6 0 47 11 BEZIER "Transitions" | 110250,13609 107004,12024 101864,9321 93182,8641\
84500,7962 56262,8416 48108,10114 39955,11813\
35575,18155 34480,31669 33386,45184 33386,92900\
89,83 → 91,81
35198,110038 37010,127177 44258,148015 49996,153300\
55734,158585 71438,158887 78535,158887 85632,158887\
97934,159370 104276,159219
W 74 6 0 205 47 BEZIER "Transitions" | 67096,47093 78647,41129 99521,27639 110324,20335
W 73 6 0 45 47 BEZIER "Transitions" | 176581,42697 162161,37714 135904,25306 121888,19311
H 65 45 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,2136 212900,250688
A 77 75 16 TEXT "Actions" | 56036,13776 1 0 0 "sendPacketRdy <= 1'b1;\nSCTxPortReq <= 1'b0;"
C 81 50 0 TEXT "Conditions" | 136027,85940 1 0 0 "PID == `DATA0 || PID == `DATA1"
I 127 65 0 Builtin Exit | 176933,37229
I 126 65 0 Builtin Entry | 68162,237252
I 127 65 0 Builtin Exit | 176933,37229
L 143 142 0 TEXT "State Labels" | 93499,188608 1 0 0 "WAIT_READ_FIFO\n/7/"
S 142 65 36864 ELLIPSE "States" | 93499,187905 6500 6500
A 141 136 4 TEXT "Actions" | 118498,153974 1 0 0 "SCTxPortWEn <= 1'b1; \nSCTxPortData <= fifoData;\nSCTxPortCntl <= `TX_PACKET_STREAM;"
A 140 138 16 TEXT "Actions" | 77848,170826 1 0 0 "fifoReadEn <= 1'b1;"
C 139 138 0 TEXT "Conditions" | 93949,179372 1 0 0 "SCTxPortRdy == 1'b1"
W 138 65 0 142 212 BEZIER "Transitions" | 93778,181425 88301,173716 82823,166005 77346,158296
L 137 136 0 TEXT "State Labels" | 97634,134508 1 0 0 "READ_FIFO\n/6/"
S 136 65 32768 ELLIPSE "States" | 97326,133352 6500 6500
W 128 65 0 126 145 BEZIER "Transitions" | 72704,237252 77515,245311 99394,235265 108723,227500
S 136 65 32768 ELLIPSE "States" | 97326,133352 6500 6500
L 137 136 0 TEXT "State Labels" | 97634,134508 1 0 0 "READ_FIFO\n/6/"
W 138 65 0 142 212 BEZIER "Transitions" | 93778,181425 88301,173716 82823,166005 77346,158296
C 139 138 0 TEXT "Conditions" | 93949,179372 1 0 0 "SCTxPortRdy == 1'b1"
A 140 138 16 TEXT "Actions" | 77848,170826 1 0 0 "fifoReadEn <= 1'b1;"
A 141 136 4 TEXT "Actions" | 118498,153974 1 0 0 "SCTxPortWEn <= 1'b1; \nSCTxPortData <= fifoData;\nSCTxPortCntl <= `TX_PACKET_STREAM;"
S 142 65 36864 ELLIPSE "States" | 93499,187905 6500 6500
L 143 142 0 TEXT "State Labels" | 93499,188608 1 0 0 "WAIT_READ_FIFO\n/7/"
L 159 158 0 TEXT "State Labels" | 59589,120610 1 0 0 "TERM_BYTE\n/10/"
S 158 65 49152 ELLIPSE "States" | 59589,119907 6500 6500
A 157 152 4 TEXT "Actions" | 82022,67382 1 0 0 "SCTxPortWEn <= 1'b0;"
A 156 154 16 TEXT "Actions" | 58975,105373 1 0 0 "//Last byte is not valid data, \n//but the 'TX_PACKET_STOP' flag is required \n//by the SIE state machine to detect end of data packet\nSCTxPortWEn <= 1'b1;\nSCTxPortData <= 8'h00;\nSCTxPortCntl <= `TX_PACKET_STOP;"
C 155 154 0 TEXT "Conditions" | 61533,111844 1 0 0 "SCTxPortRdy == 1'b1"
W 154 65 0 158 152 BEZIER "Transitions" | 59808,113432 60157,106714 62272,79249 62621,72531
L 153 152 0 TEXT "State Labels" | 63724,65778 1 0 0 "FIN\n/9/"
S 152 65 45056 ELLIPSE "States" | 63416,66086 6500 6500
C 148 146 0 TEXT "Conditions" | 110699,212736 1 0 0 "fifoEmpty == 1'b0"
W 146 65 8193 145 142 BEZIER "Transitions" | 109258,216579 105891,210391 99971,199802 96604,193614
S 145 65 40960 ELLIPSE "States" | 112500,222212 6500 6500
L 144 145 0 TEXT "State Labels" | 111719,222145 1 0 0 "FIFO_EMPTY\n/8/"
S 145 65 40960 ELLIPSE "States" | 112500,222212 6500 6500
W 146 65 8193 145 142 BEZIER "Transitions" | 109258,216579 105891,210391 99971,199802 96604,193614
C 148 146 0 TEXT "Conditions" | 110699,212736 1 0 0 "fifoEmpty == 1'b0"
S 152 65 45056 ELLIPSE "States" | 63416,66086 6500 6500
L 153 152 0 TEXT "State Labels" | 63724,65778 1 0 0 "FIN\n/9/"
W 154 65 0 158 152 BEZIER "Transitions" | 59808,113432 60157,106714 62272,79249 62621,72531
C 155 154 0 TEXT "Conditions" | 61533,111844 1 0 0 "SCTxPortRdy == 1'b1"
A 156 154 16 TEXT "Actions" | 58975,105373 1 0 0 "//Last byte is not valid data, \n//but the 'TX_PACKET_STOP' flag is required \n//by the SIE state machine to detect end of data packet\nSCTxPortWEn <= 1'b1;\nSCTxPortData <= 8'h00;\nSCTxPortCntl <= `TX_PACKET_STOP;"
A 157 152 4 TEXT "Actions" | 82022,67382 1 0 0 "SCTxPortWEn <= 1'b0;"
S 158 65 49152 ELLIPSE "States" | 59589,119907 6500 6500
L 159 158 0 TEXT "State Labels" | 59589,120610 1 0 0 "TERM_BYTE\n/10/"
I 175 0 2 Builtin OutPort | 155450,237706 "" ""
L 174 173 0 TEXT "Labels" | 41299,213676 1 0 0 "PID[3:0]"
I 173 0 130 Builtin InPort | 35299,213676 "" ""
L 172 171 0 TEXT "Labels" | 39427,218968 1 0 0 "sendPacketRdy"
I 171 0 2 Builtin OutPort | 33427,218968 "" ""
I 170 0 2 Builtin InPort | 35414,224168 "" ""
L 169 170 0 TEXT "Labels" | 41414,224168 1 0 0 "sendPacketWEn"
I 168 0 2 Builtin OutPort | 99800,215222 "" ""
L 167 168 0 TEXT "Labels" | 105800,214970 1 0 0 "fifoReadEn"
L 166 165 0 TEXT "Labels" | 108007,220336 1 0 0 "fifoData[7:0]"
I 165 0 130 Builtin InPort | 102007,220336 "" ""
I 164 0 2 Builtin InPort | 101658,228164 "" ""
L 163 164 0 TEXT "Labels" | 107658,228164 1 0 0 "fifoEmpty"
W 162 65 0 152 127 BEZIER "Transitions" | 69206,63133 84852,58192 113349,46697 126570,43677\
139792,40658 161594,38692 165369,38074 169145,37457\
170187,37688 173773,37229
W 160 65 8194 145 158 BEZIER "Transitions" | 106145,220849 94342,218470 70892,213593 64258,206319\
57625,199045 54697,174705 54514,164091 54331,153478\
57228,135338 58326,126280
W 162 65 0 152 127 BEZIER "Transitions" | 69206,63133 84852,58192 113349,46697 126570,43677\
139792,40658 161594,38692 165369,38074 169145,37457\
170187,37688 173773,37229
L 163 164 0 TEXT "Labels" | 107658,228164 1 0 0 "fifoEmpty"
I 164 0 2 Builtin InPort | 101658,228164 "" ""
I 165 0 130 Builtin InPort | 102007,220336 "" ""
L 166 165 0 TEXT "Labels" | 108007,220336 1 0 0 "fifoData[7:0]"
L 167 168 0 TEXT "Labels" | 105800,214970 1 0 0 "fifoReadEn"
I 168 0 2 Builtin OutPort | 99800,215222 "" ""
L 169 170 0 TEXT "Labels" | 41414,224168 1 0 0 "sendPacketWEn"
I 170 0 2 Builtin InPort | 35414,224168 "" ""
I 171 0 2 Builtin OutPort | 33427,218968 "" ""
L 172 171 0 TEXT "Labels" | 39427,218968 1 0 0 "sendPacketRdy"
I 173 0 130 Builtin InPort | 35299,213676 "" ""
L 174 173 0 TEXT "Labels" | 41299,213676 1 0 0 "PID[3:0]"
I 175 0 2 Builtin OutPort | 155450,237706 "" ""
C 191 13 0 TEXT "Conditions" | 86196,196179 1 0 0 "rst"
L 190 189 0 TEXT "Labels" | 204532,251890 1 0 0 "rst"
I 189 0 2 Builtin InPort | 198532,251890 "" ""
I 188 0 3 Builtin InPort | 198206,245948 "" ""
L 187 188 0 TEXT "Labels" | 204206,245948 1 0 0 "clk"
L 186 185 0 TEXT "Labels" | 162179,213226 1 0 0 "SCTxPortCntl[7:0]"
I 185 0 130 Builtin OutPort | 156179,213226 "" ""
L 184 183 0 TEXT "Labels" | 162035,218266 1 0 0 "SCTxPortData[7:0]"
I 183 0 130 Builtin OutPort | 156035,218266 "" ""
L 182 181 0 TEXT "Labels" | 164231,223036 1 0 0 "SCTxPortRdy"
I 181 0 2 Builtin InPort | 158231,223036 "" ""
I 180 0 2 Builtin OutPort | 155564,228002 "" ""
L 179 180 0 TEXT "Labels" | 161564,228002 1 0 0 "SCTxPortWEn"
L 178 177 0 TEXT "Labels" | 163583,232918 1 0 0 "SCTxPortGnt"
I 177 0 2 Builtin InPort | 157583,232918 "" ""
L 176 175 0 TEXT "Labels" | 161450,237706 1 0 0 "SCTxPortReq"
I 177 0 2 Builtin InPort | 157583,232918 "" ""
L 178 177 0 TEXT "Labels" | 163583,232918 1 0 0 "SCTxPortGnt"
L 179 180 0 TEXT "Labels" | 161564,228002 1 0 0 "SCTxPortWEn"
I 180 0 2 Builtin OutPort | 155564,228002 "" ""
I 181 0 2 Builtin InPort | 158231,223036 "" ""
L 182 181 0 TEXT "Labels" | 164231,223036 1 0 0 "SCTxPortRdy"
I 183 0 130 Builtin OutPort | 156035,218266 "" ""
L 184 183 0 TEXT "Labels" | 162035,218266 1 0 0 "SCTxPortData[7:0]"
I 185 0 130 Builtin OutPort | 156179,213226 "" ""
L 186 185 0 TEXT "Labels" | 162179,213226 1 0 0 "SCTxPortCntl[7:0]"
L 187 188 0 TEXT "Labels" | 204206,245948 1 0 0 "clk"
I 188 0 3 Builtin InPort | 198206,245948 "" ""
I 189 0 2 Builtin InPort | 198532,251890 "" ""
L 190 189 0 TEXT "Labels" | 204532,251890 1 0 0 "rst"
C 191 13 0 TEXT "Conditions" | 86196,196179 1 0 0 "rst"
S 207 65 57344 ELLIPSE "States" | 163561,124222 6500 6500
L 206 207 0 TEXT "State Labels" | 163561,124222 1 0 0 "CLR_WEN\n/12/"
A 192 9 2 TEXT "Actions" | 127282,199550 1 0 0 "sendPacketRdy <= 1'b1;\nfifoReadEn <= 1'b0;\nSCTxPortData <= 8'h00;\nSCTxPortCntl <= 8'h00;\nSCTxPortWEn <= 1'b0;\nSCTxPortReq <= 1'b0;"
L 194 195 0 TEXT "Labels" | 38000,231468 1 0 0 "PIDNotPID[7:0]"
I 195 0 128 Builtin Signal | 35000,231468 "" ""
L 204 205 0 TEXT "State Labels" | 61573,50520 1 0 0 "SP_NOT_DATA\n/11/"
S 205 6 53248 ELLIPSE "States" | 61573,50520 6500 6500
L 204 205 0 TEXT "State Labels" | 61573,50520 1 0 0 "SP_NOT_DATA\n/11/"
I 195 0 128 Builtin Signal | 35000,231468 "" ""
L 194 195 0 TEXT "Labels" | 38000,231468 1 0 0 "PIDNotPID[7:0]"
A 192 9 2 TEXT "Actions" | 127282,199550 1 0 0 "sendPacketRdy <= 1'b1;\nfifoReadEn <= 1'b0;\nSCTxPortData <= 8'h00;\nSCTxPortCntl <= 8'h00;\nSCTxPortWEn <= 1'b0;\nSCTxPortReq <= 1'b0;"
L 206 207 0 TEXT "State Labels" | 163561,124222 1 0 0 "CLR_WEN\n/12/"
S 207 65 57344 ELLIPSE "States" | 163561,124222 6500 6500
W 214 65 0 212 136 BEZIER "Transitions" | 81800,147464 84861,145094 89728,140374 92789,138004
A 213 212 4 TEXT "Actions" | 88033,161295 1 0 0 "fifoReadEn <= 1'b0;"
S 212 65 61440 ELLIPSE "States" | 76973,151815 6500 6500
L 211 212 0 TEXT "State Labels" | 76973,151815 1 0 0 "CLR_REN\n/13/"
A 208 207 4 TEXT "Actions" | 145246,113566 1 0 0 "SCTxPortWEn <= 1'b0;"
W 209 65 0 136 207 BEZIER "Transitions" | 103712,132145 117531,130730 143304,126529 157123,125114
W 210 65 0 207 145 BEZIER "Transitions" | 169895,125680 176804,126013 188953,127552 193864,130465\
198775,133379 204604,144369 205686,152818 206768,161268\
205269,184079 201481,192903 197694,201727 184040,214216\
173218,217462 162396,220708 133810,221642 118992,221891
W 209 65 0 136 207 BEZIER "Transitions" | 103712,132145 117531,130730 143304,126529 157123,125114
A 208 207 4 TEXT "Actions" | 145246,113566 1 0 0 "SCTxPortWEn <= 1'b0;"
L 211 212 0 TEXT "State Labels" | 76973,151815 1 0 0 "CLR_REN\n/13/"
S 212 65 61440 ELLIPSE "States" | 76973,151815 6500 6500
A 213 212 4 TEXT "Actions" | 88033,161295 1 0 0 "fifoReadEn <= 1'b0;"
W 214 65 0 212 136 BEZIER "Transitions" | 81800,147464 84861,145094 89728,140374 92789,138004
END
/trunk/RTL/slaveController/slaveGetpacket.v
42,7 → 42,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: slaveGetpacket.v,v 1.2 2004-12-18 14:36:20 sfielding Exp $
// $Id: slaveGetpacket.v,v 1.3 2004-12-31 14:40:44 sfielding Exp $
//
// CVS Revision History
//
/trunk/RTL/slaveController/slavecontroller.asf
6,7 → 6,7
ENTITY="slavecontroller"
FRAMES=ON
FREEOID=789
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// slaveController\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: slavecontroller.asf,v 1.2 2004-12-18 14:36:21 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n`include \"usbSlaveControl_h.v\"\n`include \"usbConstants_h.v\"\n\n"
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// slaveController\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: slavecontroller.asf,v 1.3 2004-12-31 14:40:44 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n`include \"usbSlaveControl_h.v\"\n`include \"usbConstants_h.v\"\n\n"
END
BUNDLES
B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3333 0 0000 1 "Arial" 0
73,286 → 73,286
GRIDSIZE 0,0 10000,10000
END
OBJECTS
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 110650,252232 1 0 0 "Module: slavecontroller"
F 6 0 671089152 282 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3000 212900,202584
L 7 6 0 TEXT "Labels" | 30788,196844 1 0 0 "slvCntrl"
L 14 15 0 TEXT "State Labels" | 111713,189976 1 0 0 "START"
S 15 6 86020 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 111713,189976 6500 6500
L 272 271 0 TEXT "Labels" | 186628,209022 1 0 0 "getPacketREn"
I 273 0 130 Builtin InPort | 182869,214288 "" ""
L 274 273 0 TEXT "Labels" | 190399,213982 1 0 0 "getPacketRdy"
L 281 282 0 TEXT "Labels" | 202539,250534 1 0 0 "clk"
I 282 0 3 Builtin InPort | 194091,250840 "" ""
L 283 284 0 TEXT "Labels" | 200131,244906 1 0 0 "rst"
I 284 0 2 Builtin InPort | 194131,244906 "" ""
C 285 97 0 TEXT "Conditions" | 99944,129593 1 0 0 "rst"
L 554 551 0 TEXT "State Labels" | 63527,72146 1 0 0 "SETUP_OUT"
S 551 6 40964 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 63527,72146 6500 6500
H 559 551 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3275 212900,251275
W 550 6 0 81 41 BEZIER "Transitions" | 57945,41731 51978,46294 36355,53695 33342,69899\
30330,86104 25492,143212 35905,156667 46318,170122\
96612,168665 117496,167729
A 548 546 16 TEXT "Actions" | 104043,25328 1 0 0 "USBEndPNakTransTypeReg <= tempUSBEndPTransTypeReg;\nendPMuxErrorsWEn <= 1'b1;"
C 547 546 0 TEXT "Conditions" | 180628,44450 1 0 0 "NAKSent == 1'b1"
W 546 6 8194 531 81 BEZIER "Transitions" | 193355,54360 193121,48042 196557,33707 194740,28964\
192923,24221 173766,19421 163644,19865 153522,20309\
122483,20608 111915,23020 101347,25432 81761,37919\
69710,37919
C 547 546 0 TEXT "Conditions" | 180628,44450 1 0 0 "NAKSent == 1'b1"
A 548 546 16 TEXT "Actions" | 104043,25328 1 0 0 "USBEndPNakTransTypeReg <= tempUSBEndPTransTypeReg;\nendPMuxErrorsWEn <= 1'b1;"
W 550 6 0 81 41 BEZIER "Transitions" | 57945,41731 51978,46294 36355,53695 33342,69899\
30330,86104 25492,143212 35905,156667 46318,170122\
96612,168665 117496,167729
H 559 551 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3275 212900,251275
S 551 6 40964 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 63527,72146 6500 6500
L 554 551 0 TEXT "State Labels" | 63527,72146 1 0 0 "SETUP_OUT"
C 285 97 0 TEXT "Conditions" | 99944,129593 1 0 0 "rst"
I 284 0 2 Builtin InPort | 194131,244906 "" ""
L 283 284 0 TEXT "Labels" | 200131,244906 1 0 0 "rst"
I 282 0 3 Builtin InPort | 194091,250840 "" ""
L 281 282 0 TEXT "Labels" | 202539,250534 1 0 0 "clk"
L 274 273 0 TEXT "Labels" | 190399,213982 1 0 0 "getPacketRdy"
I 273 0 130 Builtin InPort | 182869,214288 "" ""
L 272 271 0 TEXT "Labels" | 186628,209022 1 0 0 "getPacketREn"
S 15 6 86020 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 111713,189976 6500 6500
L 14 15 0 TEXT "State Labels" | 111713,189976 1 0 0 "START"
L 7 6 0 TEXT "Labels" | 30788,196844 1 0 0 "slvCntrl"
F 6 0 671089152 282 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3000 212900,202584
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 110650,252232 1 0 0 "Module: slavecontroller"
A 302 83 16 TEXT "Actions" | 100377,150834 1 0 0 "PIDByte <= RxByte;"
L 301 300 0 TEXT "Labels" | 38188,235738 1 0 0 "sendPacketRdy"
I 300 0 130 Builtin InPort | 30658,236044 "" ""
L 299 298 0 TEXT "Labels" | 34135,231226 1 0 0 "sendPacketWEn"
I 298 0 2 Builtin OutPort | 28486,231226 "" ""
A 291 81 4 TEXT "Actions" | 34763,22801 1 0 0 "transDone <= 1'b0;\nclrEPRdy <= 1'b0;\nendPMuxErrorsWEn <= 1'b0;"
I 298 0 2 Builtin OutPort | 28486,231226 "" ""
L 299 298 0 TEXT "Labels" | 34135,231226 1 0 0 "sendPacketWEn"
I 300 0 130 Builtin InPort | 30658,236044 "" ""
L 301 300 0 TEXT "Labels" | 38188,235738 1 0 0 "sendPacketRdy"
A 302 83 16 TEXT "Actions" | 100377,150834 1 0 0 "PIDByte <= RxByte;"
I 588 589 0 Builtin Entry | 89368,239805
I 587 589 0 Builtin Exit | 192962,45432
L 586 580 0 TEXT "State Labels" | 176572,76868 1 0 0 "IN"
S 580 6 45060 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 176572,76868 6500 6500
H 589 580 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,249826
L 40 41 0 TEXT "State Labels" | 123993,167263 1 0 0 "WAIT_RX1\n/0/"
S 41 6 0 ELLIPSE "States" | 123993,167568 6500 6500
L 40 41 0 TEXT "State Labels" | 123993,167263 1 0 0 "WAIT_RX1\n/0/"
H 589 580 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,249826
S 580 6 45060 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 176572,76868 6500 6500
L 586 580 0 TEXT "State Labels" | 176572,76868 1 0 0 "IN"
I 587 589 0 Builtin Exit | 192962,45432
I 588 589 0 Builtin Entry | 89368,239805
C 607 601 0 TEXT "Conditions" | 114440,220845 1 0 0 "USBEndPControlReg [`ENDPOINT_READY_BIT] == 1'b0"
W 606 589 0 588 605 BEZIER "Transitions" | 89368,237478 89903,233730 89797,226993 90332,223245
S 605 589 53248 ELLIPSE "States" | 91340,216824 6500 6500
L 604 605 0 TEXT "State Labels" | 91340,216824 1 0 0 "CHK_RDY\n/10/"
A 603 596 4 TEXT "Actions" | 174409,172080 1 0 0 "sendPacketWEn <= 1'b0;"
W 601 589 8193 605 596 BEZIER "Transitions" | 97839,216722 109714,216534 162558,220059 167812,183210
W 600 589 8192 596 587 BEZIER "Transitions" | 168405,170293 203966,131503 199503,89144 196184,45432
A 599 601 16 TEXT "Actions" | 124386,212388 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `NAK;\nNAKSent <= 1'b1;"
C 598 600 0 TEXT "Conditions" | 159138,161356 1 0 0 "sendPacketRdy == 1'b1"
L 597 596 0 TEXT "State Labels" | 169718,177574 1 0 0 "NAK_STALL\n/9/"
S 596 589 49152 ELLIPSE "States" | 168684,176772 6500 6500
L 597 596 0 TEXT "State Labels" | 169718,177574 1 0 0 "NAK_STALL\n/9/"
C 598 600 0 TEXT "Conditions" | 159138,161356 1 0 0 "sendPacketRdy == 1'b1"
A 599 601 16 TEXT "Actions" | 124386,212388 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `NAK;\nNAKSent <= 1'b1;"
W 600 589 8192 596 587 BEZIER "Transitions" | 168405,170293 203966,131503 199503,89144 196184,45432
W 601 589 8193 605 596 BEZIER "Transitions" | 97839,216722 109714,216534 162558,220059 167812,183210
A 603 596 4 TEXT "Actions" | 174409,172080 1 0 0 "sendPacketWEn <= 1'b0;"
L 604 605 0 TEXT "State Labels" | 91340,216824 1 0 0 "CHK_RDY\n/10/"
S 605 589 53248 ELLIPSE "States" | 91340,216824 6500 6500
W 606 589 0 588 605 BEZIER "Transitions" | 89368,237478 89903,233730 89797,226993 90332,223245
C 607 601 0 TEXT "Conditions" | 114440,220845 1 0 0 "USBEndPControlReg [`ENDPOINT_READY_BIT] == 1'b0"
W 621 618 0 619 620 BEZIER "Transitions" | 100816,152400 114862,136691 127511,117310 141558,101600
I 620 618 0 Builtin Exit | 144780,101600
I 619 618 0 Builtin Entry | 96520,152400
H 618 617 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3000 212900,251000
S 617 589 57364 ELLIPSE "Junction" | 50796,174902 3500 3500
L 616 617 0 TEXT "State Labels" | 50796,174902 1 0 0 "J2"
A 615 612 16 TEXT "Actions" | 110702,185120 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `STALL;\nstallSent <= 1'b1;"
C 614 612 0 TEXT "Conditions" | 69153,194735 1 0 0 "USBEndPControlReg [`ENDPOINT_SEND_STALL_BIT] == 1'b1"
W 613 589 8195 605 617 BEZIER "Transitions" | 86536,212447 76974,203420 61686,186612 53042,177585
W 612 589 8194 605 596 BEZIER "Transitions" | 91984,210359 90899,202871 142592,172810 163035,179986
W 613 589 8195 605 617 BEZIER "Transitions" | 86536,212447 76974,203420 61686,186612 53042,177585
C 614 612 0 TEXT "Conditions" | 69153,194735 1 0 0 "USBEndPControlReg [`ENDPOINT_SEND_STALL_BIT] == 1'b1"
A 615 612 16 TEXT "Actions" | 110702,185120 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `STALL;\nstallSent <= 1'b1;"
L 616 617 0 TEXT "State Labels" | 50796,174902 1 0 0 "J2"
S 617 589 57364 ELLIPSE "Junction" | 50796,174902 3500 3500
H 618 617 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3000 212900,251000
I 619 618 0 Builtin Entry | 96520,152400
I 620 618 0 Builtin Exit | 144780,101600
W 621 618 0 619 620 BEZIER "Transitions" | 100816,152400 114862,136691 127511,117310 141558,101600
L 80 81 0 TEXT "State Labels" | 63570,37922 1 0 0 "FIN_SC\n/1/"
S 81 6 4096 ELLIPSE "States" | 63211,37922 6500 6500
W 82 6 0 15 41 BEZIER "Transitions" | 111847,183487 114548,179878 117251,176267 119952,172658
W 83 6 0 41 376 BEZIER "Transitions" | 122170,161331 124629,151114 122118,150575 124577,140358
L 628 629 0 TEXT "State Labels" | 67392,65502 1 0 0 "DATA\n/11/"
S 629 589 61440 ELLIPSE "States" | 67392,65502 6500 6500
L 639 640 0 TEXT "State Labels" | 125814,48840 1 0 0 "GET_RESP\n/12/"
A 638 631 16 TEXT "Actions" | 118603,107061 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `DATA1;"
A 637 630 16 TEXT "Actions" | 36344,101376 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `DATA0;"
C 636 630 0 TEXT "Conditions" | 29568,129096 1 0 0 "USBEndPControlReg [`ENDPOINT_OUTDATA_SEQUENCE_BIT] == 1'b0"
W 631 589 8194 617 629 BEZIER "Transitions" | 54075,173680 59927,171524 83885,163128 122946,146882\
162008,130636 145376,121704 139603,106244 133831,90784\
72380,75586 70378,71274
W 630 589 8193 617 629 BEZIER "Transitions" | 48383,172368 44995,170520 39116,166056 37345,163515\
35574,160974 35266,154506 35651,142263 36036,130020\
37884,87516 41041,76736 44198,65956 54978,65340\
57981,65109 60984,64878 60379,64505 60995,64351
W 631 589 8194 617 629 BEZIER "Transitions" | 54075,173680 59927,171524 83885,163128 122946,146882\
162008,130636 145376,121704 139603,106244 133831,90784\
72380,75586 70378,71274
C 636 630 0 TEXT "Conditions" | 29568,129096 1 0 0 "USBEndPControlReg [`ENDPOINT_OUTDATA_SEQUENCE_BIT] == 1'b0"
A 637 630 16 TEXT "Actions" | 36344,101376 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `DATA0;"
A 638 631 16 TEXT "Actions" | 118603,107061 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `DATA1;"
L 639 640 0 TEXT "State Labels" | 125814,48840 1 0 0 "GET_RESP\n/12/"
S 629 589 61440 ELLIPSE "States" | 67392,65502 6500 6500
L 628 629 0 TEXT "State Labels" | 67392,65502 1 0 0 "DATA\n/11/"
W 83 6 0 41 376 BEZIER "Transitions" | 122170,161331 124629,151114 122118,150575 124577,140358
W 82 6 0 15 41 BEZIER "Transitions" | 111847,183487 114548,179878 117251,176267 119952,172658
S 81 6 4096 ELLIPSE "States" | 63211,37922 6500 6500
L 80 81 0 TEXT "State Labels" | 63570,37922 1 0 0 "FIN_SC\n/1/"
L 655 654 0 TEXT "State Labels" | 92422,152802 1 0 0 "CHK\n/13/"
S 654 559 69632 ELLIPSE "States" | 92422,152802 6500 6500
W 653 559 8192 649 690 BEZIER "Transitions" | 42267,243103 56803,242798 88976,238518 92493,238212
C 652 651 0 TEXT "Conditions" | 124856,135409 1 0 0 "USBEndPControlReg [`ENDPOINT_READY_BIT] == 1'b0"
W 651 559 8193 654 656 BEZIER "Transitions" | 98921,152700 206574,151900 173740,105072 113816,89949
I 650 559 0 Builtin Exit | 194044,45058
I 649 559 0 Builtin Entry | 37971,243103
C 647 646 0 TEXT "Conditions" | 140247,52755 1 0 0 "getPacketRdy == 1'b1"
W 646 589 0 640 587 BEZIER "Transitions" | 132288,49411 139757,47794 182271,47049 189740,45432
A 645 640 4 TEXT "Actions" | 108652,38924 1 0 0 "getPacketREn <= 1'b0;"
A 644 641 16 TEXT "Actions" | 75293,54584 1 0 0 "getPacketREn <= 1'b1;"
C 643 641 0 TEXT "Conditions" | 73811,60869 1 0 0 "sendPacketRdy == 1'b1"
A 642 629 4 TEXT "Actions" | 76076,71808 1 0 0 "sendPacketWEn <= 1'b0;"
W 641 589 0 629 640 BEZIER "Transitions" | 73191,62566 81815,59948 110822,52759 119446,50141
S 640 589 65536 ELLIPSE "States" | 125814,48840 6500 6500
I 381 377 0 Builtin Exit | 206487,14249
I 380 377 0 Builtin Entry | 48940,236580
H 377 376 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3000 212900,251000
S 376 6 94212 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 127085,134364 6500 6500
L 375 376 0 TEXT "State Labels" | 127082,135048 1 0 0 "GET_TOKEN"
C 98 83 0 TEXT "Conditions" | 135898,150246 1 0 0 "RxDataWEn == 1'b1 && \nRxStatus == `RX_PACKET_START && \nRxByte[1:0] == `TOKEN"
W 97 722 0 96 723 BEZIER "Transitions" | 76296,129336 85450,126984 105102,130518 114256,128166
I 96 722 0 Builtin Reset | 76296,129336
W 97 722 0 96 723 BEZIER "Transitions" | 76296,129336 85450,126984 105102,130518 114256,128166
C 98 83 0 TEXT "Conditions" | 135898,150246 1 0 0 "RxDataWEn == 1'b1 && \nRxStatus == `RX_PACKET_START && \nRxByte[1:0] == `TOKEN"
L 375 376 0 TEXT "State Labels" | 127082,135048 1 0 0 "GET_TOKEN"
S 376 6 94212 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 127085,134364 6500 6500
H 377 376 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3000 212900,251000
I 380 377 0 Builtin Entry | 48940,236580
I 381 377 0 Builtin Exit | 206487,14249
S 640 589 65536 ELLIPSE "States" | 125814,48840 6500 6500
W 641 589 0 629 640 BEZIER "Transitions" | 73191,62566 81815,59948 110822,52759 119446,50141
A 642 629 4 TEXT "Actions" | 76076,71808 1 0 0 "sendPacketWEn <= 1'b0;"
C 643 641 0 TEXT "Conditions" | 73811,60869 1 0 0 "sendPacketRdy == 1'b1"
A 644 641 16 TEXT "Actions" | 75293,54584 1 0 0 "getPacketREn <= 1'b1;"
A 645 640 4 TEXT "Actions" | 108652,38924 1 0 0 "getPacketREn <= 1'b0;"
W 646 589 0 640 587 BEZIER "Transitions" | 132288,49411 139757,47794 182271,47049 189740,45432
C 647 646 0 TEXT "Conditions" | 140247,52755 1 0 0 "getPacketRdy == 1'b1"
I 649 559 0 Builtin Entry | 37971,243103
I 650 559 0 Builtin Exit | 194044,45058
W 651 559 8193 654 656 BEZIER "Transitions" | 98921,152700 206574,151900 173740,105072 113816,89949
C 652 651 0 TEXT "Conditions" | 124856,135409 1 0 0 "USBEndPControlReg [`ENDPOINT_READY_BIT] == 1'b0"
W 653 559 8192 649 690 BEZIER "Transitions" | 42267,243103 56803,242798 88976,238518 92493,238212
S 654 559 69632 ELLIPSE "States" | 92422,152802 6500 6500
L 655 654 0 TEXT "State Labels" | 92422,152802 1 0 0 "CHK\n/13/"
C 660 658 0 TEXT "Conditions" | 106335,67684 1 0 0 "sendPacketRdy == 1'b1"
C 666 664 0 TEXT "Conditions" | 53275,145515 1 0 0 "USBEndPControlReg [`ENDPOINT_SEND_STALL_BIT] == 1'b1"
A 665 664 16 TEXT "Actions" | 80842,130315 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `STALL;\nstallSent <= 1'b1;"
W 664 559 8194 654 656 BEZIER "Transitions" | 93066,146337 91981,138849 92975,108162 108216,91470
L 661 656 0 TEXT "State Labels" | 110208,84806 1 0 0 "SEND\n/14/"
A 659 651 16 TEXT "Actions" | 154655,125925 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `NAK;\nNAKSent <= 1'b1;"
W 658 559 8192 656 650 BEZIER "Transitions" | 115135,82483 143029,70601 162928,56940 190822,45058
A 657 656 4 TEXT "Actions" | 131151,85140 1 0 0 "sendPacketWEn <= 1'b0;"
S 656 559 73728 ELLIPSE "States" | 109789,85208 5889 6500
I 399 377 0 Builtin Link | 54419,17564
L 398 399 0 TEXT "Labels" | 56547,17304 1 0 0 "WAIT_RX1"
A 394 388 16 TEXT "Actions" | 109989,182895 1 0 0 "addrEndPTemp <= RxByte;"
L 393 392 0 TEXT "State Labels" | 120066,166529 1 0 0 "WAIT_CRC\n/2/"
S 392 377 8192 ELLIPSE "States" | 120690,166529 6500 6500
C 389 388 0 TEXT "Conditions" | 120725,194517 1 0 0 "RxDataWEn == 1'b1 && \nRxStatus == `RX_PACKET_STREAM"
W 388 377 8193 384 392 BEZIER "Transitions" | 117619,196179 118049,188396 118224,180484 118654,172701
L 385 384 0 TEXT "State Labels" | 117245,202194 1 0 0 "WAIT_ADDR\n/3/"
S 384 377 12288 ELLIPSE "States" | 116864,202628 6500 6500
L 385 384 0 TEXT "State Labels" | 117245,202194 1 0 0 "WAIT_ADDR\n/3/"
W 388 377 8193 384 392 BEZIER "Transitions" | 117619,196179 118049,188396 118224,180484 118654,172701
C 389 388 0 TEXT "Conditions" | 120725,194517 1 0 0 "RxDataWEn == 1'b1 && \nRxStatus == `RX_PACKET_STREAM"
S 392 377 8192 ELLIPSE "States" | 120690,166529 6500 6500
L 393 392 0 TEXT "State Labels" | 120066,166529 1 0 0 "WAIT_CRC\n/2/"
A 394 388 16 TEXT "Actions" | 109989,182895 1 0 0 "addrEndPTemp <= RxByte;"
L 398 399 0 TEXT "Labels" | 56547,17304 1 0 0 "WAIT_RX1"
I 399 377 0 Builtin Link | 54419,17564
S 656 559 73728 ELLIPSE "States" | 109789,85208 5889 6500
A 657 656 4 TEXT "Actions" | 131151,85140 1 0 0 "sendPacketWEn <= 1'b0;"
W 658 559 8192 656 650 BEZIER "Transitions" | 115135,82483 143029,70601 162928,56940 190822,45058
A 659 651 16 TEXT "Actions" | 154655,125925 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `NAK;\nNAKSent <= 1'b1;"
L 661 656 0 TEXT "State Labels" | 110208,84806 1 0 0 "SEND\n/14/"
W 664 559 8194 654 656 BEZIER "Transitions" | 93066,146337 91981,138849 92975,108162 108216,91470
A 665 664 16 TEXT "Actions" | 80842,130315 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `STALL;\nstallSent <= 1'b1;"
C 666 664 0 TEXT "Conditions" | 53275,145515 1 0 0 "USBEndPControlReg [`ENDPOINT_SEND_STALL_BIT] == 1'b1"
C 660 658 0 TEXT "Conditions" | 106335,67684 1 0 0 "sendPacketRdy == 1'b1"
A 410 404 16 TEXT "Actions" | 120222,150346 1 0 0 "endpCRCTemp <= RxByte;"
C 409 406 0 TEXT "Conditions" | 56206,176408 1 0 0 "RxDataWEn == 1'b1 && \nRxStatus != `RX_PACKET_STREAM"
W 406 377 8194 392 399 BEZIER "Transitions" | 114191,166474 101160,166788 74889,166988 67471,166085\
60053,165183 57484,160822 55722,148570 53960,136319\
36935,95064 38880,77714 40826,60365 38327,20823\
54419,15564
C 405 404 0 TEXT "Conditions" | 124159,160729 1 0 0 "RxDataWEn == 1'b1 && \nRxStatus == `RX_PACKET_STREAM"
W 404 377 8193 392 403 BEZIER "Transitions" | 121200,160058 121710,155348 122669,146268 123179,141558
S 403 377 16384 ELLIPSE "States" | 124030,135117 6500 6500
L 402 403 0 TEXT "State Labels" | 124030,135117 1 0 0 "WAIT_STOP\n/4/"
C 401 400 0 TEXT "Conditions" | 52882,213899 1 0 0 "RxDataWEn == 1'b1 && \nRxStatus != `RX_PACKET_STREAM"
W 400 377 8194 384 399 BEZIER "Transitions" | 110498,201318 102308,200382 54233,209312 50372,191138\
46511,172964 33727,90292 34975,71611 36223,52930\
35724,34993 37785,28932 39847,22872 46307,16188\
54419,15564
C 401 400 0 TEXT "Conditions" | 52882,213899 1 0 0 "RxDataWEn == 1'b1 && \nRxStatus != `RX_PACKET_STREAM"
L 402 403 0 TEXT "State Labels" | 124030,135117 1 0 0 "WAIT_STOP\n/4/"
S 403 377 16384 ELLIPSE "States" | 124030,135117 6500 6500
W 404 377 8193 392 403 BEZIER "Transitions" | 121200,160058 121710,155348 122669,146268 123179,141558
C 405 404 0 TEXT "Conditions" | 124159,160729 1 0 0 "RxDataWEn == 1'b1 && \nRxStatus == `RX_PACKET_STREAM"
W 406 377 8194 392 399 BEZIER "Transitions" | 114191,166474 101160,166788 74889,166988 67471,166085\
60053,165183 57484,160822 55722,148570 53960,136319\
36935,95064 38880,77714 40826,60365 38327,20823\
54419,15564
C 409 406 0 TEXT "Conditions" | 56206,176408 1 0 0 "RxDataWEn == 1'b1 && \nRxStatus != `RX_PACKET_STREAM"
A 410 404 16 TEXT "Actions" | 120222,150346 1 0 0 "endpCRCTemp <= RxByte;"
W 416 377 0 380 384 BEZIER "Transitions" | 53236,236580 66436,236340 92720,236440 100440,234920\
108160,233400 112640,227800 113920,224400 115200,221000\
116013,213096 116333,209096
L 419 420 0 TEXT "State Labels" | 125039,108996 1 0 0 "J1"
S 420 377 20500 ELLIPSE "Junction" | 125039,108996 3500 3500
H 421 420 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3000 212900,251000
I 422 421 0 Builtin Entry | 96520,152400
I 423 421 0 Builtin Exit | 144780,101600
W 424 421 0 422 423 BEZIER "Transitions" | 100816,152400 114662,136960 127711,117040 141558,101600
W 425 377 0 403 420 BEZIER "Transitions" | 125217,128730 124944,123298 124669,117866 124396,112434
C 426 425 0 TEXT "Conditions" | 126599,128290 1 0 0 "RxDataWEn == 1'b1"
W 427 377 8194 420 399 BEZIER "Transitions" | 121546,109207 108910,108883 84850,107106 77399,105791\
69948,104476 47394,95074 43302,84878 39210,74682\
42917,24960 54419,15564
W 431 377 8193 420 508 BEZIER "Transitions" | 124244,105590 124829,100936 125414,96281 125999,91627
A 688 653 16 TEXT "Actions" | 49697,242131 1 0 0 "getPacketREn <= 1'b1;"
L 689 690 0 TEXT "State Labels" | 98991,238090 1 0 0 "GET_PKT\n/15/"
S 690 559 77824 ELLIPSE "States" | 98991,238090 6500 6500
A 691 690 4 TEXT "Actions" | 108619,243631 1 0 0 "getPacketREn <= 1'b0;"
W 692 559 8193 698 654 BEZIER "Transitions" | 115978,206479 112866,179807 96893,185826 93781,159154
C 693 692 0 TEXT "Conditions" | 108065,184348 1 0 0 "CRCError == 1'b0 &&\nbitStuffError == 1'b0 && \nRxOverflow == 1'b0 && \nRxTimeOut == 1'b0"
W 703 559 0 690 698 BEZIER "Transitions" | 102158,232416 105512,227268 111593,217805 114947,212657
W 702 699 0 700 701 BEZIER "Transitions" | 100816,152400 114718,136923 127655,117078 141558,101600
I 701 699 0 Builtin Exit | 144780,101600
I 700 699 0 Builtin Entry | 96520,152400
H 699 698 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3000 212900,251000
S 698 559 81940 ELLIPSE "Junction" | 117000,209824 3500 3500
L 697 698 0 TEXT "State Labels" | 117000,209824 1 0 0 "J3"
W 696 559 8194 698 650 BEZIER "Transitions" | 120484,209499 143962,203805 174018,217078 187161,210058\
200304,203038 205920,186346 207441,167119 208962,147892\
209430,87676 208962,71608 208494,55540 206154,51484\
204438,50041 202722,48598 199528,45916 197266,45058
A 695 694 16 TEXT "Actions" | 32235,126207 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `ACK;"
W 694 559 8195 654 656 BEZIER "Transitions" | 85930,152497 74648,152804 51806,152609 45513,150767\
39220,148925 36609,140943 36571,133460 36533,125977\
38989,104026 47738,97617 56488,91209 87662,87731\
103933,85889
A 695 694 16 TEXT "Actions" | 32235,126207 1 0 0 "sendPacketWEn <= 1'b1;\nsendPacketPID <= `ACK;"
W 696 559 8194 698 650 BEZIER "Transitions" | 120484,209499 143962,203805 174018,217078 187161,210058\
200304,203038 205920,186346 207441,167119 208962,147892\
209430,87676 208962,71608 208494,55540 206154,51484\
204438,50041 202722,48598 199528,45916 197266,45058
L 697 698 0 TEXT "State Labels" | 117000,209824 1 0 0 "J3"
S 698 559 81940 ELLIPSE "Junction" | 117000,209824 3500 3500
H 699 698 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3000 212900,251000
I 700 699 0 Builtin Entry | 96520,152400
I 701 699 0 Builtin Exit | 144780,101600
W 702 699 0 700 701 BEZIER "Transitions" | 100816,152400 114718,136923 127655,117078 141558,101600
W 703 559 0 690 698 BEZIER "Transitions" | 102158,232416 105512,227268 111593,217805 114947,212657
C 693 692 0 TEXT "Conditions" | 108065,184348 1 0 0 "CRCError == 1'b0 &&\nbitStuffError == 1'b0 && \nRxOverflow == 1'b0 && \nRxTimeOut == 1'b0"
W 692 559 8193 698 654 BEZIER "Transitions" | 115978,206479 112866,179807 96893,185826 93781,159154
A 691 690 4 TEXT "Actions" | 108619,243631 1 0 0 "getPacketREn <= 1'b0;"
S 690 559 77824 ELLIPSE "States" | 98991,238090 6500 6500
L 689 690 0 TEXT "State Labels" | 98991,238090 1 0 0 "GET_PKT\n/15/"
A 688 653 16 TEXT "Actions" | 49697,242131 1 0 0 "getPacketREn <= 1'b1;"
W 431 377 8193 420 508 BEZIER "Transitions" | 124244,105590 124829,100936 125414,96281 125999,91627
W 427 377 8194 420 399 BEZIER "Transitions" | 121546,109207 108910,108883 84850,107106 77399,105791\
69948,104476 47394,95074 43302,84878 39210,74682\
42917,24960 54419,15564
C 426 425 0 TEXT "Conditions" | 126599,128290 1 0 0 "RxDataWEn == 1'b1"
W 425 377 0 403 420 BEZIER "Transitions" | 125217,128730 124944,123298 124669,117866 124396,112434
W 424 421 0 422 423 BEZIER "Transitions" | 100816,152400 114662,136960 127711,117040 141558,101600
I 423 421 0 Builtin Exit | 144780,101600
I 422 421 0 Builtin Entry | 96520,152400
H 421 420 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3000 212900,251000
S 420 377 20500 ELLIPSE "Junction" | 125039,108996 3500 3500
L 419 420 0 TEXT "State Labels" | 125039,108996 1 0 0 "J1"
W 416 377 0 380 384 BEZIER "Transitions" | 53236,236580 66436,236340 92720,236440 100440,234920\
108160,233400 112640,227800 113920,224400 115200,221000\
116013,213096 116333,209096
C 704 703 0 TEXT "Conditions" | 106392,230416 1 0 0 "getPacketRdy == 1'b1"
S 444 6 24576 ELLIPSE "States" | 127565,109879 6500 6500
L 443 444 0 TEXT "State Labels" | 127565,109879 1 0 0 "CHK_PID\n/5/"
C 432 431 0 TEXT "Conditions" | 128096,105689 1 0 0 "RxByte[`CRC_ERROR_BIT] == 1'b0 &&\nRxByte[`BIT_STUFF_ERROR_BIT] == 1'b0 &&\nRxByte [`RX_OVERFLOW_BIT] == 1'b0"
L 443 444 0 TEXT "State Labels" | 127565,109879 1 0 0 "CHK_PID\n/5/"
S 444 6 24576 ELLIPSE "States" | 127565,109879 6500 6500
C 704 703 0 TEXT "Conditions" | 106392,230416 1 0 0 "getPacketRdy == 1'b1"
I 735 0 2 Builtin InPort | 183218,218987 "" ""
L 734 735 0 TEXT "Labels" | 189218,218987 1 0 0 "RxTimeOut"
I 733 0 2 Builtin InPort | 183218,223490 "" ""
L 732 733 0 TEXT "Labels" | 189218,223490 1 0 0 "bitStuffError"
I 731 0 2 Builtin InPort | 183218,228230 "" ""
L 730 731 0 TEXT "Labels" | 189218,228230 1 0 0 "CRCError"
W 729 722 0 723 727 BEZIER "Transitions" | 125025,122194 130662,116001 135921,107794 141558,101600
W 728 722 0 726 723 BEZIER "Transitions" | 100816,152400 106104,146248 111125,138081 116414,131928
I 727 722 0 Builtin Exit | 144780,101600
I 726 722 0 Builtin Entry | 96520,152400
A 725 723 2 TEXT "Actions" | 132523,206729 1 0 0 "transDone <= 1'b0;\nclearEPRdy <= 1'b0;\ngetPacketREn <= 1'b0;\nsendPacketPID <= 4'b0;\nsendPacketWEn <= 1'b0;\nclrEPRdy <= 1'b0\nUSBEndPTransTypeReg <= 2'b00;\nUSBEndPNakTransTypeReg <= 2'b00;\ntempUSBEndPTransTypeReg <= 2'b00;\nNAKSent <= 1'b0;\nstallSent <= 1'b0;\nendPMuxErrorsWEn <= 1'b0;\naddrEndPTemp <= 8'h00;\nendpCRCTemp <= 8'h00;\nUSBAddress <= 7'b0000000;\nUSBEndP <= 4'h0;\nframeNum <= 11'b00000000000;\nSOFRxed <= 1'b0;\nPIDByte <= 8'h00;"
L 724 723 0 TEXT "State Labels" | 120650,127000 1 0 0 "S1\n/16/"
S 723 722 90112 ELLIPSE "States" | 120650,127000 6500 6500
H 722 15 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3000 212900,251000
L 463 462 0 TEXT "State Labels" | 94684,51331 1 0 0 "CHK_ADDR\n/18/"
S 462 377 102400 ELLIPSE "States" | 94684,51331 6500 6500
W 461 377 8194 508 786 BEZIER "Transitions" | 125260,78741 125862,71938 126464,65135 127066,58332
W 457 377 8193 462 381 BEZIER "Transitions" | 100978,49712 129304,39439 174939,24522 203265,14249
W 461 377 8194 508 786 BEZIER "Transitions" | 125260,78741 125862,71938 126464,65135 127066,58332
S 462 377 102400 ELLIPSE "States" | 94684,51331 6500 6500
L 463 462 0 TEXT "State Labels" | 94684,51331 1 0 0 "CHK_ADDR\n/18/"
H 722 15 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,3000 212900,251000
S 723 722 90112 ELLIPSE "States" | 120650,127000 6500 6500
L 724 723 0 TEXT "State Labels" | 120650,127000 1 0 0 "S1\n/16/"
A 725 723 2 TEXT "Actions" | 132523,206729 1 0 0 "transDone <= 1'b0;\nclearEPRdy <= 1'b0;\ngetPacketREn <= 1'b0;\nsendPacketPID <= 4'b0;\nsendPacketWEn <= 1'b0;\nclrEPRdy <= 1'b0\nUSBEndPTransTypeReg <= 2'b00;\nUSBEndPNakTransTypeReg <= 2'b00;\ntempUSBEndPTransTypeReg <= 2'b00;\nNAKSent <= 1'b0;\nstallSent <= 1'b0;\nendPMuxErrorsWEn <= 1'b0;\naddrEndPTemp <= 8'h00;\nendpCRCTemp <= 8'h00;\nUSBAddress <= 7'b0000000;\nUSBEndP <= 4'h0;\nframeNum <= 11'b00000000000;\nSOFRxed <= 1'b0;\nPIDByte <= 8'h00;"
I 726 722 0 Builtin Entry | 96520,152400
I 727 722 0 Builtin Exit | 144780,101600
W 728 722 0 726 723 BEZIER "Transitions" | 100816,152400 106104,146248 111125,138081 116414,131928
W 729 722 0 723 727 BEZIER "Transitions" | 125025,122194 130662,116001 135921,107794 141558,101600
L 730 731 0 TEXT "Labels" | 189218,228230 1 0 0 "CRCError"
I 731 0 2 Builtin InPort | 183218,228230 "" ""
L 732 733 0 TEXT "Labels" | 189218,223490 1 0 0 "bitStuffError"
I 733 0 2 Builtin InPort | 183218,223490 "" ""
L 734 735 0 TEXT "Labels" | 189218,218987 1 0 0 "RxTimeOut"
I 735 0 2 Builtin InPort | 183218,218987 "" ""
I 751 0 2 Builtin OutPort | 74282,236074 "" ""
L 750 751 0 TEXT "Labels" | 80282,236074 1 0 0 "NAKSent"
I 749 0 130 Builtin InPort | 122043,237048 "" ""
L 748 749 0 TEXT "Labels" | 128043,237048 1 0 0 "USBEndPControlReg[3:0]"
I 747 0 130 Builtin InPort | 29748,247328 "" ""
L 746 747 0 TEXT "Labels" | 35748,247328 1 0 0 "USBTgtAddress[6:0]"
I 745 0 2 Builtin InPort | 29748,252068 "" ""
L 744 745 0 TEXT "Labels" | 35748,252068 1 0 0 "SCGlobalEn"
I 743 0 130 Builtin OutPort | 119778,227003 "" ""
L 742 743 0 TEXT "Labels" | 125778,227003 1 0 0 "USBEndP[3:0]"
I 737 0 2 Builtin InPort | 183455,232970 "" ""
L 736 737 0 TEXT "Labels" | 189455,232970 1 0 0 "RxOverflow"
C 468 457 0 TEXT "Conditions" | 76387,38022 1 0 0 "USBEndP < `NUM_OF_ENDPOINTS &&\nUSBAddress == USBTgtAddress &&\nSCGlobalEn == 1'b1 &&\nUSBEndPControlReg[`ENDPOINT_ENABLE_BIT] == 1'b1"
L 736 737 0 TEXT "Labels" | 189455,232970 1 0 0 "RxOverflow"
I 737 0 2 Builtin InPort | 183455,232970 "" ""
L 742 743 0 TEXT "Labels" | 125778,227003 1 0 0 "USBEndP[3:0]"
I 743 0 130 Builtin OutPort | 119778,227003 "" ""
L 744 745 0 TEXT "Labels" | 35748,252068 1 0 0 "SCGlobalEn"
I 745 0 2 Builtin InPort | 29748,252068 "" ""
L 746 747 0 TEXT "Labels" | 35748,247328 1 0 0 "USBTgtAddress[6:0]"
I 747 0 130 Builtin InPort | 29748,247328 "" ""
L 748 749 0 TEXT "Labels" | 128043,237048 1 0 0 "USBEndPControlReg[3:0]"
I 749 0 130 Builtin InPort | 122043,237048 "" ""
L 750 751 0 TEXT "Labels" | 80282,236074 1 0 0 "NAKSent"
I 751 0 2 Builtin OutPort | 74282,236074 "" ""
A 763 41 68 TEXT "Actions" | 141963,177130 1 0 0 "stallSent <= 1'b0;\nNAKSent <= 1'b0;\nSOFRxed <= 1'b0;"
I 759 0 2 Builtin OutPort | 119476,231925 "" ""
L 758 759 0 TEXT "Labels" | 125476,231925 1 0 0 "endPMuxErrorsWEn"
I 757 0 130 Builtin OutPort | 119853,246737 "" ""
L 756 757 0 TEXT "Labels" | 125853,246737 1 0 0 "USBEndPNakTransTypeReg[1:0]"
I 755 0 130 Builtin OutPort | 119826,241925 "" ""
L 754 755 0 TEXT "Labels" | 125826,241925 1 0 0 "USBEndPTransTypeReg[1:0]"
I 753 0 2 Builtin OutPort | 73882,231167 "" ""
L 752 753 0 TEXT "Labels" | 79882,231167 1 0 0 "stallSent"
L 764 765 0 TEXT "Labels" | 123578,208940 1 0 0 "tempUSBEndPTransTypeReg[1:0]"
I 765 0 130 Builtin Signal | 120578,208940 "" ""
L 766 767 0 TEXT "Labels" | 83236,251752 1 0 0 "RxDataWEn"
I 767 0 2 Builtin InPort | 77236,251752 "" ""
L 766 767 0 TEXT "Labels" | 83236,251752 1 0 0 "RxDataWEn"
I 765 0 130 Builtin Signal | 120578,208940 "" ""
L 764 765 0 TEXT "Labels" | 123578,208940 1 0 0 "tempUSBEndPTransTypeReg[1:0]"
L 752 753 0 TEXT "Labels" | 79882,231167 1 0 0 "stallSent"
I 753 0 2 Builtin OutPort | 73882,231167 "" ""
L 754 755 0 TEXT "Labels" | 125826,241925 1 0 0 "USBEndPTransTypeReg[1:0]"
I 755 0 130 Builtin OutPort | 119826,241925 "" ""
L 756 757 0 TEXT "Labels" | 125853,246737 1 0 0 "USBEndPNakTransTypeReg[1:0]"
I 757 0 130 Builtin OutPort | 119853,246737 "" ""
L 758 759 0 TEXT "Labels" | 125476,231925 1 0 0 "endPMuxErrorsWEn"
I 759 0 2 Builtin OutPort | 119476,231925 "" ""
A 763 41 68 TEXT "Actions" | 141963,177130 1 0 0 "stallSent <= 1'b0;\nNAKSent <= 1'b0;\nSOFRxed <= 1'b0;"
I 783 0 130 Builtin Signal | 83088,208940 "" ""
L 782 783 0 TEXT "Labels" | 86088,208940 1 0 0 "USBAddress[6:0]"
I 781 0 2 Builtin OutPort | 28572,224032 "" ""
L 780 781 0 TEXT "Labels" | 34572,224032 1 0 0 "SOFRxed"
I 779 0 130 Builtin OutPort | 28880,219720 "" ""
L 778 779 0 TEXT "Labels" | 34880,219720 1 0 0 "frameNum[10:0]"
I 777 0 130 Builtin Signal | 120664,221876 "" ""
L 776 777 0 TEXT "Labels" | 123664,221876 1 0 0 "addrEndPTemp[7:0]"
I 775 0 130 Builtin Signal | 120664,217872 "" ""
L 774 775 0 TEXT "Labels" | 123664,217872 1 0 0 "endpCRCTemp[7:0]"
I 773 0 130 Builtin Signal | 120664,213560 "" ""
L 772 773 0 TEXT "Labels" | 123664,213560 1 0 0 "PIDByte[7:0]"
I 771 0 130 Builtin InPort | 76928,242820 "" ""
L 770 771 0 TEXT "Labels" | 82928,242820 1 0 0 "RxByte[7:0]"
I 769 0 130 Builtin InPort | 77236,247440 "" ""
L 768 769 0 TEXT "Labels" | 83236,247440 1 0 0 "RxStatus[7:0]"
A 502 461 16 TEXT "Actions" | 125613,71590 1 0 0 "USBAddress <= addrEndPTemp[6:0];\nUSBEndP <= { endpCRCTemp[2:0], addrEndPTemp[7]} ;"
L 507 508 0 TEXT "State Labels" | 124896,85224 1 0 0 "CHK_SOF\n/6/"
S 508 377 28672 ELLIPSE "States" | 124896,85224 6500 6500
A 511 509 16 TEXT "Actions" | 43897,75831 1 0 0 "frameNum <= {endpCRCTemp[2:0],addrEndPTemp};\nSOFRxed <= 1'b1;"
C 510 509 0 TEXT "Conditions" | 63200,88160 1 0 0 "PIDByte[3:0] == `SOF"
W 509 377 8193 508 399 BEZIER "Transitions" | 118401,84993 100664,84333 64762,83050 55811,78512\
46860,73975 46530,57145 47396,48771 48262,40398\
52522,23896 54419,15564
C 510 509 0 TEXT "Conditions" | 63200,88160 1 0 0 "PIDByte[3:0] == `SOF"
A 511 509 16 TEXT "Actions" | 43897,75831 1 0 0 "frameNum <= {endpCRCTemp[2:0],addrEndPTemp};\nSOFRxed <= 1'b1;"
S 508 377 28672 ELLIPSE "States" | 124896,85224 6500 6500
L 507 508 0 TEXT "State Labels" | 124896,85224 1 0 0 "CHK_SOF\n/6/"
A 502 461 16 TEXT "Actions" | 125613,71590 1 0 0 "USBAddress <= addrEndPTemp[6:0];\nUSBEndP <= { endpCRCTemp[2:0], addrEndPTemp[7]} ;"
L 768 769 0 TEXT "Labels" | 83236,247440 1 0 0 "RxStatus[7:0]"
I 769 0 130 Builtin InPort | 77236,247440 "" ""
L 770 771 0 TEXT "Labels" | 82928,242820 1 0 0 "RxByte[7:0]"
I 771 0 130 Builtin InPort | 76928,242820 "" ""
L 772 773 0 TEXT "Labels" | 123664,213560 1 0 0 "PIDByte[7:0]"
I 773 0 130 Builtin Signal | 120664,213560 "" ""
L 774 775 0 TEXT "Labels" | 123664,217872 1 0 0 "endpCRCTemp[7:0]"
I 775 0 130 Builtin Signal | 120664,217872 "" ""
L 776 777 0 TEXT "Labels" | 123664,221876 1 0 0 "addrEndPTemp[7:0]"
I 777 0 130 Builtin Signal | 120664,221876 "" ""
L 778 779 0 TEXT "Labels" | 34880,219720 1 0 0 "frameNum[10:0]"
I 779 0 130 Builtin OutPort | 28880,219720 "" ""
L 780 781 0 TEXT "Labels" | 34572,224032 1 0 0 "SOFRxed"
I 781 0 2 Builtin OutPort | 28572,224032 "" ""
L 782 783 0 TEXT "Labels" | 86088,208940 1 0 0 "USBAddress[6:0]"
I 783 0 130 Builtin Signal | 83088,208940 "" ""
K 788 786 0 TEXT "Comments" | 118800,50912 1 0 0 "Insert delay to allow USBEndPControlReg to update"
W 787 377 0 786 462 BEZIER "Transitions" | 116687,52476 112749,52476 105105,51800 101167,51800
S 786 377 98304 ELLIPSE "States" | 123152,53144 6500 6500
L 785 786 0 TEXT "State Labels" | 123152,53144 1 0 0 "DELAY\n/17/"
A 524 516 16 TEXT "Actions" | 132740,96932 1 0 0 "tempUSBEndPTransTypeReg <= `SC_IN_TRANS;"
W 527 6 8196 444 526 BEZIER "Transitions" | 122444,113881 113611,119906 98358,132491 89525,138516
S 526 6 32768 ELLIPSE "States" | 84644,142808 6500 6500
L 525 526 0 TEXT "State Labels" | 84644,142808 1 0 0 "PID_ERROR\n/7/"
C 523 516 0 TEXT "Conditions" | 138452,109100 1 0 0 "PIDByte[3:0] == `IN"
A 522 514 16 TEXT "Actions" | 34060,103488 1 0 0 "tempUSBEndPTransTypeReg <= `SC_SETUP_TRANS;"
A 521 515 16 TEXT "Actions" | 72876,85256 1 0 0 "tempUSBEndPTransTypeReg <= `SC_OUTDATA_TRANS;"
C 519 515 0 TEXT "Conditions" | 96466,92704 1 0 0 "PIDByte[3:0] == `OUT"
C 518 514 0 TEXT "Conditions" | 68498,113792 1 0 0 "PIDByte[3:0] == `SETUP"
W 517 6 0 376 444 BEZIER "Transitions" | 126740,127881 127032,124839 126993,119409 127285,116367
W 516 6 8195 444 580 BEZIER "Transitions" | 133157,106567 143277,99957 161264,87392 171384,80782
W 515 6 8194 444 551 BEZIER "Transitions" | 125173,103837 123535,98514 118808,88227 112022,84659\
105236,81091 81842,75191 69908,73378
W 514 6 8193 444 551 BEZIER "Transitions" | 121093,109287 106000,107942 75635,105075 68176,101390\
60717,97705 62441,84600 62616,78575
W 512 377 8194 462 399 BEZIER "Transitions" | 88426,49577 72698,46423 68764,43598 61315,39137\
53866,34676 56339,23332 57169,17564
W 784 6 8195 531 81 BEZIER "Transitions" | 199428,57678 201969,56523 206519,54247 207866,48664\
209214,43082 209522,23062 208983,17094 208444,11127\
205980,7277 191773,6353 177567,5429 123205,5583\
106804,9317 90403,13052 79161,27836 75696,31763\
72231,35690 70888,36159 69579,36621
W 512 377 8194 462 399 BEZIER "Transitions" | 88426,49577 72698,46423 68764,43598 61315,39137\
53866,34676 56339,23332 57169,17564
W 514 6 8193 444 551 BEZIER "Transitions" | 121093,109287 106000,107942 75635,105075 68176,101390\
60717,97705 62441,84600 62616,78575
W 515 6 8194 444 551 BEZIER "Transitions" | 125173,103837 123535,98514 118808,88227 112022,84659\
105236,81091 81842,75191 69908,73378
W 516 6 8195 444 580 BEZIER "Transitions" | 133157,106567 143277,99957 161264,87392 171384,80782
W 517 6 0 376 444 BEZIER "Transitions" | 126740,127881 127032,124839 126993,119409 127285,116367
C 518 514 0 TEXT "Conditions" | 68498,113792 1 0 0 "PIDByte[3:0] == `SETUP"
C 519 515 0 TEXT "Conditions" | 96466,92704 1 0 0 "PIDByte[3:0] == `OUT"
A 521 515 16 TEXT "Actions" | 72876,85256 1 0 0 "tempUSBEndPTransTypeReg <= `SC_OUTDATA_TRANS;"
A 522 514 16 TEXT "Actions" | 34060,103488 1 0 0 "tempUSBEndPTransTypeReg <= `SC_SETUP_TRANS;"
C 523 516 0 TEXT "Conditions" | 138452,109100 1 0 0 "PIDByte[3:0] == `IN"
L 525 526 0 TEXT "State Labels" | 84644,142808 1 0 0 "PID_ERROR\n/7/"
S 526 6 32768 ELLIPSE "States" | 84644,142808 6500 6500
W 527 6 8196 444 526 BEZIER "Transitions" | 122444,113881 113611,119906 98358,132491 89525,138516
A 524 516 16 TEXT "Actions" | 132740,96932 1 0 0 "tempUSBEndPTransTypeReg <= `SC_IN_TRANS;"
L 785 786 0 TEXT "State Labels" | 123152,53144 1 0 0 "DELAY\n/17/"
S 786 377 98304 ELLIPSE "States" | 123152,53144 6500 6500
W 787 377 0 786 462 BEZIER "Transitions" | 116687,52476 112749,52476 105105,51800 101167,51800
K 788 786 0 TEXT "Comments" | 118800,50912 1 0 0 "Insert delay to allow USBEndPControlReg to update"
A 536 532 16 TEXT "Actions" | 87626,51585 1 0 0 "transDone <= 1'b1;\nclrEPRdy <= 1'b1;\nUSBEndPTransTypeReg <= tempUSBEndPTransTypeReg;\nendPMuxErrorsWEn <= 1'b1;"
C 535 532 0 TEXT "Conditions" | 73577,60437 1 0 0 "USBEndPControlReg [`ENDPOINT_READY_BIT] == 1'b1"
W 534 6 0 551 531 BEZIER "Transitions" | 69967,71266 96526,67873 160748,65078 187307,61685
W 533 6 0 580 531 BEZIER "Transitions" | 181097,72204 183278,69441 186374,67510 188555,64747
W 532 6 8193 531 81 BEZIER "Transitions" | 187378,59573 161170,57818 95812,40849 69604,39094
S 531 6 36864 ELLIPSE "States" | 193752,60844 6500 6500
L 530 531 0 TEXT "State Labels" | 193752,60844 1 0 0 "CHK_RDY\n/8/"
W 529 6 0 526 41 BEZIER "Transitions" | 89828,146728 97140,151466 110862,159936 118174,164674
I 271 0 2 Builtin OutPort | 180979,209022 "" ""
I 270 0 130 Builtin OutPort | 28450,240616 "" ""
L 269 270 0 TEXT "Labels" | 34450,240616 1 0 0 "sendPacketPID[3:0]"
I 266 0 2 Builtin OutPort | 74329,226532 "" ""
L 265 266 0 TEXT "Labels" | 79978,226532 1 0 0 "transDone"
I 264 0 2 Builtin OutPort | 74329,216725 "" ""
L 263 264 0 TEXT "Labels" | 79978,216725 1 0 0 "clrEPRdy"
I 264 0 2 Builtin OutPort | 74329,216725 "" ""
L 265 266 0 TEXT "Labels" | 79978,226532 1 0 0 "transDone"
I 266 0 2 Builtin OutPort | 74329,226532 "" ""
L 269 270 0 TEXT "Labels" | 34450,240616 1 0 0 "sendPacketPID[3:0]"
I 270 0 130 Builtin OutPort | 28450,240616 "" ""
I 271 0 2 Builtin OutPort | 180979,209022 "" ""
W 529 6 0 526 41 BEZIER "Transitions" | 89828,146728 97140,151466 110862,159936 118174,164674
L 530 531 0 TEXT "State Labels" | 193752,60844 1 0 0 "CHK_RDY\n/8/"
S 531 6 36864 ELLIPSE "States" | 193752,60844 6500 6500
W 532 6 8193 531 81 BEZIER "Transitions" | 187378,59573 161170,57818 95812,40849 69604,39094
W 533 6 0 580 531 BEZIER "Transitions" | 181097,72204 183278,69441 186374,67510 188555,64747
W 534 6 0 551 531 BEZIER "Transitions" | 69967,71266 96526,67873 160748,65078 187307,61685
C 535 532 0 TEXT "Conditions" | 73577,60437 1 0 0 "USBEndPControlReg [`ENDPOINT_READY_BIT] == 1'b1"
A 536 532 16 TEXT "Actions" | 87626,51585 1 0 0 "transDone <= 1'b1;\nclrEPRdy <= 1'b1;\nUSBEndPTransTypeReg <= tempUSBEndPTransTypeReg;\nendPMuxErrorsWEn <= 1'b1;"
END
/trunk/RTL/slaveController/sctxportarbiter.asf
6,7 → 6,7
ENTITY="SCTxPortArbiter"
FRAMES=ON
FREEOID=101
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// SCTxPortArbiter\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: sctxportarbiter.asf,v 1.2 2004-12-18 14:36:20 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n"
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// SCTxPortArbiter\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: sctxportarbiter.asf,v 1.3 2004-12-31 14:40:44 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n"
END
BUNDLES
B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3333 0 0000 1 "Arial" 0
31,71 → 31,73
GRIDSIZE 5000,5000 10000,10000
END
OBJECTS
L 15 14 0 TEXT "State Labels" | 269063,296392 1 0 0 "SARB_SEND_PACKET\n/1/"
S 14 6 4096 ELLIPSE "States" | 269063,296392 6500 6500
L 11 10 0 TEXT "State Labels" | 224972,363653 1 0 0 "SARB1_WAIT_REQ\n/0/"
S 10 6 0 ELLIPSE "States" | 224972,365039 6500 6500
L 9 8 0 TEXT "State Labels" | 225591,395370 1 0 0 "START_SARB\n/3/"
S 8 6 12288 ELLIPSE "States" | 225591,395370 6500 6500
L 7 6 0 TEXT "Labels" | 153720,399520 1 0 0 "SCTxArb"
F 6 0 671089152 41 0 RECT 0,0,0 0 0 1 255,255,255 0 | 138680,277900 323180,412945
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 97950,543100 1 0 0 "Module: SCTxPortArbiter"
F 6 0 671089152 41 0 RECT 0,0,0 0 0 1 255,255,255 0 | 138680,277900 323180,412945
L 7 6 0 TEXT "Labels" | 153720,399520 1 0 0 "SCTxArb"
S 8 6 12288 ELLIPSE "States" | 225591,395370 6500 6500
L 9 8 0 TEXT "State Labels" | 225591,395370 1 0 0 "START_SARB\n/3/"
S 10 6 0 ELLIPSE "States" | 224972,365039 6500 6500
L 11 10 0 TEXT "State Labels" | 224972,363653 1 0 0 "SARB1_WAIT_REQ\n/0/"
S 14 6 4096 ELLIPSE "States" | 269063,296392 6500 6500
L 15 14 0 TEXT "State Labels" | 269063,296392 1 0 0 "SARB_SEND_PACKET\n/1/"
I 16 6 0 Builtin Reset | 178237,395710
W 17 6 0 16 8 BEZIER "Transitions" | 178237,395710 187522,391937 210185,391478 219470,393186
W 18 6 0 8 10 BEZIER "Transitions" | 225224,388894 225070,384414 224938,376011 224784,371531
W 19 6 4097 10 14 BEZIER "Transitions" | 229757,360641 236477,355079 258220,315910 265438,301787
C 22 19 0 TEXT "Conditions" | 235353,358515 1 0 0 "sendPacketReq == 1'b1"
A 23 19 16 TEXT "Actions" | 233291,339940 1 0 0 "sendPacketGnt <= 1'b1;\nmuxDCEn <= 1'b0;"
A 25 8 2 TEXT "Actions" | 234434,411387 1 0 0 "sendPacketGnt <= 1'b0;\ndirectCntlGnt <= 1'b0;\nmuxDCEn <= 1'b0;"
C 26 17 0 TEXT "Conditions" | 202073,391408 1 0 0 "rst"
C 31 27 0 TEXT "Conditions" | 272024,315171 1 0 0 "sendPacketReq == 1'b0"
W 27 6 0 14 10 BEZIER "Transitions" | 272129,302121 294143,322021 288020,346232 288403,352802\
288786,359372 287077,371461 282417,376909 277757,382357\
274547,381487 268775,381564 263003,381642 254872,381366\
248267,378971 241663,376577 234289,371557 230118,369008
C 31 27 0 TEXT "Conditions" | 272024,315171 1 0 0 "sendPacketReq == 1'b0"
C 26 17 0 TEXT "Conditions" | 202073,391408 1 0 0 "rst"
A 25 8 2 TEXT "Actions" | 234434,411387 1 0 0 "sendPacketGnt <= 1'b0;\ndirectCntlGnt <= 1'b0;\nmuxDCEn <= 1'b0;"
A 23 19 16 TEXT "Actions" | 233291,339940 1 0 0 "sendPacketGnt <= 1'b1;\nmuxDCEn <= 1'b0;"
C 22 19 0 TEXT "Conditions" | 235353,358515 1 0 0 "sendPacketReq == 1'b1"
W 19 6 4097 10 14 BEZIER "Transitions" | 229757,360641 236477,355079 258220,315910 265438,301787
W 18 6 0 8 10 BEZIER "Transitions" | 225224,388894 225070,384414 224938,376011 224784,371531
W 17 6 0 16 8 BEZIER "Transitions" | 178237,395710 187522,391937 210185,391478 219470,393186
I 16 6 0 Builtin Reset | 178237,395710
L 45 44 0 TEXT "Labels" | 172169,499499 1 0 0 "sendPacketData[7:0]"
I 44 0 130 Builtin InPort | 166169,499499 "" ""
L 43 42 0 TEXT "Labels" | 172566,462781 1 0 0 "SCTxPortRdyIn"
I 42 0 2 Builtin InPort | 166566,462781 "" ""
I 41 0 3 Builtin InPort | 190061,536582 "" ""
L 40 39 0 TEXT "Labels" | 195447,542126 1 0 0 "rst"
I 39 0 2 Builtin InPort | 189447,542126 "" ""
L 38 37 0 TEXT "Labels" | 170033,485851 1 0 0 "sendPacketGnt"
I 37 0 2 Builtin OutPort | 164033,485851 "" ""
L 36 35 0 TEXT "Labels" | 170373,457796 1 0 0 "SCTxPortWEnable"
I 35 0 2 Builtin OutPort | 164373,457796 "" ""
A 32 27 16 TEXT "Actions" | 268756,371179 1 0 0 "sendPacketGnt <= 1'b0;"
I 35 0 2 Builtin OutPort | 164373,457796 "" ""
L 36 35 0 TEXT "Labels" | 170373,457796 1 0 0 "SCTxPortWEnable"
I 37 0 2 Builtin OutPort | 164033,485851 "" ""
L 38 37 0 TEXT "Labels" | 170033,485851 1 0 0 "sendPacketGnt"
I 39 0 2 Builtin InPort | 189447,542126 "" ""
L 40 39 0 TEXT "Labels" | 195447,542126 1 0 0 "rst"
I 41 0 3 Builtin InPort | 190061,536582 "" ""
I 42 0 2 Builtin InPort | 166566,462781 "" ""
L 43 42 0 TEXT "Labels" | 172566,462781 1 0 0 "SCTxPortRdyIn"
I 44 0 130 Builtin InPort | 166169,499499 "" ""
L 45 44 0 TEXT "Labels" | 172169,499499 1 0 0 "sendPacketData[7:0]"
L 63 62 0 TEXT "Labels" | 172256,495120 1 0 0 "sendPacketCntl[7:0]"
I 62 0 130 Builtin InPort | 166256,495120 "" ""
L 61 41 0 TEXT "Labels" | 196061,536582 1 0 0 "clk"
L 59 58 0 TEXT "Labels" | 170296,453278 1 0 0 "SCTxPortData[7:0]"
I 58 0 130 Builtin OutPort | 164296,453278 "" ""
L 57 56 0 TEXT "Labels" | 172286,481063 1 0 0 "sendPacketReq"
I 56 0 2 Builtin InPort | 166286,481063 "" ""
A 54 0 1 TEXT "Actions" | 21871,418957 1 0 0 "// SOFController/directContol/sendPacket mux\nalways @(SCTxPortRdyIn)\nbegin\n SCTxPortRdyOut = SCTxPortRdyIn;\nend\n \nalways @(muxDCEn or\n directCntlWEn or directCntlData or directCntlCntl or\n directCntlWEn or directCntlData or directCntlCntl or\n sendPacketWEn or sendPacketData or sendPacketCntl)\nbegin\nif (muxDCEn == 1'b1)\n begin \n SCTxPortWEnable <= directCntlWEn;\n SCTxPortData <= directCntlData;\n SCTxPortCntl <= directCntlCntl;\n end\nelse\n begin \n SCTxPortWEnable <= sendPacketWEn;\n SCTxPortData <= sendPacketData;\n SCTxPortCntl <= sendPacketCntl;\n end\nend"
L 53 52 0 TEXT "Labels" | 171981,490639 1 0 0 "sendPacketWEn"
I 52 0 2 Builtin InPort | 165981,490639 "" ""
L 53 52 0 TEXT "Labels" | 171981,490639 1 0 0 "sendPacketWEn"
A 54 0 1 TEXT "Actions" | 21871,418957 1 0 0 "// SOFController/directContol/sendPacket mux\nalways @(SCTxPortRdyIn)\nbegin\n SCTxPortRdyOut = SCTxPortRdyIn;\nend\n \nalways @(muxDCEn or\n directCntlWEn or directCntlData or directCntlCntl or\n directCntlWEn or directCntlData or directCntlCntl or\n sendPacketWEn or sendPacketData or sendPacketCntl)\nbegin\nif (muxDCEn == 1'b1)\n begin \n SCTxPortWEnable <= directCntlWEn;\n SCTxPortData <= directCntlData;\n SCTxPortCntl <= directCntlCntl;\n end\nelse\n begin \n SCTxPortWEnable <= sendPacketWEn;\n SCTxPortData <= sendPacketData;\n SCTxPortCntl <= sendPacketCntl;\n end\nend"
I 56 0 2 Builtin InPort | 166286,481063 "" ""
L 57 56 0 TEXT "Labels" | 172286,481063 1 0 0 "sendPacketReq"
I 58 0 130 Builtin OutPort | 164296,453278 "" ""
L 59 58 0 TEXT "Labels" | 170296,453278 1 0 0 "SCTxPortData[7:0]"
L 61 41 0 TEXT "Labels" | 196061,536582 1 0 0 "clk"
I 62 0 130 Builtin InPort | 166256,495120 "" ""
L 63 62 0 TEXT "Labels" | 172256,495120 1 0 0 "sendPacketCntl[7:0]"
L 79 78 0 TEXT "Labels" | 123944,457060 1 0 0 "directCntlGnt"
I 78 0 2 Builtin OutPort | 117944,457060 "" ""
L 67 66 0 TEXT "Labels" | 170124,471556 1 0 0 "SCTxPortCntl[7:0]"
I 66 0 130 Builtin OutPort | 164124,471556 "" ""
L 65 64 0 TEXT "Labels" | 170048,467134 1 0 0 "SCTxPortRdyOut"
I 64 0 2 Builtin OutPort | 164048,467134 "" ""
L 65 64 0 TEXT "Labels" | 170048,467134 1 0 0 "SCTxPortRdyOut"
I 66 0 130 Builtin OutPort | 164124,471556 "" ""
L 67 66 0 TEXT "Labels" | 170124,471556 1 0 0 "SCTxPortCntl[7:0]"
I 78 0 2 Builtin OutPort | 117944,457060 "" ""
L 79 78 0 TEXT "Labels" | 123944,457060 1 0 0 "directCntlGnt"
A 95 92 16 TEXT "Actions" | 205993,310852 1 0 0 "directCntlGnt <= 1'b1;\nmuxDCEn <= 1'b1;"
C 94 92 0 TEXT "Conditions" | 216646,319294 1 0 0 "directCntlReq == 1'b1"
W 92 6 4098 10 91 BEZIER "Transitions" | 225187,358573 226192,342895 228547,312073 229552,296395
S 91 6 8192 ELLIPSE "States" | 230314,289948 6500 6500
L 90 91 0 TEXT "State Labels" | 230314,289948 1 0 0 "SARB_DC\n/2/"
I 89 0 2 Builtin Signal | 141050,528812 "" ""
L 88 89 0 TEXT "Labels" | 144050,528812 1 0 0 "muxDCEn"
L 87 86 0 TEXT "Labels" | 126356,466726 1 0 0 "directCntlCntl[7:0]"
I 86 0 130 Builtin InPort | 120356,466726 "" ""
L 85 84 0 TEXT "Labels" | 126256,471349 1 0 0 "directCntlData[7:0]"
I 84 0 130 Builtin InPort | 120256,471349 "" ""
L 83 82 0 TEXT "Labels" | 126527,461941 1 0 0 "directCntlWEn"
I 82 0 2 Builtin InPort | 120527,461941 "" ""
L 81 80 0 TEXT "Labels" | 126331,452467 1 0 0 "directCntlReq"
I 80 0 2 Builtin InPort | 120331,452467 "" ""
L 81 80 0 TEXT "Labels" | 126331,452467 1 0 0 "directCntlReq"
I 82 0 2 Builtin InPort | 120527,461941 "" ""
L 83 82 0 TEXT "Labels" | 126527,461941 1 0 0 "directCntlWEn"
I 84 0 130 Builtin InPort | 120256,471349 "" ""
L 85 84 0 TEXT "Labels" | 126256,471349 1 0 0 "directCntlData[7:0]"
I 86 0 130 Builtin InPort | 120356,466726 "" ""
L 87 86 0 TEXT "Labels" | 126356,466726 1 0 0 "directCntlCntl[7:0]"
L 88 89 0 TEXT "Labels" | 144050,528812 1 0 0 "muxDCEn"
I 89 0 2 Builtin Signal | 141050,528812 "" ""
L 90 91 0 TEXT "State Labels" | 230314,289948 1 0 0 "SARB_DC\n/2/"
S 91 6 8192 ELLIPSE "States" | 230314,289948 6500 6500
W 92 6 4098 10 91 BEZIER "Transitions" | 225187,358573 226192,342895 228547,312073 229552,296395
C 94 92 0 TEXT "Conditions" | 216646,319294 1 0 0 "directCntlReq == 1'b1"
A 95 92 16 TEXT "Actions" | 205993,310852 1 0 0 "directCntlGnt <= 1'b1;\nmuxDCEn <= 1'b1;"
A 98 96 16 TEXT "Actions" | 290172,290128 1 0 0 "directCntlGnt <= 1'b0;"
C 97 96 0 TEXT "Conditions" | 246245,286904 1 0 0 "directCntlReq == 1'b0"
W 96 6 0 91 10 BEZIER "Transitions" | 235538,286081 238258,285074 242316,283075 251081,282571\
259846,282068 289467,282068 298484,284234 307501,286400\
313949,295065 315460,307759 316972,320453 316568,362568\
102,6 → 104,4
311430,375060 306292,387553 286142,395412 275462,395110\
264783,394808 242215,385739 236069,382112 229924,378486\
228216,373858 227209,371138
C 97 96 0 TEXT "Conditions" | 246245,286904 1 0 0 "directCntlReq == 1'b0"
A 98 96 16 TEXT "Actions" | 290172,290128 1 0 0 "directCntlGnt <= 1'b0;"
END
/trunk/RTL/slaveController/slaveDirectcontrol.v
42,7 → 42,7
//// ////
//////////////////////////////////////////////////////////////////////
//
// $Id: slaveDirectcontrol.v,v 1.2 2004-12-18 14:36:20 sfielding Exp $
// $Id: slaveDirectcontrol.v,v 1.3 2004-12-31 14:40:44 sfielding Exp $
//
// CVS Revision History
//
/trunk/RTL/slaveController/slaveGetpacket.asf
6,7 → 6,7
ENTITY="slaveGetPacket"
FRAMES=ON
FREEOID=280
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// slaveGetPacket\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: slaveGetpacket.asf,v 1.2 2004-12-18 14:36:20 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`include \"usbSerialInterfaceEngine_h.v\"\n`include \"usbConstants_h.v\"\n"
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// slaveGetPacket\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: slaveGetpacket.asf,v 1.3 2004-12-31 14:40:44 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`include \"usbSerialInterfaceEngine_h.v\"\n`include \"usbConstants_h.v\"\n"
END
BUNDLES
B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3333 0 0000 1 "Arial" 0
61,215 → 61,215
GRIDSIZE 0,0 10000,10000
END
OBJECTS
G 275 6 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 81060,118960 1 0 0 "//temp removal of time out\nSIERxTimeOut == 1'b1\nRXTimeOut <= 1'b1;"
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 97950,263700 1 0 0 "Module: slaveGetPacket"
F 6 0 671089152 185 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15236 200200,215950
L 7 6 0 TEXT "Labels" | 19389,212093 1 0 0 "slvGetPkt"
L 8 9 0 TEXT "State Labels" | 74582,196764 1 0 0 "START_GP\n/12/"
S 9 6 57344 ELLIPSE "States" | 74582,196764 6500 6500
L 10 11 0 TEXT "State Labels" | 103150,148136 1 0 0 "WAIT_PKT\n/13/"
S 11 6 61440 ELLIPSE "States" | 103150,148136 6500 6500
L 14 15 0 TEXT "State Labels" | 139950,113336 1 0 0 "CHK_PKT_START\n/14/"
S 15 6 65536 ELLIPSE "States" | 139950,113336 6500 6500
L 277 278 0 TEXT "State Labels" | 44712,168924 1 0 0 "DELAY\n/17/"
S 278 120 90112 ELLIPSE "States" | 44712,168924 6500 6500
W 279 120 0 278 137 BEZIER "Transitions" | 45244,175402 46602,184714 48694,202964 53786,209657\
58879,216350 75631,224113 84458,228187
S 278 120 90112 ELLIPSE "States" | 44712,168924 6500 6500
L 277 278 0 TEXT "State Labels" | 44712,168924 1 0 0 "DELAY\n/17/"
S 15 6 65536 ELLIPSE "States" | 139950,113336 6500 6500
L 14 15 0 TEXT "State Labels" | 139950,113336 1 0 0 "CHK_PKT_START\n/14/"
S 11 6 61440 ELLIPSE "States" | 103150,148136 6500 6500
L 10 11 0 TEXT "State Labels" | 103150,148136 1 0 0 "WAIT_PKT\n/13/"
S 9 6 57344 ELLIPSE "States" | 74582,196764 6500 6500
L 8 9 0 TEXT "State Labels" | 74582,196764 1 0 0 "START_GP\n/12/"
L 7 6 0 TEXT "Labels" | 19389,212093 1 0 0 "slvGetPkt"
F 6 0 671089152 185 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15236 200200,215950
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 97950,263700 1 0 0 "Module: slaveGetPacket"
G 275 6 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 81060,118960 1 0 0 "//temp removal of time out\nSIERxTimeOut == 1'b1\nRXTimeOut <= 1'b1;"
W 18 6 0 11 15 BEZIER "Transitions" | 107724,143520 114924,137020 128014,124286 135214,117786
C 20 18 0 TEXT "Conditions" | 110328,141940 1 0 0 "RXDataValid == 1'b1"
L 22 23 0 TEXT "State Labels" | 103550,184536 1 0 0 "WAIT_EN\n/15/"
S 23 6 69632 ELLIPSE "States" | 103550,184536 6500 6500
W 24 6 0 9 23 BEZIER "Transitions" | 80937,195399 85165,197611 97342,194836 103310,191016
W 25 6 0 23 11 BEZIER "Transitions" | 103028,178064 102828,172064 102811,160604 102611,154604
C 26 25 0 TEXT "Conditions" | 87910,175600 1 0 0 "getPacketEn == 1'b1"
A 30 23 4 TEXT "Actions" | 121604,184804 1 0 0 "RXPacketRdy <= 1'b0;"
A 31 18 16 TEXT "Actions" | 117968,133698 1 0 0 "RXByte <= RXDataIn;\nRXStreamStatus <= RXStreamStatusIn;"
A 30 23 4 TEXT "Actions" | 121604,184804 1 0 0 "RXPacketRdy <= 1'b0;"
C 26 25 0 TEXT "Conditions" | 87910,175600 1 0 0 "getPacketEn == 1'b1"
W 25 6 0 23 11 BEZIER "Transitions" | 103028,178064 102828,172064 102811,160604 102611,154604
W 24 6 0 9 23 BEZIER "Transitions" | 80937,195399 85165,197611 97342,194836 103310,191016
S 23 6 69632 ELLIPSE "States" | 103550,184536 6500 6500
L 22 23 0 TEXT "State Labels" | 103550,184536 1 0 0 "WAIT_EN\n/15/"
C 20 18 0 TEXT "Conditions" | 110328,141940 1 0 0 "RXDataValid == 1'b1"
W 18 6 0 11 15 BEZIER "Transitions" | 107724,143520 114924,137020 128014,124286 135214,117786
C 35 34 0 TEXT "Conditions" | 122487,97401 1 0 0 "RXStreamStatus == `RX_PACKET_START"
W 34 6 8193 15 33 BEZIER "Transitions" | 139672,106864 139470,99693 141572,86202 141370,79031
S 33 6 77828 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 141266,72558 6500 6500
L 32 33 0 TEXT "State Labels" | 141266,72558 1 0 0 "PROC_PKT"
L 39 40 0 TEXT "State Labels" | 106676,27624 1 0 0 "PKT_RDY\n/16/"
S 40 6 73728 ELLIPSE "States" | 106676,27624 6500 6500
H 46 33 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
A 45 44 16 TEXT "Actions" | 155714,31240 1 0 0 "RXTimeOut <= 1'b1;"
W 44 6 8194 15 40 BEZIER "Transitions" | 146436,112921 157397,112582 178653,111583 184472,109549\
190292,107515 191648,100057 191987,92429 192326,84802\
192326,61750 188540,53162 184755,44574 169613,33274\
159556,30336 149499,27398 125714,27614 113171,27388
A 45 44 16 TEXT "Actions" | 155714,31240 1 0 0 "RXTimeOut <= 1'b1;"
H 46 33 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 40 6 73728 ELLIPSE "States" | 106676,27624 6500 6500
L 39 40 0 TEXT "State Labels" | 106676,27624 1 0 0 "PKT_RDY\n/16/"
L 32 33 0 TEXT "State Labels" | 141266,72558 1 0 0 "PROC_PKT"
S 33 6 77828 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 141266,72558 6500 6500
W 34 6 8193 15 33 BEZIER "Transitions" | 139672,106864 139470,99693 141572,86202 141370,79031
C 35 34 0 TEXT "Conditions" | 122487,97401 1 0 0 "RXStreamStatus == `RX_PACKET_START"
C 63 61 0 TEXT "Conditions" | 120868,199573 1 0 0 "RXByte[1:0] == `DATA"
C 62 60 0 TEXT "Conditions" | 58179,193710 1 0 0 "RXByte[1:0] == `HANDSHAKE"
W 61 46 8194 54 58 BEZIER "Transitions" | 106682,215726 120437,200731 146339,171979 160094,156984
W 60 46 8193 54 56 BEZIER "Transitions" | 98533,215553 88273,200670 67711,171725 57451,156842
W 59 46 0 49 54 BEZIER "Transitions" | 52122,248640 63735,242665 85368,230107 96981,224132
S 58 46 8196 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 164600,152300 6500 6500
L 57 58 0 TEXT "State Labels" | 164600,152300 1 0 0 "DATA"
S 56 46 4096 ELLIPSE "States" | 53900,151400 6500 6500
L 55 56 0 TEXT "State Labels" | 53900,151400 1 0 0 "HS\n/1/"
S 54 46 0 ELLIPSE "States" | 102500,220700 6500 6500
L 53 54 0 TEXT "State Labels" | 102500,220700 1 0 0 "CHK_PID\n/0/"
I 49 46 0 Builtin Entry | 47660,248640
I 50 46 0 Builtin Exit | 180308,72140
I 49 46 0 Builtin Entry | 47660,248640
L 53 54 0 TEXT "State Labels" | 102500,220700 1 0 0 "CHK_PID\n/0/"
S 54 46 0 ELLIPSE "States" | 102500,220700 6500 6500
L 55 56 0 TEXT "State Labels" | 53900,151400 1 0 0 "HS\n/1/"
S 56 46 4096 ELLIPSE "States" | 53900,151400 6500 6500
L 57 58 0 TEXT "State Labels" | 164600,152300 1 0 0 "DATA"
S 58 46 8196 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 164600,152300 6500 6500
W 59 46 0 49 54 BEZIER "Transitions" | 52122,248640 63735,242665 85368,230107 96981,224132
W 60 46 8193 54 56 BEZIER "Transitions" | 98533,215553 88273,200670 67711,171725 57451,156842
W 61 46 8194 54 58 BEZIER "Transitions" | 106682,215726 120437,200731 146339,171979 160094,156984
C 62 60 0 TEXT "Conditions" | 58179,193710 1 0 0 "RXByte[1:0] == `HANDSHAKE"
C 63 61 0 TEXT "Conditions" | 120868,199573 1 0 0 "RXByte[1:0] == `DATA"
L 79 80 0 TEXT "State Labels" | 73724,251728 1 0 0 "W_D1\n/2/"
I 76 72 0 Builtin Exit | 187140,27160
I 75 72 0 Builtin Entry | 33260,254940
H 72 58 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
A 71 69 16 TEXT "Actions" | 64339,118484 1 0 0 "RXOverflow <= RXDataIn[`RX_OVERFLOW_BIT];\nACKRxed <= RXDataIn[`ACK_RXED_BIT];"
C 70 69 0 TEXT "Conditions" | 56338,138027 1 0 0 "RXDataValid == 1'b1"
W 69 46 0 56 251 BEZIER "Transitions" | 54000,144905 54225,137689 107734,98899 116203,93057
C 70 69 0 TEXT "Conditions" | 56338,138027 1 0 0 "RXDataValid == 1'b1"
A 71 69 16 TEXT "Actions" | 64339,118484 1 0 0 "RXOverflow <= RXDataIn[`RX_OVERFLOW_BIT];\nACKRxed <= RXDataIn[`ACK_RXED_BIT];"
H 72 58 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 75 72 0 Builtin Entry | 33260,254940
I 76 72 0 Builtin Exit | 187140,27160
L 79 80 0 TEXT "State Labels" | 73724,251728 1 0 0 "W_D1\n/2/"
C 95 93 0 TEXT "Conditions" | 80158,211576 1 0 0 "RXStreamStatus == `RX_PACKET_STREAM"
C 94 92 0 TEXT "Conditions" | 75213,244607 1 0 0 "RXDataValid == 1'b1"
W 93 72 8193 89 91 BEZIER "Transitions" | 76671,212483 76896,208199 77562,200846 77787,196562
W 92 72 0 80 89 BEZIER "Transitions" | 74019,245253 74357,241194 75110,229474 75448,225415
S 91 72 20480 ELLIPSE "States" | 78474,190102 6500 6500
L 90 91 0 TEXT "State Labels" | 78474,190102 1 0 0 "W_D2\n/4/"
S 89 72 16384 ELLIPSE "States" | 76219,218966 6500 6500
L 88 89 0 TEXT "State Labels" | 76219,218966 1 0 0 "CHK_D1\n/3/"
W 87 72 0 75 80 BEZIER "Transitions" | 37722,254940 43021,249077 61954,258197 67253,252334
S 80 72 12288 ELLIPSE "States" | 73724,251728 6500 6500
W 87 72 0 75 80 BEZIER "Transitions" | 37722,254940 43021,249077 61954,258197 67253,252334
L 88 89 0 TEXT "State Labels" | 76219,218966 1 0 0 "CHK_D1\n/3/"
S 89 72 16384 ELLIPSE "States" | 76219,218966 6500 6500
L 90 91 0 TEXT "State Labels" | 78474,190102 1 0 0 "W_D2\n/4/"
S 91 72 20480 ELLIPSE "States" | 78474,190102 6500 6500
W 92 72 0 80 89 BEZIER "Transitions" | 74019,245253 74357,241194 75110,229474 75448,225415
W 93 72 8193 89 91 BEZIER "Transitions" | 76671,212483 76896,208199 77562,200846 77787,196562
C 94 92 0 TEXT "Conditions" | 75213,244607 1 0 0 "RXDataValid == 1'b1"
C 95 93 0 TEXT "Conditions" | 80158,211576 1 0 0 "RXStreamStatus == `RX_PACKET_STREAM"
L 111 110 0 TEXT "State Labels" | 88335,98360 1 0 0 "CHK_D3\n/8/"
S 110 72 36864 ELLIPSE "States" | 88335,98360 6500 6500
W 98 72 8194 89 97 BEZIER "Transitions" | 69883,217517 58947,215375 37094,210735 31682,199460\
26270,188186 26497,147369 28526,126511 30555,105653\
38448,63032 43352,51475 48257,39919 60065,36353\
65928,34549
S 97 72 24576 ELLIPSE "States" | 72160,32703 6500 6500
L 96 97 0 TEXT "State Labels" | 72160,32703 1 0 0 "FIN\n/5/"
A 99 92 16 TEXT "Actions" | 65099,238365 1 0 0 "RXByte <= RXDataIn;\nRXStreamStatus <= RXStreamStatusIn;"
S 100 72 28672 ELLIPSE "States" | 81935,158660 6500 6500
L 101 100 0 TEXT "State Labels" | 81935,158660 1 0 0 "CHK_D2\n/6/"
S 102 72 32768 ELLIPSE "States" | 84190,129796 6500 6500
L 103 102 0 TEXT "State Labels" | 84190,129796 1 0 0 "W_D3\n/7/"
W 104 72 0 91 100 BEZIER "Transitions" | 78991,183628 79329,179569 80970,169186 81308,165127
W 105 72 8193 100 102 BEZIER "Transitions" | 82387,152177 82612,147893 83278,140540 83503,136256
C 106 104 0 TEXT "Conditions" | 83294,185177 1 0 0 "RXDataValid == 1'b1"
C 107 105 0 TEXT "Conditions" | 86926,150786 1 0 0 "RXStreamStatus == `RX_PACKET_STREAM"
A 108 104 16 TEXT "Actions" | 70336,179814 1 0 0 "RXByte <= RXDataIn;\nRXStreamStatus <= RXStreamStatusIn;"
W 109 72 8194 100 97 BEZIER "Transitions" | 75612,157154 66950,155917 49612,152612 44747,149322\
39882,146032 37743,135343 38221,127384 38700,119425\
42750,98275 45281,87925 47812,77575 53888,57325\
56840,51109 59793,44894 65013,39901 67881,37595
A 108 104 16 TEXT "Actions" | 70336,179814 1 0 0 "RXByte <= RXDataIn;\nRXStreamStatus <= RXStreamStatusIn;"
C 107 105 0 TEXT "Conditions" | 86926,150786 1 0 0 "RXStreamStatus == `RX_PACKET_STREAM"
C 106 104 0 TEXT "Conditions" | 83294,185177 1 0 0 "RXDataValid == 1'b1"
W 105 72 8193 100 102 BEZIER "Transitions" | 82387,152177 82612,147893 83278,140540 83503,136256
W 104 72 0 91 100 BEZIER "Transitions" | 78991,183628 79329,179569 80970,169186 81308,165127
L 103 102 0 TEXT "State Labels" | 84190,129796 1 0 0 "W_D3\n/7/"
S 102 72 32768 ELLIPSE "States" | 84190,129796 6500 6500
L 101 100 0 TEXT "State Labels" | 81935,158660 1 0 0 "CHK_D2\n/6/"
S 100 72 28672 ELLIPSE "States" | 81935,158660 6500 6500
A 99 92 16 TEXT "Actions" | 65099,238365 1 0 0 "RXByte <= RXDataIn;\nRXStreamStatus <= RXStreamStatusIn;"
L 96 97 0 TEXT "State Labels" | 72160,32703 1 0 0 "FIN\n/5/"
S 97 72 24576 ELLIPSE "States" | 72160,32703 6500 6500
W 98 72 8194 89 97 BEZIER "Transitions" | 69883,217517 58947,215375 37094,210735 31682,199460\
26270,188186 26497,147369 28526,126511 30555,105653\
38448,63032 43352,51475 48257,39919 60065,36353\
65928,34549
I 124 120 0 Builtin Exit | 117012,100084
I 123 120 0 Builtin Entry | 33260,254940
H 120 112 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 110 72 36864 ELLIPSE "States" | 88335,98360 6500 6500
L 111 110 0 TEXT "State Labels" | 88335,98360 1 0 0 "CHK_D3\n/8/"
S 112 72 40964 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 90590,69496 6500 6500
L 113 112 0 TEXT "State Labels" | 90590,69496 1 0 0 "LOOP"
W 114 72 0 102 110 BEZIER "Transitions" | 84969,123346 85307,119287 87370,108886 87708,104827
W 115 72 8193 110 112 BEZIER "Transitions" | 88787,91877 89012,87593 89678,80240 89903,75956
C 116 114 0 TEXT "Conditions" | 89464,124470 1 0 0 "RXDataValid == 1'b1"
C 117 115 0 TEXT "Conditions" | 93326,90938 1 0 0 "RXStreamStatus == `RX_PACKET_STREAM"
A 118 114 16 TEXT "Actions" | 76583,119322 1 0 0 "RXByte <= RXDataIn;\nRXStreamStatus <= RXStreamStatusIn;"
W 119 72 8194 110 97 BEZIER "Transitions" | 81900,97446 75007,95299 61133,92159 58082,88882\
55031,85605 56613,76791 58364,71028 60116,65265\
65540,51027 67235,46846 68930,42665 69902,40249\
70580,39006
A 118 114 16 TEXT "Actions" | 76583,119322 1 0 0 "RXByte <= RXDataIn;\nRXStreamStatus <= RXStreamStatusIn;"
C 117 115 0 TEXT "Conditions" | 93326,90938 1 0 0 "RXStreamStatus == `RX_PACKET_STREAM"
C 116 114 0 TEXT "Conditions" | 89464,124470 1 0 0 "RXDataValid == 1'b1"
W 115 72 8193 110 112 BEZIER "Transitions" | 88787,91877 89012,87593 89678,80240 89903,75956
W 114 72 0 102 110 BEZIER "Transitions" | 84969,123346 85307,119287 87370,108886 87708,104827
L 113 112 0 TEXT "State Labels" | 90590,69496 1 0 0 "LOOP"
S 112 72 40964 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 90590,69496 6500 6500
H 120 112 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 123 120 0 Builtin Entry | 33260,254940
I 124 120 0 Builtin Exit | 117012,100084
W 131 120 0 150 245 BEZIER "Transitions" | 98038,146091 98376,140997 99442,128853 99780,125829
C 133 131 0 TEXT "Conditions" | 102150,147411 1 0 0 "RXDataValid == 1'b1"
A 135 131 16 TEXT "Actions" | 89016,138242 1 0 0 "RXByte <= RXDataIn;"
L 136 137 0 TEXT "State Labels" | 90351,230929 1 0 0 "CHK_FIFO\n/9/"
S 137 120 45056 ELLIPSE "States" | 90351,230929 6500 6500
W 140 120 0 123 137 BEZIER "Transitions" | 37733,254940 42422,250307 79990,238736 84679,234103
L 141 142 0 TEXT "State Labels" | 158244,197584 1 0 0 "FIFO_FULL\n/10/"
S 142 120 49152 ELLIPSE "States" | 158244,197584 6500 6500
W 143 120 8193 137 142 BEZIER "Transitions" | 96691,229500 102906,228257 113837,225951 118244,222730\
122651,219510 150577,206851 153176,201653
S 142 120 49152 ELLIPSE "States" | 158244,197584 6500 6500
L 141 142 0 TEXT "State Labels" | 158244,197584 1 0 0 "FIFO_FULL\n/10/"
W 140 120 0 123 137 BEZIER "Transitions" | 37733,254940 42422,250307 79990,238736 84679,234103
S 137 120 45056 ELLIPSE "States" | 90351,230929 6500 6500
L 136 137 0 TEXT "State Labels" | 90351,230929 1 0 0 "CHK_FIFO\n/9/"
A 135 131 16 TEXT "Actions" | 89016,138242 1 0 0 "RXByte <= RXDataIn;"
C 133 131 0 TEXT "Conditions" | 102150,147411 1 0 0 "RXDataValid == 1'b1"
W 131 120 0 150 245 BEZIER "Transitions" | 98038,146091 98376,140997 99442,128853 99780,125829
W 159 72 0 112 97 BEZIER "Transitions" | 87959,63554 84795,57000 78577,44883 75413,38329
A 158 150 4 TEXT "Actions" | 115287,153927 1 0 0 "RXFifoWEn <= 1'b0;"
W 157 120 8194 245 124 BEZIER "Transitions" | 102288,119530 105695,116239 110493,103375 113900,100084
C 156 154 0 TEXT "Conditions" | 23220,122661 1 0 0 "RXStreamStatusIn == `RX_PACKET_STREAM"
W 154 120 8193 245 278 BEZIER "Transitions" | 96734,122505 61148,129409 49991,142018 45914,162537
C 144 143 0 TEXT "Conditions" | 107923,229678 1 0 0 "RXFifoFull == 1'b1"
W 145 120 8194 137 150 BEZIER "Transitions" | 90837,224456 91407,218984 95945,164426 96515,158954
A 146 145 16 TEXT "Actions" | 79219,190029 1 0 0 "RXFifoWEn <= 1'b1;\nRXFifoData <= RXByteOldest;\nRXByteOldest <= RXByteOld;\nRXByteOld <= RXByte;"
A 147 143 16 TEXT "Actions" | 138187,216811 1 0 0 "RXOverflow <= 1'b1;"
L 149 150 0 TEXT "State Labels" | 97690,152564 1 0 0 "W_D\n/11/"
S 150 120 53248 ELLIPSE "States" | 97690,152564 6500 6500
W 152 120 0 142 150 BEZIER "Transitions" | 155717,191596 153885,185528 149630,173716 143103,169022\
136577,164328 115116,157816 103895,154496
S 150 120 53248 ELLIPSE "States" | 97690,152564 6500 6500
L 149 150 0 TEXT "State Labels" | 97690,152564 1 0 0 "W_D\n/11/"
A 147 143 16 TEXT "Actions" | 138187,216811 1 0 0 "RXOverflow <= 1'b1;"
A 146 145 16 TEXT "Actions" | 79219,190029 1 0 0 "RXFifoWEn <= 1'b1;\nRXFifoData <= RXByteOldest;\nRXByteOldest <= RXByteOld;\nRXByteOld <= RXByte;"
W 145 120 8194 137 150 BEZIER "Transitions" | 90837,224456 91407,218984 95945,164426 96515,158954
C 144 143 0 TEXT "Conditions" | 107923,229678 1 0 0 "RXFifoFull == 1'b1"
W 154 120 8193 245 278 BEZIER "Transitions" | 96734,122505 61148,129409 49991,142018 45914,162537
C 156 154 0 TEXT "Conditions" | 23220,122661 1 0 0 "RXStreamStatusIn == `RX_PACKET_STREAM"
W 157 120 8194 245 124 BEZIER "Transitions" | 102288,119530 105695,116239 110493,103375 113900,100084
A 158 150 4 TEXT "Actions" | 115287,153927 1 0 0 "RXFifoWEn <= 1'b0;"
W 159 72 0 112 97 BEZIER "Transitions" | 87959,63554 84795,57000 78577,44883 75413,38329
A 161 97 4 TEXT "Actions" | 87384,48020 1 0 0 "CRCError <= RXByte[`CRC_ERROR_BIT];\nbitStuffError <= RXByte[`BIT_STUFF_ERROR_BIT];\ndataSequence <= RXByte[`DATA_SEQUENCE_BIT];"
A 162 105 16 TEXT "Actions" | 77440,144748 1 0 0 "RXByteOld <= RXByte;"
W 164 72 0 97 76 BEZIER "Transitions" | 73991,26470 75920,25222 78202,22776 88955,21953\
99709,21131 138868,20336 151863,21045 164858,21755\
177624,25344 184036,27160
I 169 6 0 Builtin Reset | 40672,207751
W 170 6 0 169 9 BEZIER "Transitions" | 40672,207751 50149,206219 60549,203961 70258,201617
A 173 40 4 TEXT "Actions" | 128094,45724 1 0 0 "RXPacketRdy <= 1'b1;"
W 175 46 0 251 50 BEZIER "Transitions" | 120677,87962 123728,84233 127725,73445 133205,71354\
138686,69264 146640,68588 151838,68757 157036,68927\
164174,70167 165417,70562 166660,70958 172486,71065\
172450,70926 172415,70788 176807,72082 177204,72140
A 173 40 4 TEXT "Actions" | 128094,45724 1 0 0 "RXPacketRdy <= 1'b1;"
W 170 6 0 169 9 BEZIER "Transitions" | 40672,207751 50149,206219 60549,203961 70258,201617
I 169 6 0 Builtin Reset | 40672,207751
W 164 72 0 97 76 BEZIER "Transitions" | 73991,26470 75920,25222 78202,22776 88955,21953\
99709,21131 138868,20336 151863,21045 164858,21755\
177624,25344 184036,27160
A 162 105 16 TEXT "Actions" | 77440,144748 1 0 0 "RXByteOld <= RXByte;"
A 161 97 4 TEXT "Actions" | 87384,48020 1 0 0 "CRCError <= RXByte[`CRC_ERROR_BIT];\nbitStuffError <= RXByte[`BIT_STUFF_ERROR_BIT];\ndataSequence <= RXByte[`DATA_SEQUENCE_BIT];"
I 191 0 130 Builtin InPort | 114421,225994 "" ""
I 190 0 130 Builtin InPort | 114408,221254 "" ""
L 189 190 0 TEXT "Labels" | 120408,221254 1 0 0 "RXStreamStatusIn[7:0]"
C 188 170 0 TEXT "Conditions" | 56486,202566 1 0 0 "rst"
I 187 0 2 Builtin InPort | 140242,259912 "" ""
L 186 187 0 TEXT "Labels" | 146242,259912 1 0 0 "rst"
I 185 0 3 Builtin InPort | 140253,265199 "" ""
L 184 185 0 TEXT "Labels" | 146253,265199 1 0 0 "clk"
I 183 0 2 Builtin InPort | 114228,230646 "" ""
L 182 183 0 TEXT "Labels" | 120228,230646 1 0 0 "RXDataValid"
I 181 0 2 Builtin OutPort | 117932,252596 "" ""
L 180 181 0 TEXT "Labels" | 123932,252596 1 0 0 "RXPacketRdy"
I 179 0 2 Builtin InPort | 120132,247896 "" ""
L 178 179 0 TEXT "Labels" | 126132,247896 1 0 0 "getPacketEn"
W 176 46 0 58 251 BEZIER "Transitions" | 162954,146013 160327,135160 154521,114308 149780,107568\
145039,100828 129179,95043 122324,92416
W 177 46 8195 54 251 BEZIER "Transitions" | 108942,219837 124822,217895 156122,213249 166404,209593\
176686,205938 186055,195197 188340,185143 190625,175090\
190396,145613 187654,132589 184913,119565 174172,96942\
167317,90830 160463,84718 143756,82720 138170,83176\
132585,83633 124984,88032 122129,89345
W 176 46 0 58 251 BEZIER "Transitions" | 162954,146013 160327,135160 154521,114308 149780,107568\
145039,100828 129179,95043 122324,92416
L 178 179 0 TEXT "Labels" | 126132,247896 1 0 0 "getPacketEn"
I 179 0 2 Builtin InPort | 120132,247896 "" ""
L 180 181 0 TEXT "Labels" | 123932,252596 1 0 0 "RXPacketRdy"
I 181 0 2 Builtin OutPort | 117932,252596 "" ""
L 182 183 0 TEXT "Labels" | 120228,230646 1 0 0 "RXDataValid"
I 183 0 2 Builtin InPort | 114228,230646 "" ""
L 184 185 0 TEXT "Labels" | 146253,265199 1 0 0 "clk"
I 185 0 3 Builtin InPort | 140253,265199 "" ""
L 186 187 0 TEXT "Labels" | 146242,259912 1 0 0 "rst"
I 187 0 2 Builtin InPort | 140242,259912 "" ""
C 188 170 0 TEXT "Conditions" | 56486,202566 1 0 0 "rst"
L 189 190 0 TEXT "Labels" | 120408,221254 1 0 0 "RXStreamStatusIn[7:0]"
I 190 0 130 Builtin InPort | 114408,221254 "" ""
I 191 0 130 Builtin InPort | 114421,225994 "" ""
L 192 191 0 TEXT "Labels" | 120421,225994 1 0 0 "RXDataIn[7:0]"
L 193 194 0 TEXT "Labels" | 85500,237048 1 0 0 "SIERxTimeOut"
I 194 0 2 Builtin InPort | 79500,237048 "" ""
K 195 194 0 TEXT "Comments" | 107584,237032 1 0 0 "Single cycle pulse"
L 196 197 0 TEXT "Labels" | 22204,221408 1 0 0 "RXByte[7:0]"
I 197 0 130 Builtin Signal | 19204,221408 "" ""
L 196 197 0 TEXT "Labels" | 22204,221408 1 0 0 "RXByte[7:0]"
K 195 194 0 TEXT "Comments" | 107584,237032 1 0 0 "Single cycle pulse"
I 194 0 2 Builtin InPort | 79500,237048 "" ""
L 193 194 0 TEXT "Labels" | 85500,237048 1 0 0 "SIERxTimeOut"
L 192 191 0 TEXT "Labels" | 120421,225994 1 0 0 "RXDataIn[7:0]"
I 216 0 130 Builtin Signal | 19488,226184 "" ""
L 217 216 0 TEXT "Labels" | 22488,226184 1 0 0 "RXStreamStatus[7:0]"
A 219 9 2 TEXT "Actions" | 18096,193444 1 0 0 "RXPacketRdy <= 1'b0;\nRXFifoWEn <= 1'b0;\nRXFifoData <= 8'h00;\nRXByteOld <= 8'h00;\nRXByteOldest <= 8'h00;\nCRCError <= 1'b0;\nbitStuffError <= 1'b0; \nRXOverflow <= 1'b0; \nRXTimeOut <= 1'b0;\nACKRxed <= 1'b0;\ndataSequence <= 1'b0;\nRxPID <= 4'h0;\nRXByte <= 8'h00;\nRXStreamStatus <= 8'h00;"
A 220 11 4 TEXT "Actions" | 125976,177552 1 0 0 "CRCError <= 1'b0;\nbitStuffError <= 1'b0; \nRXOverflow <= 1'b0; \nRXTimeOut <= 1'b0;\nACKRxed <= 1'b0;\ndataSequence <= 1'b0;"
L 221 222 0 TEXT "Labels" | 55956,259852 1 0 0 "RXByteOld[7:0]"
I 222 0 130 Builtin Signal | 52956,259852 "" ""
L 221 222 0 TEXT "Labels" | 55956,259852 1 0 0 "RXByteOld[7:0]"
A 220 11 4 TEXT "Actions" | 125976,177552 1 0 0 "CRCError <= 1'b0;\nbitStuffError <= 1'b0; \nRXOverflow <= 1'b0; \nRXTimeOut <= 1'b0;\nACKRxed <= 1'b0;\ndataSequence <= 1'b0;"
A 219 9 2 TEXT "Actions" | 18096,193444 1 0 0 "RXPacketRdy <= 1'b0;\nRXFifoWEn <= 1'b0;\nRXFifoData <= 8'h00;\nRXByteOld <= 8'h00;\nRXByteOldest <= 8'h00;\nCRCError <= 1'b0;\nbitStuffError <= 1'b0; \nRXOverflow <= 1'b0; \nRXTimeOut <= 1'b0;\nACKRxed <= 1'b0;\ndataSequence <= 1'b0;\nRxPID <= 4'h0;\nRXByte <= 8'h00;\nRXStreamStatus <= 8'h00;"
L 217 216 0 TEXT "Labels" | 22488,226184 1 0 0 "RXStreamStatus[7:0]"
I 216 0 130 Builtin Signal | 19488,226184 "" ""
W 239 6 0 33 40 BEZIER "Transitions" | 136428,68218 129381,59170 116484,42555 109437,33507
I 238 0 130 Builtin OutPort | 77500,221804 "" ""
L 237 238 0 TEXT "Labels" | 83500,221804 1 0 0 "RxPID[3:0]"
A 236 34 16 TEXT "Actions" | 139592,90533 1 0 0 "RxPID <= RXByte[3:0];"
I 225 0 130 Builtin Signal | 52956,265100 "" ""
L 226 225 0 TEXT "Labels" | 55956,265100 1 0 0 "RXByteOldest[7:0]"
L 227 228 0 TEXT "Labels" | 85868,253240 1 0 0 "RXFifoFull"
I 228 0 2 Builtin InPort | 79868,253240 "" ""
L 229 230 0 TEXT "Labels" | 83548,248252 1 0 0 "RXFifoWEn"
I 230 0 2 Builtin OutPort | 77548,248252 "" ""
L 231 232 0 TEXT "Labels" | 83780,242452 1 0 0 "RXFifoData[7:0]"
I 232 0 130 Builtin OutPort | 77780,242452 "" ""
L 231 232 0 TEXT "Labels" | 83780,242452 1 0 0 "RXFifoData[7:0]"
I 230 0 2 Builtin OutPort | 77548,248252 "" ""
L 229 230 0 TEXT "Labels" | 83548,248252 1 0 0 "RXFifoWEn"
I 228 0 2 Builtin InPort | 79868,253240 "" ""
L 227 228 0 TEXT "Labels" | 85868,253240 1 0 0 "RXFifoFull"
L 226 225 0 TEXT "Labels" | 55956,265100 1 0 0 "RXByteOldest[7:0]"
I 225 0 130 Builtin Signal | 52956,265100 "" ""
A 236 34 16 TEXT "Actions" | 139592,90533 1 0 0 "RxPID <= RXByte[3:0];"
L 237 238 0 TEXT "Labels" | 83500,221804 1 0 0 "RxPID[3:0]"
I 238 0 130 Builtin OutPort | 77500,221804 "" ""
W 239 6 0 33 40 BEZIER "Transitions" | 136428,68218 129381,59170 116484,42555 109437,33507
A 243 93 16 TEXT "Actions" | 70474,205339 1 0 0 "RXByteOldest <= RXByte;"
W 255 252 0 253 254 BEZIER "Transitions" | 90822,167640 102992,150317 114266,129084 126436,111760
I 254 252 0 Builtin Exit | 129540,111760
I 253 252 0 Builtin Entry | 86360,167640
H 252 251 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 251 46 86036 ELLIPSE "Junction" | 119090,91080 3500 3500
L 250 251 0 TEXT "State Labels" | 119090,91080 1 0 0 "J2"
W 249 246 0 247 248 BEZIER "Transitions" | 90822,167640 102992,150317 114266,129084 126436,111760
I 248 246 0 Builtin Exit | 129540,111760
I 247 246 0 Builtin Entry | 86360,167640
H 246 245 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 245 120 81940 ELLIPSE "Junction" | 100230,122360 3500 3500
L 244 245 0 TEXT "State Labels" | 100230,122360 1 0 0 "J1"
W 240 6 0 40 23 BEZIER "Transitions" | 100228,28439 96139,31658 88201,35365 84938,41063\
81676,46762 76804,63118 74237,72992 71671,82867\
66277,106009 65842,118015 65407,130021 69061,154903\
71671,163168 74281,171433 81067,179611 84373,181742\
87679,183874 93835,184146 97054,184320
L 244 245 0 TEXT "State Labels" | 100230,122360 1 0 0 "J1"
S 245 120 81940 ELLIPSE "Junction" | 100230,122360 3500 3500
H 246 245 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 247 246 0 Builtin Entry | 86360,167640
I 248 246 0 Builtin Exit | 129540,111760
W 249 246 0 247 248 BEZIER "Transitions" | 90822,167640 102992,150317 114266,129084 126436,111760
L 250 251 0 TEXT "State Labels" | 119090,91080 1 0 0 "J2"
S 251 46 86036 ELLIPSE "Junction" | 119090,91080 3500 3500
H 252 251 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
I 253 252 0 Builtin Entry | 86360,167640
I 254 252 0 Builtin Exit | 129540,111760
W 255 252 0 253 254 BEZIER "Transitions" | 90822,167640 102992,150317 114266,129084 126436,111760
A 243 93 16 TEXT "Actions" | 70474,205339 1 0 0 "RXByteOldest <= RXByte;"
L 256 257 0 TEXT "Labels" | 22740,264964 1 0 0 "dataSequence"
I 257 0 2 Builtin OutPort | 16740,264964 "" ""
L 258 259 0 TEXT "Labels" | 22740,260356 1 0 0 "bitStuffError"
I 259 0 2 Builtin OutPort | 16740,260356 "" ""
L 260 261 0 TEXT "Labels" | 22740,255748 1 0 0 "CRCError"
I 261 0 2 Builtin OutPort | 16740,255748 "" ""
L 262 263 0 TEXT "Labels" | 22484,251396 1 0 0 "RXTimeOut"
I 263 0 2 Builtin OutPort | 16484,251396 "" ""
L 264 265 0 TEXT "Labels" | 22484,246788 1 0 0 "RXOverflow"
I 265 0 2 Builtin OutPort | 16484,246788 "" ""
L 266 267 0 TEXT "Labels" | 22484,242180 1 0 0 "ACKRxed"
I 267 0 2 Builtin OutPort | 16484,242180 "" ""
L 266 267 0 TEXT "Labels" | 22484,242180 1 0 0 "ACKRxed"
I 265 0 2 Builtin OutPort | 16484,246788 "" ""
L 264 265 0 TEXT "Labels" | 22484,246788 1 0 0 "RXOverflow"
I 263 0 2 Builtin OutPort | 16484,251396 "" ""
L 262 263 0 TEXT "Labels" | 22484,251396 1 0 0 "RXTimeOut"
I 261 0 2 Builtin OutPort | 16740,255748 "" ""
L 260 261 0 TEXT "Labels" | 22740,255748 1 0 0 "CRCError"
I 259 0 2 Builtin OutPort | 16740,260356 "" ""
L 258 259 0 TEXT "Labels" | 22740,260356 1 0 0 "bitStuffError"
I 257 0 2 Builtin OutPort | 16740,264964 "" ""
L 256 257 0 TEXT "Labels" | 22740,264964 1 0 0 "dataSequence"
END
/trunk/RTL/slaveController/slaveDirectcontrol.asf
6,7 → 6,7
ENTITY="slaveDirectControl"
FRAMES=ON
FREEOID=180
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// slaveDirectControl\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: slaveDirectcontrol.asf,v 1.2 2004-12-18 14:36:20 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n"
"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// slaveDirectControl\n//// ////\n//// This file is part of the usbhostslave opencores effort.\n//// http://www.opencores.org/cores/usbhostslave/ ////\n//// ////\n//// Module Description: ////\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from http://www.opencores.org/lgpl.shtml ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n// $Id: slaveDirectcontrol.asf,v 1.3 2004-12-31 14:40:44 sfielding Exp $\n//\n// CVS Revision History\n//\n// $Log: not supported by cvs2svn $\n//\n`timescale 1ns / 1ps\n`include \"usbSerialInterfaceEngine_h.v\"\n"
END
BUNDLES
B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3333 0 0000 1 "Arial" 0
43,91 → 43,91
GRIDSIZE 0,0 10000,10000
END
OBJECTS
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 97950,263700 1 0 0 "Module: slaveDirectControl"
A 5 0 1 TEXT "Actions" | 17700,253700 1 0 0 "// diagram ACTION"
F 6 0 671089152 16 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,233700
L 7 6 0 TEXT "Labels" | 18700,230700 1 0 0 "slvDrctCntl"
L 8 9 0 TEXT "State Labels" | 100900,212200 1 0 0 "START_SDC\n/0/"
S 9 6 0 ELLIPSE "States" | 100900,212200 6500 6500
L 10 11 0 TEXT "State Labels" | 102500,176200 1 0 0 "CHK_DRCT_CNTL\n/1/"
S 11 6 4096 ELLIPSE "States" | 102500,176200 6500 6500
I 13 6 0 Builtin Reset | 48900,215400
W 14 6 0 13 9 BEZIER "Transitions" | 48900,215400 60300,214600 83007,213291 94407,212491
L 15 16 0 TEXT "Labels" | 187300,263800 1 0 0 "clk"
W 14 6 0 13 9 BEZIER "Transitions" | 48900,215400 60300,214600 83007,213291 94407,212491
I 13 6 0 Builtin Reset | 48900,215400
S 11 6 4096 ELLIPSE "States" | 102500,176200 6500 6500
L 10 11 0 TEXT "State Labels" | 102500,176200 1 0 0 "CHK_DRCT_CNTL\n/1/"
S 9 6 0 ELLIPSE "States" | 100900,212200 6500 6500
L 8 9 0 TEXT "State Labels" | 100900,212200 1 0 0 "START_SDC\n/0/"
L 7 6 0 TEXT "Labels" | 18700,230700 1 0 0 "slvDrctCntl"
F 6 0 671089152 16 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,233700
A 5 0 1 TEXT "Actions" | 17700,253700 1 0 0 "// diagram ACTION"
G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 0 "Arial" 0 | 97950,263700 1 0 0 "Module: slaveDirectControl"
I 16 0 3 Builtin InPort | 181300,263800 "" ""
L 17 18 0 TEXT "Labels" | 187500,257400 1 0 0 "rst"
I 18 0 2 Builtin InPort | 181500,257400 "" ""
C 19 14 0 TEXT "Conditions" | 76744,213569 1 0 0 "rst"
L 20 21 0 TEXT "Labels" | 63252,239123 1 0 0 "directControlEn"
I 21 0 2 Builtin InPort | 57252,239123 "" ""
W 26 6 0 9 11 BEZIER "Transitions" | 100525,205718 101125,199618 101292,188766 101892,182666
W 27 6 8193 11 78 BEZIER "Transitions" | 99393,170493 94693,161093 75357,144887 70657,135487
C 28 27 0 TEXT "Conditions" | 80136,160617 1 0 0 "directControlEn == 1'b1"
W 27 6 8193 11 78 BEZIER "Transitions" | 99393,170493 94693,161093 75357,144887 70657,135487
W 26 6 0 9 11 BEZIER "Transitions" | 100525,205718 101125,199618 101292,188766 101892,182666
I 21 0 2 Builtin InPort | 57252,239123 "" ""
L 20 21 0 TEXT "Labels" | 63252,239123 1 0 0 "directControlEn"
C 19 14 0 TEXT "Conditions" | 76744,213569 1 0 0 "rst"
I 18 0 2 Builtin InPort | 181500,257400 "" ""
L 17 18 0 TEXT "Labels" | 187500,257400 1 0 0 "rst"
I 16 0 3 Builtin InPort | 181300,263800 "" ""
W 51 6 8194 11 127 BEZIER "Transitions" | 108159,173005 122851,164817 139855,136277 144754,128309
L 77 78 0 TEXT "State Labels" | 68590,129326 1 0 0 "DRCT_CNTL"
S 78 6 8196 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 68590,129326 6500 6500
H 79 78 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
S 78 6 8196 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 68590,129326 6500 6500
L 77 78 0 TEXT "State Labels" | 68590,129326 1 0 0 "DRCT_CNTL"
W 95 79 0 102 93 BEZIER "Transitions" | 65496,102474 65896,97574 67230,81067 67630,76167
A 94 93 4 TEXT "Actions" | 87021,72145 1 0 0 "SCTxPortWEn <= 1'b0;"
S 93 79 16384 ELLIPSE "States" | 68621,69745 6500 6500
W 88 79 4096 124 90 BEZIER "Transitions" | 105569,175900 100869,166500 70569,161175 65869,151775
S 90 79 12288 ELLIPSE "States" | 62621,146145 6500 6500
L 91 90 0 TEXT "State Labels" | 62621,146145 1 0 0 "WAIT_GNT\n/2/"
W 92 79 8194 93 102 BEZIER "Transitions" | 62907,72842 59107,76242 50421,81945 48421,85645\
46421,89345 46021,97345 47471,100295 48921,103245\
55748,105011 58848,106911
L 91 90 0 TEXT "State Labels" | 62621,146145 1 0 0 "WAIT_GNT\n/2/"
S 90 79 12288 ELLIPSE "States" | 62621,146145 6500 6500
W 88 79 4096 124 90 BEZIER "Transitions" | 105569,175900 100869,166500 70569,161175 65869,151775
S 93 79 16384 ELLIPSE "States" | 68621,69745 6500 6500
A 94 93 4 TEXT "Actions" | 87021,72145 1 0 0 "SCTxPortWEn <= 1'b0;"
W 95 79 0 102 93 BEZIER "Transitions" | 65496,102474 65896,97574 67230,81067 67630,76167
A 96 95 16 TEXT "Actions" | 62372,93902 1 0 0 "SCTxPortWEn <= 1'b1; \nSCTxPortData <= {6'b000000, directControlLineState}; \nSCTxPortCntl <= `TX_DIRECT_CONTROL;"
C 97 95 0 TEXT "Conditions" | 67437,101104 1 0 0 "SCTxPortRdy == 1'b1"
L 98 93 0 TEXT "State Labels" | 68621,69745 1 0 0 "CHK_LOOP\n/3/"
W 99 79 0 90 102 BEZIER "Transitions" | 62834,139649 63234,133449 64005,121613 64405,115413
C 100 99 0 TEXT "Conditions" | 62221,136545 1 0 0 "SCTxPortGnt == 1'b1"
S 102 79 20480 ELLIPSE "States" | 65021,108945 6500 6500
L 103 102 0 TEXT "State Labels" | 65021,108945 1 0 0 "WAIT_RDY\n/4/"
S 102 79 20480 ELLIPSE "States" | 65021,108945 6500 6500
C 100 99 0 TEXT "Conditions" | 62221,136545 1 0 0 "SCTxPortGnt == 1'b1"
W 99 79 0 90 102 BEZIER "Transitions" | 62834,139649 63234,133449 64005,121613 64405,115413
L 98 93 0 TEXT "State Labels" | 68621,69745 1 0 0 "CHK_LOOP\n/3/"
C 97 95 0 TEXT "Conditions" | 67437,101104 1 0 0 "SCTxPortRdy == 1'b1"
A 96 95 16 TEXT "Actions" | 62372,93902 1 0 0 "SCTxPortWEn <= 1'b1; \nSCTxPortData <= {6'b000000, directControlLineState}; \nSCTxPortCntl <= `TX_DIRECT_CONTROL;"
S 127 6 24580 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 147819,122579 6500 6500
L 126 127 0 TEXT "State Labels" | 147819,122579 1 0 0 "IDLE"
I 122 79 0 Builtin Exit | 138103,36586
I 124 79 0 Builtin Entry | 109800,175900
W 125 6 0 78 11 BEZIER "Transitions" | 62548,131721 58511,135864 49941,141807 48613,147491\
47285,153175 50048,167625 56316,171290 62585,174956\
84856,175714 96012,175820
I 124 79 0 Builtin Entry | 109800,175900
I 122 79 0 Builtin Exit | 138103,36586
L 126 127 0 TEXT "State Labels" | 147819,122579 1 0 0 "IDLE"
S 127 6 24580 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 147819,122579 6500 6500
H 128 127 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
W 135 128 0 143 146 BEZIER "Transitions" | 110317,146150 110717,139950 111488,128114 111888,121914
C 136 135 0 TEXT "Conditions" | 109704,143046 1 0 0 "SCTxPortGnt == 1'b1"
S 137 128 28672 ELLIPSE "States" | 115898,76040 6500 6500
L 138 137 0 TEXT "State Labels" | 115898,76040 1 0 0 "FIN\n/5/"
W 139 128 0 146 137 BEZIER "Transitions" | 112979,108975 113379,104075 114551,87365 114951,82465
C 140 139 0 TEXT "Conditions" | 114907,107589 1 0 0 "SCTxPortRdy == 1'b1"
A 141 139 16 TEXT "Actions" | 109766,100293 1 0 0 "SCTxPortWEn <= 1'b1; \nSCTxPortData <= 8'h00; \nSCTxPortCntl <= `TX_IDLE;"
A 142 137 4 TEXT "Actions" | 130303,68109 1 0 0 "SCTxPortWEn <= 1'b0;\nSCTxPortReq <= 1'b0;"
S 143 128 32768 ELLIPSE "States" | 110104,152646 6500 6500
A 142 137 4 TEXT "Actions" | 130303,68109 1 0 0 "SCTxPortWEn <= 1'b0;\nSCTxPortReq <= 1'b0;"
A 141 139 16 TEXT "Actions" | 109766,100293 1 0 0 "SCTxPortWEn <= 1'b1; \nSCTxPortData <= 8'h00; \nSCTxPortCntl <= `TX_IDLE;"
C 140 139 0 TEXT "Conditions" | 114907,107589 1 0 0 "SCTxPortRdy == 1'b1"
W 139 128 0 146 137 BEZIER "Transitions" | 112979,108975 113379,104075 114551,87365 114951,82465
L 138 137 0 TEXT "State Labels" | 115898,76040 1 0 0 "FIN\n/5/"
S 137 128 28672 ELLIPSE "States" | 115898,76040 6500 6500
C 136 135 0 TEXT "Conditions" | 109704,143046 1 0 0 "SCTxPortGnt == 1'b1"
W 135 128 0 143 146 BEZIER "Transitions" | 110317,146150 110717,139950 111488,128114 111888,121914
H 128 127 0 RECT 0,0,0 0 0 1 255,255,255 0 | 15700,15700 200200,263700
L 159 158 0 TEXT "Labels" | 115163,245109 1 0 0 "SCTxPortWEn"
I 158 0 2 Builtin OutPort | 109163,245109 "" ""
L 157 156 0 TEXT "Labels" | 115440,251139 1 0 0 "SCTxPortData[7:0]"
I 156 0 130 Builtin OutPort | 109440,251139 "" ""
L 155 154 0 TEXT "Labels" | 114837,257571 1 0 0 "SCTxPortCntl[7:0]"
I 154 0 130 Builtin OutPort | 108837,257571 "" ""
L 144 143 0 TEXT "State Labels" | 110104,152646 1 0 0 "WAIT_GNT\n/6/"
W 145 128 4096 150 143 BEZIER "Transitions" | 71299,204814 85991,196626 102015,166277 106914,158309
S 146 128 36864 ELLIPSE "States" | 112504,115446 6500 6500
L 147 146 0 TEXT "State Labels" | 112504,115446 1 0 0 "WAIT_RDY\n/7/"
A 148 145 16 TEXT "Actions" | 91825,176461 1 0 0 "SCTxPortReq <= 1'b1;"
I 150 128 0 Builtin Entry | 67068,204814
I 151 128 0 Builtin Exit | 67380,61048
W 153 6 0 127 11 BEZIER "Transitions" | 152988,126518 159136,134574 171720,147536 171773,153843\
171826,160150 159742,169266 150997,171704 142252,174142\
120424,175336 108976,175654
I 151 128 0 Builtin Exit | 67380,61048
I 150 128 0 Builtin Entry | 67068,204814
A 148 145 16 TEXT "Actions" | 91825,176461 1 0 0 "SCTxPortReq <= 1'b1;"
L 147 146 0 TEXT "State Labels" | 112504,115446 1 0 0 "WAIT_RDY\n/7/"
S 146 128 36864 ELLIPSE "States" | 112504,115446 6500 6500
W 145 128 4096 150 143 BEZIER "Transitions" | 71299,204814 85991,196626 102015,166277 106914,158309
L 144 143 0 TEXT "State Labels" | 110104,152646 1 0 0 "WAIT_GNT\n/6/"
I 154 0 130 Builtin OutPort | 108837,257571 "" ""
L 155 154 0 TEXT "Labels" | 114837,257571 1 0 0 "SCTxPortCntl[7:0]"
I 156 0 130 Builtin OutPort | 109440,251139 "" ""
L 157 156 0 TEXT "Labels" | 115440,251139 1 0 0 "SCTxPortData[7:0]"
I 158 0 2 Builtin OutPort | 109163,245109 "" ""
L 159 158 0 TEXT "Labels" | 115163,245109 1 0 0 "SCTxPortWEn"
C 175 174 0 TEXT "Conditions" | 95181,61437 1 0 0 "directControlEn == 1'b0"
W 174 79 8193 93 122 BEZIER "Transitions" | 74339,66657 90586,60011 118717,43232 134964,36586
I 160 0 2 Builtin InPort | 111543,239893 "" ""
L 161 160 0 TEXT "Labels" | 117543,239893 1 0 0 "SCTxPortRdy"
I 162 0 2 Builtin InPort | 162999,244717 "" ""
L 163 162 0 TEXT "Labels" | 168999,244717 1 0 0 "SCTxPortGnt"
I 164 0 2 Builtin OutPort | 160587,239893 "" ""
L 165 164 0 TEXT "Labels" | 166587,239893 1 0 0 "SCTxPortReq"
A 166 9 2 TEXT "Actions" | 121708,221292 1 0 0 "SCTxPortCntl <= 8'h00;\nSCTxPortData <= 8'h00;\nSCTxPortWEn <= 1'b0; \nSCTxPortReq <= 1'b0;"
A 167 88 16 TEXT "Actions" | 75140,165538 1 0 0 "SCTxPortReq <= 1'b1;"
W 173 128 0 137 151 BEZIER "Transitions" | 109732,73984 99784,70853 80467,64179 70519,61048
A 167 88 16 TEXT "Actions" | 75140,165538 1 0 0 "SCTxPortReq <= 1'b1;"
A 166 9 2 TEXT "Actions" | 121708,221292 1 0 0 "SCTxPortCntl <= 8'h00;\nSCTxPortData <= 8'h00;\nSCTxPortWEn <= 1'b0; \nSCTxPortReq <= 1'b0;"
L 165 164 0 TEXT "Labels" | 166587,239893 1 0 0 "SCTxPortReq"
I 164 0 2 Builtin OutPort | 160587,239893 "" ""
L 163 162 0 TEXT "Labels" | 168999,244717 1 0 0 "SCTxPortGnt"
I 162 0 2 Builtin InPort | 162999,244717 "" ""
L 161 160 0 TEXT "Labels" | 117543,239893 1 0 0 "SCTxPortRdy"
I 160 0 2 Builtin InPort | 111543,239893 "" ""
W 174 79 8193 93 122 BEZIER "Transitions" | 74339,66657 90586,60011 118717,43232 134964,36586
C 175 174 0 TEXT "Conditions" | 95181,61437 1 0 0 "directControlEn == 1'b0"
I 179 0 130 Builtin InPort | 57352,247790 "" ""
L 178 179 0 TEXT "Labels" | 63352,247790 1 0 0 "directControlLineState[1:0]"
A 177 174 16 TEXT "Actions" | 102262,47300 1 0 0 "SCTxPortReq <= 1'b0;"
L 178 179 0 TEXT "Labels" | 63352,247790 1 0 0 "directControlLineState[1:0]"
I 179 0 130 Builtin InPort | 57352,247790 "" ""
END

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.