OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 6 to Rev 7
    Reverse comparison

Rev 6 → Rev 7

/trunk/rtl/VHDL/PS2/ps2bkd.vhd.bak
0,0 → 1,143
library IEEE;
use IEEE.std_logic_1164.all;
 
entity ps2kbd is
PORT (
keyboard_clk : inout std_logic;
keyboard_data : inout std_logic;
clock : in std_logic;
reset : in std_logic;
read : in std_logic;
scan_ready : out std_logic;
ps2_ascii_code : out std_logic_vector(7 downto 0));
end ps2kbd;
architecture rtl of ps2kbd is
 
signal scan_code_sig : std_logic_vector(7 downto 0);
signal scode_shf_sig : std_logic_vector(11 downto 0);
 
begin
 
scode_shf_sig <= "0000" & scan_code_sig;
 
ps2_ascii_decode : process(scode_shf_sig)
begin
case scode_shf_sig is
when x"066" => ps2_ascii_code <= x"08"; -- Backspace ("backspace" key)
when x"00d" => ps2_ascii_code <= x"09"; -- Horizontal Tab
when x"05a" => ps2_ascii_code <= x"0d"; -- Carriage return ("enter" key)
when x"076" => ps2_ascii_code <= x"1b"; -- Escape ("esc" key)
when x"029" => ps2_ascii_code <= x"20"; -- Space
when x"116" => ps2_ascii_code <= x"21"; -- !
when x"152" => ps2_ascii_code <= x"22"; -- "
when x"126" => ps2_ascii_code <= x"23"; -- #
when x"125" => ps2_ascii_code <= x"24"; -- $
when x"12e" => ps2_ascii_code <= x"25"; --
when x"13d" => ps2_ascii_code <= x"26"; --
when x"052" => ps2_ascii_code <= x"27"; --
when x"146" => ps2_ascii_code <= x"28"; --
when x"145" => ps2_ascii_code <= x"29"; --
when x"13e" => ps2_ascii_code <= x"2a"; -- *
when x"155" => ps2_ascii_code <= x"2b"; -- +
when x"041" => ps2_ascii_code <= x"2c"; -- ,
when x"04e" => ps2_ascii_code <= x"2d"; -- -
when x"049" => ps2_ascii_code <= x"2e"; -- .
when x"04a" => ps2_ascii_code <= x"2f"; -- /
when x"045" => ps2_ascii_code <= x"30"; -- 0
when x"016" => ps2_ascii_code <= x"31"; -- 1
when x"01e" => ps2_ascii_code <= x"32"; -- 2
when x"026" => ps2_ascii_code <= x"33"; -- 3
when x"025" => ps2_ascii_code <= x"34"; -- 4
when x"02e" => ps2_ascii_code <= x"35"; -- 5
when x"036" => ps2_ascii_code <= x"36"; -- 6
when x"03d" => ps2_ascii_code <= x"37"; -- 7
when x"03e" => ps2_ascii_code <= x"38"; -- 8
when x"046" => ps2_ascii_code <= x"39"; -- 9
when x"14c" => ps2_ascii_code <= x"3a"; -- :
when x"04c" => ps2_ascii_code <= x"3b"; -- ;
when x"141" => ps2_ascii_code <= x"3c"; -- <
when x"055" => ps2_ascii_code <= x"3d"; -- =
when x"149" => ps2_ascii_code <= x"3e"; -- >
when x"14a" => ps2_ascii_code <= x"3f"; -- ?
when x"11e" => ps2_ascii_code <= x"40"; -- @
when x"01c" => ps2_ascii_code <= x"41"; -- A
when x"032" => ps2_ascii_code <= x"42"; -- B
when x"021" => ps2_ascii_code <= x"43"; -- C
when x"023" => ps2_ascii_code <= x"44"; -- D
when x"024" => ps2_ascii_code <= x"45"; -- E
when x"02b" => ps2_ascii_code <= x"46"; -- F
when x"034" => ps2_ascii_code <= x"47"; -- G
when x"033" => ps2_ascii_code <= x"48"; -- H
when x"043" => ps2_ascii_code <= x"49"; -- I
when x"03b" => ps2_ascii_code <= x"4a"; -- J
when x"042" => ps2_ascii_code <= x"4b"; -- K
when x"04b" => ps2_ascii_code <= x"4c"; -- L
when x"03a" => ps2_ascii_code <= x"4d"; -- M
when x"031" => ps2_ascii_code <= x"4e"; -- N
when x"044" => ps2_ascii_code <= x"4f"; -- O
when x"04d" => ps2_ascii_code <= x"50"; -- P
when x"015" => ps2_ascii_code <= x"51"; -- Q
when x"02d" => ps2_ascii_code <= x"52"; -- R
when x"01b" => ps2_ascii_code <= x"53"; -- S
when x"02c" => ps2_ascii_code <= x"54"; -- T
when x"03c" => ps2_ascii_code <= x"55"; -- U
when x"02a" => ps2_ascii_code <= x"56"; -- V
when x"01d" => ps2_ascii_code <= x"57"; -- W
when x"022" => ps2_ascii_code <= x"58"; -- X
when x"035" => ps2_ascii_code <= x"59"; -- Y
when x"01a" => ps2_ascii_code <= x"5a"; -- Z
when x"054" => ps2_ascii_code <= x"5b"; -- [
when x"05d" => ps2_ascii_code <= x"5c"; -- \
when x"05b" => ps2_ascii_code <= x"5d"; -- ]
when x"136" => ps2_ascii_code <= x"5e"; -- ^
when x"14e" => ps2_ascii_code <= x"5f"; -- _
when x"00e" => ps2_ascii_code <= x"60"; -- `
when x"01c" => ps2_ascii_code <= x"61"; -- a
when x"032" => ps2_ascii_code <= x"62"; -- b
when x"021" => ps2_ascii_code <= x"63"; -- c
when x"023" => ps2_ascii_code <= x"64"; -- d
when x"024" => ps2_ascii_code <= x"65"; -- e
when x"02b" => ps2_ascii_code <= x"66"; -- f
when x"034" => ps2_ascii_code <= x"67"; -- g
when x"033" => ps2_ascii_code <= x"68"; -- h
when x"043" => ps2_ascii_code <= x"69"; -- i
when x"03b" => ps2_ascii_code <= x"6a"; -- j
when x"042" => ps2_ascii_code <= x"6b"; -- k
when x"04b" => ps2_ascii_code <= x"6c"; -- l
when x"03a" => ps2_ascii_code <= x"6d"; -- m
when x"031" => ps2_ascii_code <= x"6e"; -- n
when x"044" => ps2_ascii_code <= x"6f"; -- o
when x"04d" => ps2_ascii_code <= x"70"; -- p
when x"015" => ps2_ascii_code <= x"71"; -- q
when x"02d" => ps2_ascii_code <= x"72"; -- r
when x"01b" => ps2_ascii_code <= x"73"; -- s
when x"02c" => ps2_ascii_code <= x"74"; -- t
when x"03c" => ps2_ascii_code <= x"75"; -- u
when x"02a" => ps2_ascii_code <= x"76"; -- v
when x"01d" => ps2_ascii_code <= x"77"; -- w
when x"022" => ps2_ascii_code <= x"78"; -- x
when x"035" => ps2_ascii_code <= x"79"; -- y
when x"01a" => ps2_ascii_code <= x"7a"; -- z
when x"154" => ps2_ascii_code <= x"7b"; -- {
when x"15d" => ps2_ascii_code <= x"7c"; -- |
when x"15b" => ps2_ascii_code <= x"7d"; -- }
when x"10e" => ps2_ascii_code <= x"7e"; -- ~
when x"071" => ps2_ascii_code <= x"7f"; -- (Delete OR DEL on numeric keypad)
when x"171" => ps2_ascii_code <= x"7f"; -- (Delete OR DEL on numeric keypad)
when others => ps2_ascii_code <= x"FF"; -- keys not mapped
end case;
end process;
 
kbd_inst: work.keyboard port map (
keyboard_clk => keyboard_clk,
keyboard_data => keyboard_data,
clock => clock,
reset => reset,
read => read,
scan_ready => scan_ready,
scan_code => scan_code_sig
);
 
end;
/trunk/rtl/VHDL/PS2/ps2bkd.vhd
14,121 → 14,117
architecture rtl of ps2kbd is
 
--signal keyboard_clk : std_logic;
--signal keyboard_data : std_logic;
--signal clock : std_logic;
--signal reset : std_logic;
--signal read : std_logic;
--signal scan_ready : std_logic;
--signal ps2_ascii_code : std_logic_vector(7 downto 0);
signal scan_code : std_logic_vector(7 downto 0);
signal scan_code_sig : std_logic_vector(7 downto 0);
signal scode_shf_sig : std_logic_vector(11 downto 0);
 
begin
 
ps2_ascii_decode : process(scan_code)
scode_shf_sig <= "0000" & scan_code_sig;
 
ps2_ascii_decode : process(scode_shf_sig)
begin
case scan_code is
when x"66" => ps2_ascii_code <= x"08"; -- Backspace ("backspace" key)
when x"0d" => ps2_ascii_code <= x"09"; -- Horizontal Tab
when x"5a" => ps2_ascii_code <= x"0d"; -- Carriage return ("enter" key)
when x"76" => ps2_ascii_code <= x"1b"; -- Escape ("esc" key)
when x"29" => ps2_ascii_code <= x"20"; -- Space
-- when x"116" => ps2_ascii_code <= x"21"; -- !
-- when x"152" => ps2_ascii_code <= x"22"; -- "
-- when x"126" => ps2_ascii_code <= x"23"; -- #
-- when x"125" => ps2_ascii_code <= x"24"; -- $
-- when x"12e" => ps2_ascii_code <= x"25"; --
-- when x"13d" => ps2_ascii_code <= x"26"; --
-- when x"052" => ps2_ascii_code <= x"27"; --
-- when x"146" => ps2_ascii_code <= x"28"; --
-- when x"145" => ps2_ascii_code <= x"29"; --
-- when x"13e" => ps2_ascii_code <= x"2a"; -- *
-- when x"155" => ps2_ascii_code <= x"2b"; -- +
-- when x"041" => ps2_ascii_code <= x"2c"; -- ,
-- when x"04e" => ps2_ascii_code <= x"2d"; -- -
-- when x"049" => ps2_ascii_code <= x"2e"; -- .
-- when x"04a" => ps2_ascii_code <= x"2f"; -- /
when x"45" => ps2_ascii_code <= x"30"; -- 0
when x"16" => ps2_ascii_code <= x"31"; -- 1
when x"1e" => ps2_ascii_code <= x"32"; -- 2
when x"26" => ps2_ascii_code <= x"33"; -- 3
when x"25" => ps2_ascii_code <= x"34"; -- 4
when x"2e" => ps2_ascii_code <= x"35"; -- 5
when x"36" => ps2_ascii_code <= x"36"; -- 6
when x"3d" => ps2_ascii_code <= x"37"; -- 7
when x"3e" => ps2_ascii_code <= x"38"; -- 8
when x"46" => ps2_ascii_code <= x"39"; -- 9
-- when x"14c" => ps2_ascii_code <= x"3a"; -- :
-- when x"04c" => ps2_ascii_code <= x"3b"; -- ;
-- when x"141" => ps2_ascii_code <= x"3c"; -- <
-- when x"055" => ps2_ascii_code <= x"3d"; -- =
-- when x"149" => ps2_ascii_code <= x"3e"; -- >
-- when x"14a" => ps2_ascii_code <= x"3f"; -- ?
-- when x"11e" => ps2_ascii_code <= x"40"; -- @
when x"1c" => ps2_ascii_code <= x"41"; -- A
when x"32" => ps2_ascii_code <= x"42"; -- B
when x"21" => ps2_ascii_code <= x"43"; -- C
when x"23" => ps2_ascii_code <= x"44"; -- D
when x"24" => ps2_ascii_code <= x"45"; -- E
when x"2b" => ps2_ascii_code <= x"46"; -- F
when x"34" => ps2_ascii_code <= x"47"; -- G
when x"33" => ps2_ascii_code <= x"48"; -- H
when x"43" => ps2_ascii_code <= x"49"; -- I
when x"3b" => ps2_ascii_code <= x"4a"; -- J
when x"42" => ps2_ascii_code <= x"4b"; -- K
when x"4b" => ps2_ascii_code <= x"4c"; -- L
when x"3a" => ps2_ascii_code <= x"4d"; -- M
when x"31" => ps2_ascii_code <= x"4e"; -- N
when x"44" => ps2_ascii_code <= x"4f"; -- O
when x"4d" => ps2_ascii_code <= x"50"; -- P
when x"15" => ps2_ascii_code <= x"51"; -- Q
when x"2d" => ps2_ascii_code <= x"52"; -- R
when x"1b" => ps2_ascii_code <= x"53"; -- S
when x"2c" => ps2_ascii_code <= x"54"; -- T
when x"3c" => ps2_ascii_code <= x"55"; -- U
when x"2a" => ps2_ascii_code <= x"56"; -- V
when x"1d" => ps2_ascii_code <= x"57"; -- W
when x"22" => ps2_ascii_code <= x"58"; -- X
when x"35" => ps2_ascii_code <= x"59"; -- Y
when x"1a" => ps2_ascii_code <= x"5a"; -- Z
-- when x"054" => ps2_ascii_code <= x"5b"; -- [
-- when x"05d" => ps2_ascii_code <= x"5c"; -- \
-- when x"05b" => ps2_ascii_code <= x"5d"; -- ]
-- when x"136" => ps2_ascii_code <= x"5e"; -- ^
-- when x"14e" => ps2_ascii_code <= x"5f"; -- _
-- when x"00e" => ps2_ascii_code <= x"60"; -- `
-- when x"01c" => ps2_ascii_code <= x"61"; -- a
-- when x"032" => ps2_ascii_code <= x"62"; -- b
-- when x"021" => ps2_ascii_code <= x"63"; -- c
-- when x"023" => ps2_ascii_code <= x"64"; -- d
-- when x"024" => ps2_ascii_code <= x"65"; -- e
-- when x"02b" => ps2_ascii_code <= x"66"; -- f
-- when x"034" => ps2_ascii_code <= x"67"; -- g
-- when x"033" => ps2_ascii_code <= x"68"; -- h
-- when x"043" => ps2_ascii_code <= x"69"; -- i
-- when x"03b" => ps2_ascii_code <= x"6a"; -- j
-- when x"042" => ps2_ascii_code <= x"6b"; -- k
-- when x"04b" => ps2_ascii_code <= x"6c"; -- l
-- when x"03a" => ps2_ascii_code <= x"6d"; -- m
-- when x"031" => ps2_ascii_code <= x"6e"; -- n
-- when x"044" => ps2_ascii_code <= x"6f"; -- o
-- when x"04d" => ps2_ascii_code <= x"70"; -- p
-- when x"015" => ps2_ascii_code <= x"71"; -- q
-- when x"02d" => ps2_ascii_code <= x"72"; -- r
-- when x"01b" => ps2_ascii_code <= x"73"; -- s
-- when x"02c" => ps2_ascii_code <= x"74"; -- t
-- when x"03c" => ps2_ascii_code <= x"75"; -- u
-- when x"02a" => ps2_ascii_code <= x"76"; -- v
-- when x"01d" => ps2_ascii_code <= x"77"; -- w
-- when x"022" => ps2_ascii_code <= x"78"; -- x
-- when x"035" => ps2_ascii_code <= x"79"; -- y
-- when x"01a" => ps2_ascii_code <= x"7a"; -- z
-- when x"154" => ps2_ascii_code <= x"7b"; -- {
-- when x"15d" => ps2_ascii_code <= x"7c"; -- |
-- when x"15b" => ps2_ascii_code <= x"7d"; -- }
-- when x"10e" => ps2_ascii_code <= x"7e"; -- ~
-- when x"071" => ps2_ascii_code <= x"7f"; -- (Delete OR DEL on numeric keypad)
-- when x"171" => ps2_ascii_code <= x"7f"; -- (Delete OR DEL on numeric keypad)
case scode_shf_sig is
when x"066" => ps2_ascii_code <= x"08"; -- Backspace ("backspace" key)
when x"00d" => ps2_ascii_code <= x"09"; -- Horizontal Tab
when x"05a" => ps2_ascii_code <= x"0d"; -- Carriage return ("enter" key)
when x"076" => ps2_ascii_code <= x"1b"; -- Escape ("esc" key)
when x"029" => ps2_ascii_code <= x"20"; -- Space
when x"116" => ps2_ascii_code <= x"21"; -- !
when x"152" => ps2_ascii_code <= x"22"; -- "
when x"126" => ps2_ascii_code <= x"23"; -- #
when x"125" => ps2_ascii_code <= x"24"; -- $
when x"12e" => ps2_ascii_code <= x"25"; --
when x"13d" => ps2_ascii_code <= x"26"; --
when x"052" => ps2_ascii_code <= x"27"; --
when x"146" => ps2_ascii_code <= x"28"; --
when x"145" => ps2_ascii_code <= x"29"; --
when x"13e" => ps2_ascii_code <= x"2a"; -- *
when x"155" => ps2_ascii_code <= x"2b"; -- +
when x"041" => ps2_ascii_code <= x"2c"; -- ,
when x"04e" => ps2_ascii_code <= x"2d"; -- -
when x"049" => ps2_ascii_code <= x"2e"; -- .
when x"04a" => ps2_ascii_code <= x"2f"; -- /
when x"045" => ps2_ascii_code <= x"30"; -- 0
when x"016" => ps2_ascii_code <= x"31"; -- 1
when x"01e" => ps2_ascii_code <= x"32"; -- 2
when x"026" => ps2_ascii_code <= x"33"; -- 3
when x"025" => ps2_ascii_code <= x"34"; -- 4
when x"02e" => ps2_ascii_code <= x"35"; -- 5
when x"036" => ps2_ascii_code <= x"36"; -- 6
when x"03d" => ps2_ascii_code <= x"37"; -- 7
when x"03e" => ps2_ascii_code <= x"38"; -- 8
when x"046" => ps2_ascii_code <= x"39"; -- 9
when x"14c" => ps2_ascii_code <= x"3a"; -- :
when x"04c" => ps2_ascii_code <= x"3b"; -- ;
when x"141" => ps2_ascii_code <= x"3c"; -- <
when x"055" => ps2_ascii_code <= x"3d"; -- =
when x"149" => ps2_ascii_code <= x"3e"; -- >
when x"14a" => ps2_ascii_code <= x"3f"; -- ?
when x"11e" => ps2_ascii_code <= x"40"; -- @
when x"01c" => ps2_ascii_code <= x"41"; -- A
when x"032" => ps2_ascii_code <= x"42"; -- B
when x"021" => ps2_ascii_code <= x"43"; -- C
when x"023" => ps2_ascii_code <= x"44"; -- D
when x"024" => ps2_ascii_code <= x"45"; -- E
when x"02b" => ps2_ascii_code <= x"46"; -- F
when x"034" => ps2_ascii_code <= x"47"; -- G
when x"033" => ps2_ascii_code <= x"48"; -- H
when x"043" => ps2_ascii_code <= x"49"; -- I
when x"03b" => ps2_ascii_code <= x"4a"; -- J
when x"042" => ps2_ascii_code <= x"4b"; -- K
when x"04b" => ps2_ascii_code <= x"4c"; -- L
when x"03a" => ps2_ascii_code <= x"4d"; -- M
when x"031" => ps2_ascii_code <= x"4e"; -- N
when x"044" => ps2_ascii_code <= x"4f"; -- O
when x"04d" => ps2_ascii_code <= x"50"; -- P
when x"015" => ps2_ascii_code <= x"51"; -- Q
when x"02d" => ps2_ascii_code <= x"52"; -- R
when x"01b" => ps2_ascii_code <= x"53"; -- S
when x"02c" => ps2_ascii_code <= x"54"; -- T
when x"03c" => ps2_ascii_code <= x"55"; -- U
when x"02a" => ps2_ascii_code <= x"56"; -- V
when x"01d" => ps2_ascii_code <= x"57"; -- W
when x"022" => ps2_ascii_code <= x"58"; -- X
when x"035" => ps2_ascii_code <= x"59"; -- Y
when x"01a" => ps2_ascii_code <= x"5a"; -- Z
when x"054" => ps2_ascii_code <= x"5b"; -- [
when x"05d" => ps2_ascii_code <= x"5c"; -- \
when x"05b" => ps2_ascii_code <= x"5d"; -- ]
when x"136" => ps2_ascii_code <= x"5e"; -- ^
when x"14e" => ps2_ascii_code <= x"5f"; -- _
when x"00e" => ps2_ascii_code <= x"60"; -- `
when x"11c" => ps2_ascii_code <= x"61"; -- a
when x"132" => ps2_ascii_code <= x"62"; -- b
when x"121" => ps2_ascii_code <= x"63"; -- c
when x"123" => ps2_ascii_code <= x"64"; -- d
when x"124" => ps2_ascii_code <= x"65"; -- e
when x"12b" => ps2_ascii_code <= x"66"; -- f
when x"134" => ps2_ascii_code <= x"67"; -- g
when x"133" => ps2_ascii_code <= x"68"; -- h
when x"143" => ps2_ascii_code <= x"69"; -- i
when x"13b" => ps2_ascii_code <= x"6a"; -- j
when x"142" => ps2_ascii_code <= x"6b"; -- k
when x"14b" => ps2_ascii_code <= x"6c"; -- l
when x"13a" => ps2_ascii_code <= x"6d"; -- m
when x"131" => ps2_ascii_code <= x"6e"; -- n
when x"144" => ps2_ascii_code <= x"6f"; -- o
when x"14d" => ps2_ascii_code <= x"70"; -- p
when x"115" => ps2_ascii_code <= x"71"; -- q
when x"12d" => ps2_ascii_code <= x"72"; -- r
when x"11b" => ps2_ascii_code <= x"73"; -- s
when x"12c" => ps2_ascii_code <= x"74"; -- t
when x"13c" => ps2_ascii_code <= x"75"; -- u
when x"12a" => ps2_ascii_code <= x"76"; -- v
when x"11d" => ps2_ascii_code <= x"77"; -- w
when x"122" => ps2_ascii_code <= x"78"; -- x
when x"135" => ps2_ascii_code <= x"79"; -- y
when x"11a" => ps2_ascii_code <= x"7a"; -- z
when x"154" => ps2_ascii_code <= x"7b"; -- {
when x"15d" => ps2_ascii_code <= x"7c"; -- |
when x"15b" => ps2_ascii_code <= x"7d"; -- }
when x"10e" => ps2_ascii_code <= x"7e"; -- ~
when x"071" => ps2_ascii_code <= x"7f"; -- (Delete OR DEL on numeric keypad)
when x"171" => ps2_ascii_code <= x"7f"; -- (Delete OR DEL on numeric keypad)
when others => ps2_ascii_code <= x"FF"; -- keys not mapped
end case;
end process;
140,7 → 136,7
reset => reset,
read => read,
scan_ready => scan_ready,
scan_code => scan_code
scan_code => scan_code_sig
);
 
end;
/trunk/rtl/VHDL/rom.vhd
21,73 → 21,73
when x"0001" => D <= x"FF";
when x"0002" => D <= x"FF";
when x"0003" => D <= x"CD";
when x"0004" => D <= x"C6";
when x"0004" => D <= x"C7";
when x"0005" => D <= x"00";
when x"0006" => D <= x"21";
when x"0007" => D <= x"32";
when x"0008" => D <= x"20";
when x"0009" => D <= x"11";
when x"000A" => D <= x"0D";
when x"000A" => D <= x"0E";
when x"000B" => D <= x"01";
when x"000C" => D <= x"CD";
when x"000D" => D <= x"D6";
when x"000D" => D <= x"D7";
when x"000E" => D <= x"00";
when x"000F" => D <= x"21";
when x"0010" => D <= x"5A";
when x"0011" => D <= x"20";
when x"0012" => D <= x"11";
when x"0013" => D <= x"20";
when x"0013" => D <= x"21";
when x"0014" => D <= x"01";
when x"0015" => D <= x"CD";
when x"0016" => D <= x"D6";
when x"0016" => D <= x"D7";
when x"0017" => D <= x"00";
when x"0018" => D <= x"CD";
when x"0019" => D <= x"E7";
when x"0019" => D <= x"E8";
when x"001A" => D <= x"00";
when x"001B" => D <= x"21";
when x"001C" => D <= x"46";
when x"001D" => D <= x"21";
when x"001E" => D <= x"11";
when x"001F" => D <= x"33";
when x"001F" => D <= x"34";
when x"0020" => D <= x"01";
when x"0021" => D <= x"CD";
when x"0022" => D <= x"D6";
when x"0022" => D <= x"D7";
when x"0023" => D <= x"00";
when x"0024" => D <= x"21";
when x"0025" => D <= x"96";
when x"0026" => D <= x"21";
when x"0027" => D <= x"11";
when x"0028" => D <= x"4E";
when x"0028" => D <= x"50";
when x"0029" => D <= x"01";
when x"002A" => D <= x"CD";
when x"002B" => D <= x"D6";
when x"002B" => D <= x"D7";
when x"002C" => D <= x"00";
when x"002D" => D <= x"21";
when x"002E" => D <= x"E6";
when x"002F" => D <= x"21";
when x"0030" => D <= x"11";
when x"0031" => D <= x"69";
when x"0031" => D <= x"6D";
when x"0032" => D <= x"01";
when x"0033" => D <= x"CD";
when x"0034" => D <= x"D6";
when x"0034" => D <= x"D7";
when x"0035" => D <= x"00";
when x"0036" => D <= x"21";
when x"0037" => D <= x"36";
when x"0038" => D <= x"22";
when x"0039" => D <= x"11";
when x"003A" => D <= x"84";
when x"003A" => D <= x"8A";
when x"003B" => D <= x"01";
when x"003C" => D <= x"CD";
when x"003D" => D <= x"D6";
when x"003D" => D <= x"D7";
when x"003E" => D <= x"00";
when x"003F" => D <= x"21";
when x"0040" => D <= x"5E";
when x"0041" => D <= x"22";
when x"0042" => D <= x"11";
when x"0043" => D <= x"98";
when x"0043" => D <= x"9E";
when x"0044" => D <= x"01";
when x"0045" => D <= x"CD";
when x"0046" => D <= x"D6";
when x"0046" => D <= x"D7";
when x"0047" => D <= x"00";
when x"0048" => D <= x"DB";
when x"0049" => D <= x"20";
98,10 → 98,10
when x"004E" => D <= x"D6";
when x"004F" => D <= x"22";
when x"0050" => D <= x"01";
when x"0051" => D <= x"1D";
when x"0051" => D <= x"1E";
when x"0052" => D <= x"00";
when x"0053" => D <= x"CD";
when x"0054" => D <= x"DE";
when x"0054" => D <= x"DF";
when x"0055" => D <= x"00";
when x"0056" => D <= x"FE";
when x"0057" => D <= x"41";
133,22 → 133,22
when x"0071" => D <= x"D3";
when x"0072" => D <= x"01";
when x"0073" => D <= x"CD";
when x"0074" => D <= x"B8";
when x"0074" => D <= x"B9";
when x"0075" => D <= x"00";
when x"0076" => D <= x"CD";
when x"0077" => D <= x"B8";
when x"0077" => D <= x"B9";
when x"0078" => D <= x"00";
when x"0079" => D <= x"CD";
when x"007A" => D <= x"B8";
when x"007A" => D <= x"B9";
when x"007B" => D <= x"00";
when x"007C" => D <= x"CD";
when x"007D" => D <= x"A5";
when x"007D" => D <= x"A6";
when x"007E" => D <= x"00";
when x"007F" => D <= x"CD";
when x"0080" => D <= x"B8";
when x"0080" => D <= x"B9";
when x"0081" => D <= x"00";
when x"0082" => D <= x"CD";
when x"0083" => D <= x"A5";
when x"0083" => D <= x"A6";
when x"0084" => D <= x"00";
when x"0085" => D <= x"21";
when x"0086" => D <= x"00";
163,7 → 163,7
when x"008F" => D <= x"D3";
when x"0090" => D <= x"01";
when x"0091" => D <= x"CD";
when x"0092" => D <= x"B8";
when x"0092" => D <= x"B9";
when x"0093" => D <= x"00";
when x"0094" => D <= x"23";
when x"0095" => D <= x"7E";
178,291 → 178,291
when x"009E" => D <= x"D3";
when x"009F" => D <= x"02";
when x"00A0" => D <= x"CD";
when x"00A1" => D <= x"A5";
when x"00A1" => D <= x"A6";
when x"00A2" => D <= x"00";
when x"00A3" => D <= x"18";
when x"00A4" => D <= x"5E";
when x"00A5" => D <= x"CD";
when x"00A6" => D <= x"B8";
when x"00A7" => D <= x"00";
when x"00A8" => D <= x"DB";
when x"00A9" => D <= x"30";
when x"00AA" => D <= x"D3";
when x"00AB" => D <= x"10";
when x"00AC" => D <= x"FE";
when x"00AD" => D <= x"0E";
when x"00AE" => D <= x"20";
when x"00AF" => D <= x"F5";
when x"00B0" => D <= x"C9";
when x"00B1" => D <= x"3A";
when x"00B2" => D <= x"00";
when x"00B3" => D <= x"E0";
when x"00B4" => D <= x"3D";
when x"00B5" => D <= x"20";
when x"00B6" => D <= x"FD";
when x"00B7" => D <= x"C9";
when x"00B8" => D <= x"3A";
when x"00B9" => D <= x"00";
when x"00BA" => D <= x"E0";
when x"00BB" => D <= x"F5";
when x"00BC" => D <= x"3E";
when x"00BD" => D <= x"FF";
when x"00BE" => D <= x"3D";
when x"00BF" => D <= x"20";
when x"00C0" => D <= x"FD";
when x"00C1" => D <= x"F1";
when x"00C2" => D <= x"3D";
when x"00C3" => D <= x"20";
when x"00C4" => D <= x"F6";
when x"00C5" => D <= x"C9";
when x"00C6" => D <= x"21";
when x"00C7" => D <= x"00";
when x"00C8" => D <= x"20";
when x"00C9" => D <= x"11";
when x"00CA" => D <= x"B0";
when x"00CB" => D <= x"04";
when x"00CC" => D <= x"3E";
when x"00CD" => D <= x"20";
when x"00CE" => D <= x"77";
when x"00CF" => D <= x"23";
when x"00D0" => D <= x"1B";
when x"00D1" => D <= x"7A";
when x"00D2" => D <= x"B3";
when x"00D3" => D <= x"20";
when x"00D4" => D <= x"F7";
when x"00D5" => D <= x"C9";
when x"00D6" => D <= x"1A";
when x"00D7" => D <= x"B7";
when x"00D8" => D <= x"C8";
when x"00D9" => D <= x"77";
when x"00DA" => D <= x"23";
when x"00DB" => D <= x"13";
when x"00DC" => D <= x"18";
when x"00DD" => D <= x"F8";
when x"00DE" => D <= x"CD";
when x"00DF" => D <= x"B8";
when x"00E0" => D <= x"00";
when x"00E1" => D <= x"DB";
when x"00E2" => D <= x"80";
when x"00E3" => D <= x"B7";
when x"00E4" => D <= x"28";
when x"00E5" => D <= x"F8";
when x"00E6" => D <= x"C9";
when x"00E7" => D <= x"11";
when x"00E8" => D <= x"B7";
when x"00E9" => D <= x"01";
when x"00EA" => D <= x"21";
when x"00EB" => D <= x"F5";
when x"00EC" => D <= x"20";
when x"00ED" => D <= x"CD";
when x"00EE" => D <= x"D6";
when x"00EF" => D <= x"00";
when x"00F0" => D <= x"21";
when x"00F1" => D <= x"1D";
when x"00F2" => D <= x"21";
when x"00F3" => D <= x"3E";
when x"00F4" => D <= x"0A";
when x"00F5" => D <= x"11";
when x"00F6" => D <= x"F9";
when x"00F7" => D <= x"01";
when x"00F8" => D <= x"F5";
when x"00F9" => D <= x"E5";
when x"00FA" => D <= x"CD";
when x"00FB" => D <= x"D6";
when x"00FC" => D <= x"00";
when x"00FD" => D <= x"E1";
when x"00FE" => D <= x"F1";
when x"00FF" => D <= x"01";
when x"0100" => D <= x"28";
when x"0101" => D <= x"00";
when x"0102" => D <= x"09";
when x"0103" => D <= x"3D";
when x"0104" => D <= x"20";
when x"0105" => D <= x"EF";
when x"0106" => D <= x"11";
when x"0107" => D <= x"D8";
when x"0108" => D <= x"01";
when x"0109" => D <= x"CD";
when x"010A" => D <= x"D6";
when x"010B" => D <= x"00";
when x"010C" => D <= x"C9";
when x"010D" => D <= x"5A";
when x"010E" => D <= x"38";
when x"010F" => D <= x"30";
when x"0110" => D <= x"20";
when x"0111" => D <= x"53";
when x"0112" => D <= x"59";
when x"0113" => D <= x"53";
when x"0114" => D <= x"54";
when x"0115" => D <= x"45";
when x"0116" => D <= x"4D";
when x"0117" => D <= x"20";
when x"0118" => D <= x"4F";
when x"0119" => D <= x"4E";
when x"011A" => D <= x"20";
when x"011B" => D <= x"43";
when x"011C" => D <= x"48";
when x"011D" => D <= x"49";
when x"011E" => D <= x"50";
when x"011F" => D <= x"00";
when x"0120" => D <= x"52";
when x"0121" => D <= x"4F";
when x"0122" => D <= x"4E";
when x"0123" => D <= x"49";
when x"0124" => D <= x"56";
when x"0125" => D <= x"4F";
when x"0126" => D <= x"4E";
when x"0127" => D <= x"20";
when x"0128" => D <= x"43";
when x"0129" => D <= x"4F";
when x"012A" => D <= x"53";
when x"012B" => D <= x"54";
when x"012C" => D <= x"41";
when x"012D" => D <= x"20";
when x"012E" => D <= x"32";
when x"012F" => D <= x"30";
when x"00A3" => D <= x"C3";
when x"00A4" => D <= x"03";
when x"00A5" => D <= x"00";
when x"00A6" => D <= x"CD";
when x"00A7" => D <= x"B9";
when x"00A8" => D <= x"00";
when x"00A9" => D <= x"DB";
when x"00AA" => D <= x"30";
when x"00AB" => D <= x"D3";
when x"00AC" => D <= x"10";
when x"00AD" => D <= x"FE";
when x"00AE" => D <= x"0E";
when x"00AF" => D <= x"20";
when x"00B0" => D <= x"F5";
when x"00B1" => D <= x"C9";
when x"00B2" => D <= x"3A";
when x"00B3" => D <= x"00";
when x"00B4" => D <= x"E0";
when x"00B5" => D <= x"3D";
when x"00B6" => D <= x"20";
when x"00B7" => D <= x"FD";
when x"00B8" => D <= x"C9";
when x"00B9" => D <= x"3A";
when x"00BA" => D <= x"00";
when x"00BB" => D <= x"E0";
when x"00BC" => D <= x"F5";
when x"00BD" => D <= x"3E";
when x"00BE" => D <= x"FF";
when x"00BF" => D <= x"3D";
when x"00C0" => D <= x"20";
when x"00C1" => D <= x"FD";
when x"00C2" => D <= x"F1";
when x"00C3" => D <= x"3D";
when x"00C4" => D <= x"20";
when x"00C5" => D <= x"F6";
when x"00C6" => D <= x"C9";
when x"00C7" => D <= x"21";
when x"00C8" => D <= x"00";
when x"00C9" => D <= x"20";
when x"00CA" => D <= x"11";
when x"00CB" => D <= x"B0";
when x"00CC" => D <= x"04";
when x"00CD" => D <= x"3E";
when x"00CE" => D <= x"20";
when x"00CF" => D <= x"77";
when x"00D0" => D <= x"23";
when x"00D1" => D <= x"1B";
when x"00D2" => D <= x"7A";
when x"00D3" => D <= x"B3";
when x"00D4" => D <= x"20";
when x"00D5" => D <= x"F7";
when x"00D6" => D <= x"C9";
when x"00D7" => D <= x"1A";
when x"00D8" => D <= x"B7";
when x"00D9" => D <= x"C8";
when x"00DA" => D <= x"77";
when x"00DB" => D <= x"23";
when x"00DC" => D <= x"13";
when x"00DD" => D <= x"18";
when x"00DE" => D <= x"F8";
when x"00DF" => D <= x"CD";
when x"00E0" => D <= x"B9";
when x"00E1" => D <= x"00";
when x"00E2" => D <= x"DB";
when x"00E3" => D <= x"80";
when x"00E4" => D <= x"B7";
when x"00E5" => D <= x"28";
when x"00E6" => D <= x"F8";
when x"00E7" => D <= x"C9";
when x"00E8" => D <= x"11";
when x"00E9" => D <= x"BD";
when x"00EA" => D <= x"01";
when x"00EB" => D <= x"21";
when x"00EC" => D <= x"F5";
when x"00ED" => D <= x"20";
when x"00EE" => D <= x"CD";
when x"00EF" => D <= x"D7";
when x"00F0" => D <= x"00";
when x"00F1" => D <= x"21";
when x"00F2" => D <= x"1D";
when x"00F3" => D <= x"21";
when x"00F4" => D <= x"3E";
when x"00F5" => D <= x"0A";
when x"00F6" => D <= x"11";
when x"00F7" => D <= x"FF";
when x"00F8" => D <= x"01";
when x"00F9" => D <= x"F5";
when x"00FA" => D <= x"E5";
when x"00FB" => D <= x"CD";
when x"00FC" => D <= x"D7";
when x"00FD" => D <= x"00";
when x"00FE" => D <= x"E1";
when x"00FF" => D <= x"F1";
when x"0100" => D <= x"01";
when x"0101" => D <= x"28";
when x"0102" => D <= x"00";
when x"0103" => D <= x"09";
when x"0104" => D <= x"3D";
when x"0105" => D <= x"20";
when x"0106" => D <= x"EF";
when x"0107" => D <= x"11";
when x"0108" => D <= x"DE";
when x"0109" => D <= x"01";
when x"010A" => D <= x"CD";
when x"010B" => D <= x"D7";
when x"010C" => D <= x"00";
when x"010D" => D <= x"C9";
when x"010E" => D <= x"5A";
when x"010F" => D <= x"38";
when x"0110" => D <= x"30";
when x"0111" => D <= x"20";
when x"0112" => D <= x"53";
when x"0113" => D <= x"59";
when x"0114" => D <= x"53";
when x"0115" => D <= x"54";
when x"0116" => D <= x"45";
when x"0117" => D <= x"4D";
when x"0118" => D <= x"20";
when x"0119" => D <= x"4F";
when x"011A" => D <= x"4E";
when x"011B" => D <= x"20";
when x"011C" => D <= x"43";
when x"011D" => D <= x"48";
when x"011E" => D <= x"49";
when x"011F" => D <= x"50";
when x"0120" => D <= x"00";
when x"0121" => D <= x"52";
when x"0122" => D <= x"4F";
when x"0123" => D <= x"4E";
when x"0124" => D <= x"49";
when x"0125" => D <= x"56";
when x"0126" => D <= x"4F";
when x"0127" => D <= x"4E";
when x"0128" => D <= x"20";
when x"0129" => D <= x"43";
when x"012A" => D <= x"4F";
when x"012B" => D <= x"53";
when x"012C" => D <= x"54";
when x"012D" => D <= x"41";
when x"012E" => D <= x"20";
when x"012F" => D <= x"32";
when x"0130" => D <= x"30";
when x"0131" => D <= x"38";
when x"0132" => D <= x"00";
when x"0133" => D <= x"20";
when x"0134" => D <= x"7C";
when x"0135" => D <= x"21";
when x"0136" => D <= x"23";
when x"0137" => D <= x"24";
when x"0138" => D <= x"25";
when x"0139" => D <= x"26";
when x"013A" => D <= x"2F";
when x"013B" => D <= x"28";
when x"013C" => D <= x"29";
when x"013D" => D <= x"3D";
when x"013E" => D <= x"3F";
when x"013F" => D <= x"2A";
when x"0140" => D <= x"60";
when x"0141" => D <= x"2B";
when x"0142" => D <= x"B4";
when x"0143" => D <= x"E7";
when x"0144" => D <= x"7E";
when x"0145" => D <= x"5E";
when x"0146" => D <= x"2C";
when x"0147" => D <= x"2E";
when x"0148" => D <= x"3B";
when x"0149" => D <= x"3A";
when x"014A" => D <= x"5C";
when x"014B" => D <= x"3C";
when x"014C" => D <= x"3E";
when x"014D" => D <= x"00";
when x"014E" => D <= x"41";
when x"014F" => D <= x"42";
when x"0150" => D <= x"43";
when x"0151" => D <= x"44";
when x"0152" => D <= x"45";
when x"0153" => D <= x"46";
when x"0154" => D <= x"47";
when x"0155" => D <= x"48";
when x"0156" => D <= x"49";
when x"0157" => D <= x"4A";
when x"0158" => D <= x"4B";
when x"0159" => D <= x"4C";
when x"015A" => D <= x"4D";
when x"015B" => D <= x"4E";
when x"015C" => D <= x"4F";
when x"015D" => D <= x"50";
when x"015E" => D <= x"51";
when x"015F" => D <= x"52";
when x"0160" => D <= x"53";
when x"0161" => D <= x"54";
when x"0162" => D <= x"55";
when x"0163" => D <= x"56";
when x"0164" => D <= x"57";
when x"0165" => D <= x"58";
when x"0166" => D <= x"59";
when x"0167" => D <= x"5A";
when x"0168" => D <= x"00";
when x"0169" => D <= x"61";
when x"016A" => D <= x"62";
when x"016B" => D <= x"63";
when x"016C" => D <= x"64";
when x"016D" => D <= x"65";
when x"016E" => D <= x"66";
when x"016F" => D <= x"67";
when x"0170" => D <= x"68";
when x"0171" => D <= x"69";
when x"0172" => D <= x"6A";
when x"0173" => D <= x"6B";
when x"0174" => D <= x"6C";
when x"0175" => D <= x"6D";
when x"0176" => D <= x"6E";
when x"0177" => D <= x"6F";
when x"0178" => D <= x"70";
when x"0179" => D <= x"71";
when x"017A" => D <= x"72";
when x"017B" => D <= x"73";
when x"017C" => D <= x"74";
when x"017D" => D <= x"75";
when x"017E" => D <= x"76";
when x"017F" => D <= x"77";
when x"0180" => D <= x"78";
when x"0181" => D <= x"79";
when x"0182" => D <= x"7A";
when x"0183" => D <= x"00";
when x"0184" => D <= x"20";
when x"0185" => D <= x"20";
when x"0186" => D <= x"20";
when x"0187" => D <= x"20";
when x"0188" => D <= x"20";
when x"0189" => D <= x"20";
when x"0131" => D <= x"30";
when x"0132" => D <= x"38";
when x"0133" => D <= x"00";
when x"0134" => D <= x"20";
when x"0135" => D <= x"20";
when x"0136" => D <= x"7C";
when x"0137" => D <= x"21";
when x"0138" => D <= x"23";
when x"0139" => D <= x"24";
when x"013A" => D <= x"25";
when x"013B" => D <= x"26";
when x"013C" => D <= x"2F";
when x"013D" => D <= x"28";
when x"013E" => D <= x"29";
when x"013F" => D <= x"3D";
when x"0140" => D <= x"3F";
when x"0141" => D <= x"2A";
when x"0142" => D <= x"60";
when x"0143" => D <= x"2B";
when x"0144" => D <= x"B4";
when x"0145" => D <= x"E7";
when x"0146" => D <= x"7E";
when x"0147" => D <= x"5E";
when x"0148" => D <= x"2C";
when x"0149" => D <= x"2E";
when x"014A" => D <= x"3B";
when x"014B" => D <= x"3A";
when x"014C" => D <= x"5C";
when x"014D" => D <= x"3C";
when x"014E" => D <= x"3E";
when x"014F" => D <= x"00";
when x"0150" => D <= x"20";
when x"0151" => D <= x"20";
when x"0152" => D <= x"41";
when x"0153" => D <= x"42";
when x"0154" => D <= x"43";
when x"0155" => D <= x"44";
when x"0156" => D <= x"45";
when x"0157" => D <= x"46";
when x"0158" => D <= x"47";
when x"0159" => D <= x"48";
when x"015A" => D <= x"49";
when x"015B" => D <= x"4A";
when x"015C" => D <= x"4B";
when x"015D" => D <= x"4C";
when x"015E" => D <= x"4D";
when x"015F" => D <= x"4E";
when x"0160" => D <= x"4F";
when x"0161" => D <= x"50";
when x"0162" => D <= x"51";
when x"0163" => D <= x"52";
when x"0164" => D <= x"53";
when x"0165" => D <= x"54";
when x"0166" => D <= x"55";
when x"0167" => D <= x"56";
when x"0168" => D <= x"57";
when x"0169" => D <= x"58";
when x"016A" => D <= x"59";
when x"016B" => D <= x"5A";
when x"016C" => D <= x"00";
when x"016D" => D <= x"20";
when x"016E" => D <= x"20";
when x"016F" => D <= x"61";
when x"0170" => D <= x"62";
when x"0171" => D <= x"63";
when x"0172" => D <= x"64";
when x"0173" => D <= x"65";
when x"0174" => D <= x"66";
when x"0175" => D <= x"67";
when x"0176" => D <= x"68";
when x"0177" => D <= x"69";
when x"0178" => D <= x"6A";
when x"0179" => D <= x"6B";
when x"017A" => D <= x"6C";
when x"017B" => D <= x"6D";
when x"017C" => D <= x"6E";
when x"017D" => D <= x"6F";
when x"017E" => D <= x"70";
when x"017F" => D <= x"71";
when x"0180" => D <= x"72";
when x"0181" => D <= x"73";
when x"0182" => D <= x"74";
when x"0183" => D <= x"75";
when x"0184" => D <= x"76";
when x"0185" => D <= x"77";
when x"0186" => D <= x"78";
when x"0187" => D <= x"79";
when x"0188" => D <= x"7A";
when x"0189" => D <= x"00";
when x"018A" => D <= x"20";
when x"018B" => D <= x"20";
when x"018C" => D <= x"20";
when x"018D" => D <= x"30";
when x"018E" => D <= x"31";
when x"018F" => D <= x"32";
when x"0190" => D <= x"33";
when x"0191" => D <= x"34";
when x"0192" => D <= x"35";
when x"0193" => D <= x"36";
when x"0194" => D <= x"37";
when x"0195" => D <= x"38";
when x"0196" => D <= x"39";
when x"0197" => D <= x"00";
when x"0198" => D <= x"02";
when x"0199" => D <= x"03";
when x"019A" => D <= x"04";
when x"019B" => D <= x"0B";
when x"019C" => D <= x"0C";
when x"019D" => D <= x"0D";
when x"019E" => D <= x"0E";
when x"019F" => D <= x"12";
when x"01A0" => D <= x"18";
when x"01A1" => D <= x"19";
when x"01A2" => D <= x"1A";
when x"01A3" => D <= x"1B";
when x"01A4" => D <= x"E8";
when x"01A5" => D <= x"E9";
when x"01A6" => D <= x"EB";
when x"01A7" => D <= x"BB";
when x"01A8" => D <= x"BC";
when x"01A9" => D <= x"8A";
when x"01AA" => D <= x"86";
when x"01AB" => D <= x"87";
when x"01AC" => D <= x"81";
when x"01AD" => D <= x"80";
when x"01AE" => D <= x"01";
when x"01AF" => D <= x"06";
when x"01B0" => D <= x"07";
when x"01B1" => D <= x"08";
when x"01B2" => D <= x"09";
when x"01B3" => D <= x"0A";
when x"01B4" => D <= x"1D";
when x"01B5" => D <= x"1F";
when x"01B6" => D <= x"00";
when x"01B7" => D <= x"C9";
when x"01B8" => D <= x"CD";
when x"01B9" => D <= x"CD";
when x"01BA" => D <= x"CD";
when x"01BB" => D <= x"CD";
when x"01BC" => D <= x"CD";
when x"01BD" => D <= x"CD";
when x"018D" => D <= x"20";
when x"018E" => D <= x"20";
when x"018F" => D <= x"20";
when x"0190" => D <= x"20";
when x"0191" => D <= x"20";
when x"0192" => D <= x"20";
when x"0193" => D <= x"30";
when x"0194" => D <= x"31";
when x"0195" => D <= x"32";
when x"0196" => D <= x"33";
when x"0197" => D <= x"34";
when x"0198" => D <= x"35";
when x"0199" => D <= x"36";
when x"019A" => D <= x"37";
when x"019B" => D <= x"38";
when x"019C" => D <= x"39";
when x"019D" => D <= x"00";
when x"019E" => D <= x"02";
when x"019F" => D <= x"03";
when x"01A0" => D <= x"04";
when x"01A1" => D <= x"0B";
when x"01A2" => D <= x"0C";
when x"01A3" => D <= x"0D";
when x"01A4" => D <= x"0E";
when x"01A5" => D <= x"12";
when x"01A6" => D <= x"18";
when x"01A7" => D <= x"19";
when x"01A8" => D <= x"1A";
when x"01A9" => D <= x"1B";
when x"01AA" => D <= x"E8";
when x"01AB" => D <= x"E9";
when x"01AC" => D <= x"EB";
when x"01AD" => D <= x"BB";
when x"01AE" => D <= x"BC";
when x"01AF" => D <= x"8A";
when x"01B0" => D <= x"86";
when x"01B1" => D <= x"87";
when x"01B2" => D <= x"81";
when x"01B3" => D <= x"80";
when x"01B4" => D <= x"01";
when x"01B5" => D <= x"06";
when x"01B6" => D <= x"07";
when x"01B7" => D <= x"08";
when x"01B8" => D <= x"09";
when x"01B9" => D <= x"0A";
when x"01BA" => D <= x"1D";
when x"01BB" => D <= x"1F";
when x"01BC" => D <= x"00";
when x"01BD" => D <= x"C9";
when x"01BE" => D <= x"CD";
when x"01BF" => D <= x"CD";
when x"01C0" => D <= x"CD";
487,15 → 487,15
when x"01D3" => D <= x"CD";
when x"01D4" => D <= x"CD";
when x"01D5" => D <= x"CD";
when x"01D6" => D <= x"BB";
when x"01D7" => D <= x"00";
when x"01D8" => D <= x"C8";
when x"01D6" => D <= x"CD";
when x"01D7" => D <= x"CD";
when x"01D8" => D <= x"CD";
when x"01D9" => D <= x"CD";
when x"01DA" => D <= x"CD";
when x"01DB" => D <= x"CD";
when x"01DC" => D <= x"CD";
when x"01DD" => D <= x"CD";
when x"01DE" => D <= x"CD";
when x"01DC" => D <= x"BB";
when x"01DD" => D <= x"00";
when x"01DE" => D <= x"C8";
when x"01DF" => D <= x"CD";
when x"01E0" => D <= x"CD";
when x"01E1" => D <= x"CD";
520,15 → 520,15
when x"01F4" => D <= x"CD";
when x"01F5" => D <= x"CD";
when x"01F6" => D <= x"CD";
when x"01F7" => D <= x"BC";
when x"01F8" => D <= x"00";
when x"01F9" => D <= x"BA";
when x"01FA" => D <= x"20";
when x"01FB" => D <= x"20";
when x"01FC" => D <= x"20";
when x"01FD" => D <= x"20";
when x"01FE" => D <= x"20";
when x"01FF" => D <= x"20";
when x"01F7" => D <= x"CD";
when x"01F8" => D <= x"CD";
when x"01F9" => D <= x"CD";
when x"01FA" => D <= x"CD";
when x"01FB" => D <= x"CD";
when x"01FC" => D <= x"CD";
when x"01FD" => D <= x"BC";
when x"01FE" => D <= x"00";
when x"01FF" => D <= x"BA";
when x"0200" => D <= x"20";
when x"0201" => D <= x"20";
when x"0202" => D <= x"20";
553,8 → 553,14
when x"0215" => D <= x"20";
when x"0216" => D <= x"20";
when x"0217" => D <= x"20";
when x"0218" => D <= x"BA";
when x"0219" => D <= x"00";
when x"0218" => D <= x"20";
when x"0219" => D <= x"20";
when x"021A" => D <= x"20";
when x"021B" => D <= x"20";
when x"021C" => D <= x"20";
when x"021D" => D <= x"20";
when x"021E" => D <= x"BA";
when x"021F" => D <= x"00";
when others => D <= x"00";
end case;
end if;
/trunk/ROM/rom.hex
1,8 → 553,14
31, FF, FF, CD, BD, 00, 21, 32, 20, 11, 04, 01, CD, CD, 00, 21, 5A, 20, 11, 17, 01, CD, CD, 00, CD, DE, 00, 21, 46, 21, 11, 2A, 01, CD, CD, 00, 21, 96, 21, 11, 44, 01, CD, CD, 00, 21, E6, 21, 11, 5F, 01, CD, CD, 00, 21, 36, 22, 11, 7A, 01, CD, CD, 00, DB, 20, 32, 00, E0, 11, 00, 20, 01, B0, 04, CD, D5, 00, FE, 41, 28, 0B, D3, 11, 12, 13, 0B, 78, B1, 28, EA, 18, EE, 21, 00, 40, 3E, 00, 77, 23, 3C, 20, FB, 3E, 01, D3, 01, CD, AF, 00, CD, AF, 00, CD, AF, 00, CD, 9C, 00, CD, AF, 00, CD, 9C, 00, 21, 00, 40, 7C, D3, 11, 7D, D3, 10, 7E, D3, 01, CD, AF, 00, 23, 7E, FE, FF, 20, EE, 3E, 00, D3, 01, D3, 02, CD, 9C, 00, 18, 67, CD, AF, 00, DB, 30, D3, 10, FE, 0E, 20, F5, C9, 3A, 00, E0, 3D, 20, FD, C9, 3A, 00, E0, F5, 3E, FF, 3D, 20, FD, F1, 3D, 20, F6, C9, 21, 00, 20, 11, B0, 04, 3E, 20, 77, 23, 1B, 7A, B3, 20, F7, C9, 1A, B7, C8, 77, 23, 13, 18, F8, CD, AF, 00, DB, 80, B7, 28, F8, C9, 11, 84, 01, 21, F5, 20, CD, CD, 00, 21, 1D, 21, 3E, 0A, 11, AA, 01, F5, E5, CD, CD, 00, E1, F1, 01, 28, 00, 09, 3D, 20, EF, 11, 84, 01, CD, CD, 00, C9, 5A, 38, 30, 20, 53, 59, 53, 54, 45, 4D, 20, 4F, 4E, 20, 43, 48, 49, 50, 00, 52, 4F, 4E, 49, 56, 4F, 4E, 20, 43, 4F, 53, 54, 41, 20, 32, 30, 30, 38, 00, 7C, 21, 23, 24, 25, 26, 2F, 28, 29, 3D, 3F, 2A, 60, 2B, B4, E7, 7E, 5E, 2C, 2E, 3B, 3A, 5C, 3C, 3E, 00, 41, 42, 43, 44, 45, 46, 47, 48, 49, 4A, 4B, 4C, 4D, 4E, 4F, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 5A, 00, 61, 62, 63, 64, 65, 66, 67, 68, 69, 6A, 6B, 6C, 6D, 6E, 6F, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 7A, 00, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 2B, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 2B, 00, 7C, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 7C, 00
31, FF, FF, CD, C7, 00, 21, 32, 20, 11, 0E, 01, CD, D7, 00, 21, 5A, 20, 11, 21, 01, CD, D7, 00, CD, E8, 00, 21, 46, 21, 11, 34, 01, CD, D7, 00, 21, 96, 21, 11, 50, 01, CD, D7, 00, 21, E6, 21, 11, 6D, 01, CD, D7, 00, 21, 36, 22, 11, 8A, 01, CD, D7, 00, 21, 5E, 22, 11, 9E, 01, CD, D7, 00, DB, 20, 32, 00, E0, 11, D6, 22, 01, 1E, 00, CD, DF, 00, FE, 41, 28, 0B, D3, 11, 12, 13, 0B, 78, B1, 28, EA, 18, EE, 21, 00, 40, 3E, 00, 77, 23, 3C, 20, FB, 3E, 01, D3, 01, CD, B9, 00, CD, B9, 00, CD, B9, 00, CD, A6, 00, CD, B9, 00, CD, A6, 00, 21, 00, 40, 7C, D3, 11, 7D, D3, 10, 7E, D3, 01, CD, B9, 00, 23, 7E, FE, FF, 20, EE, 3E, 00, D3, 01, D3, 02, CD, A6, 00, C3, 03, 00, CD, B9, 00, DB, 30, D3, 10, FE, 0E, 20, F5, C9, 3A, 00, E0, 3D, 20, FD, C9, 3A, 00, E0, F5, 3E, FF, 3D, 20, FD, F1, 3D, 20, F6, C9, 21, 00, 20, 11, B0, 04, 3E, 20, 77, 23, 1B, 7A, B3, 20, F7, C9, 1A, B7, C8, 77, 23, 13, 18, F8, CD, B9, 00, DB, 80, B7, 28, F8, C9, 11, BD, 01, 21, F5, 20, CD, D7, 00, 21, 1D, 21, 3E, 0A, 11, FF, 01, F5, E5, CD, D7, 00, E1, F1, 01, 28, 00, 09, 3D, 20, EF, 11, DE, 01, CD, D7, 00, C9, 5A, 38, 30, 20, 53, 59, 53, 54, 45, 4D, 20, 4F, 4E, 20, 43, 48, 49, 50, 00, 52, 4F, 4E, 49, 56, 4F, 4E, 20, 43, 4F, 53, 54, 41, 20, 32, 30, 30, 38, 00, 20, 20, 7C, 21, 23, 24, 25, 26, 2F, 28, 29, 3D, 3F, 2A, 60, 2B, B4, E7, 7E, 5E, 2C, 2E, 3B, 3A, 5C, 3C, 3E, 00, 20, 20, 41, 42, 43, 44, 45, 46, 47, 48, 49, 4A, 4B, 4C, 4D, 4E, 4F, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 5A, 00, 20, 20, 61, 62, 63, 64, 65, 66, 67, 68, 69, 6A, 6B, 6C, 6D, 6E, 6F, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 7A, 00, 20, 20, 20, 20, 20, 20, 20, 20, 20, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 00, 02, 03, 04, 0B, 0C, 0D, 0E, 12, 18, 19, 1A, 1B, E8, E9, EB, BB, BC, 8A, 86, 87, 81, 80, 01, 06, 07, 08, 09, 0A, 1D, 1F, 00, C9, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, BB, 00, C8, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, BC, 00, BA, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, BA, 00
/trunk/ROM/SoC_PS2.z8a
34,7 → 34,7
 
setprint:
ld de,8918
ld bc,29
ld bc,30
loopprint:
call read_kbd
cp 41h
87,7 → 87,7
out (01h),a ; Turn off leds
out (02h),a
call read_key0 ; Wait until KEY0 is pressed
jr inicio ; Restart program
jP inicio ; Restart program
read_key0:
loop3:
call delay
170,13 → 170,13
db "RONIVON COSTA 2008"
db 0
Text3:
 
 
db 0
Text4:
db "ABCDEFGHIJKLMNOPQRSTUVWXYZ"
db " ABCDEFGHIJKLMNOPQRSTUVWXYZ"
db 0
Text5:
db "abcdefghijklmnopqrstuvwxyz"
db " abcdefghijklmnopqrstuvwxyz"
db 0
Text6:
db " 0123456789"
/trunk/ROM/rom.vhd
21,73 → 21,73
when x"0001" => D <= x"FF";
when x"0002" => D <= x"FF";
when x"0003" => D <= x"CD";
when x"0004" => D <= x"C6";
when x"0004" => D <= x"C7";
when x"0005" => D <= x"00";
when x"0006" => D <= x"21";
when x"0007" => D <= x"32";
when x"0008" => D <= x"20";
when x"0009" => D <= x"11";
when x"000A" => D <= x"0D";
when x"000A" => D <= x"0E";
when x"000B" => D <= x"01";
when x"000C" => D <= x"CD";
when x"000D" => D <= x"D6";
when x"000D" => D <= x"D7";
when x"000E" => D <= x"00";
when x"000F" => D <= x"21";
when x"0010" => D <= x"5A";
when x"0011" => D <= x"20";
when x"0012" => D <= x"11";
when x"0013" => D <= x"20";
when x"0013" => D <= x"21";
when x"0014" => D <= x"01";
when x"0015" => D <= x"CD";
when x"0016" => D <= x"D6";
when x"0016" => D <= x"D7";
when x"0017" => D <= x"00";
when x"0018" => D <= x"CD";
when x"0019" => D <= x"E7";
when x"0019" => D <= x"E8";
when x"001A" => D <= x"00";
when x"001B" => D <= x"21";
when x"001C" => D <= x"46";
when x"001D" => D <= x"21";
when x"001E" => D <= x"11";
when x"001F" => D <= x"33";
when x"001F" => D <= x"34";
when x"0020" => D <= x"01";
when x"0021" => D <= x"CD";
when x"0022" => D <= x"D6";
when x"0022" => D <= x"D7";
when x"0023" => D <= x"00";
when x"0024" => D <= x"21";
when x"0025" => D <= x"96";
when x"0026" => D <= x"21";
when x"0027" => D <= x"11";
when x"0028" => D <= x"4E";
when x"0028" => D <= x"50";
when x"0029" => D <= x"01";
when x"002A" => D <= x"CD";
when x"002B" => D <= x"D6";
when x"002B" => D <= x"D7";
when x"002C" => D <= x"00";
when x"002D" => D <= x"21";
when x"002E" => D <= x"E6";
when x"002F" => D <= x"21";
when x"0030" => D <= x"11";
when x"0031" => D <= x"69";
when x"0031" => D <= x"6D";
when x"0032" => D <= x"01";
when x"0033" => D <= x"CD";
when x"0034" => D <= x"D6";
when x"0034" => D <= x"D7";
when x"0035" => D <= x"00";
when x"0036" => D <= x"21";
when x"0037" => D <= x"36";
when x"0038" => D <= x"22";
when x"0039" => D <= x"11";
when x"003A" => D <= x"84";
when x"003A" => D <= x"8A";
when x"003B" => D <= x"01";
when x"003C" => D <= x"CD";
when x"003D" => D <= x"D6";
when x"003D" => D <= x"D7";
when x"003E" => D <= x"00";
when x"003F" => D <= x"21";
when x"0040" => D <= x"5E";
when x"0041" => D <= x"22";
when x"0042" => D <= x"11";
when x"0043" => D <= x"84";
when x"0043" => D <= x"9E";
when x"0044" => D <= x"01";
when x"0045" => D <= x"CD";
when x"0046" => D <= x"D6";
when x"0046" => D <= x"D7";
when x"0047" => D <= x"00";
when x"0048" => D <= x"DB";
when x"0049" => D <= x"20";
98,10 → 98,10
when x"004E" => D <= x"D6";
when x"004F" => D <= x"22";
when x"0050" => D <= x"01";
when x"0051" => D <= x"1D";
when x"0051" => D <= x"1E";
when x"0052" => D <= x"00";
when x"0053" => D <= x"CD";
when x"0054" => D <= x"DE";
when x"0054" => D <= x"DF";
when x"0055" => D <= x"00";
when x"0056" => D <= x"FE";
when x"0057" => D <= x"41";
133,22 → 133,22
when x"0071" => D <= x"D3";
when x"0072" => D <= x"01";
when x"0073" => D <= x"CD";
when x"0074" => D <= x"B8";
when x"0074" => D <= x"B9";
when x"0075" => D <= x"00";
when x"0076" => D <= x"CD";
when x"0077" => D <= x"B8";
when x"0077" => D <= x"B9";
when x"0078" => D <= x"00";
when x"0079" => D <= x"CD";
when x"007A" => D <= x"B8";
when x"007A" => D <= x"B9";
when x"007B" => D <= x"00";
when x"007C" => D <= x"CD";
when x"007D" => D <= x"A5";
when x"007D" => D <= x"A6";
when x"007E" => D <= x"00";
when x"007F" => D <= x"CD";
when x"0080" => D <= x"B8";
when x"0080" => D <= x"B9";
when x"0081" => D <= x"00";
when x"0082" => D <= x"CD";
when x"0083" => D <= x"A5";
when x"0083" => D <= x"A6";
when x"0084" => D <= x"00";
when x"0085" => D <= x"21";
when x"0086" => D <= x"00";
163,7 → 163,7
when x"008F" => D <= x"D3";
when x"0090" => D <= x"01";
when x"0091" => D <= x"CD";
when x"0092" => D <= x"B8";
when x"0092" => D <= x"B9";
when x"0093" => D <= x"00";
when x"0094" => D <= x"23";
when x"0095" => D <= x"7E";
178,336 → 178,389
when x"009E" => D <= x"D3";
when x"009F" => D <= x"02";
when x"00A0" => D <= x"CD";
when x"00A1" => D <= x"A5";
when x"00A1" => D <= x"A6";
when x"00A2" => D <= x"00";
when x"00A3" => D <= x"18";
when x"00A4" => D <= x"5E";
when x"00A5" => D <= x"CD";
when x"00A6" => D <= x"B8";
when x"00A7" => D <= x"00";
when x"00A8" => D <= x"DB";
when x"00A9" => D <= x"30";
when x"00AA" => D <= x"D3";
when x"00AB" => D <= x"10";
when x"00AC" => D <= x"FE";
when x"00AD" => D <= x"0E";
when x"00AE" => D <= x"20";
when x"00AF" => D <= x"F5";
when x"00B0" => D <= x"C9";
when x"00B1" => D <= x"3A";
when x"00B2" => D <= x"00";
when x"00B3" => D <= x"E0";
when x"00B4" => D <= x"3D";
when x"00B5" => D <= x"20";
when x"00B6" => D <= x"FD";
when x"00B7" => D <= x"C9";
when x"00B8" => D <= x"3A";
when x"00B9" => D <= x"00";
when x"00BA" => D <= x"E0";
when x"00BB" => D <= x"F5";
when x"00BC" => D <= x"3E";
when x"00BD" => D <= x"FF";
when x"00BE" => D <= x"3D";
when x"00BF" => D <= x"20";
when x"00C0" => D <= x"FD";
when x"00C1" => D <= x"F1";
when x"00C2" => D <= x"3D";
when x"00C3" => D <= x"20";
when x"00C4" => D <= x"F6";
when x"00C5" => D <= x"C9";
when x"00C6" => D <= x"21";
when x"00C7" => D <= x"00";
when x"00C8" => D <= x"20";
when x"00C9" => D <= x"11";
when x"00CA" => D <= x"B0";
when x"00CB" => D <= x"04";
when x"00CC" => D <= x"3E";
when x"00CD" => D <= x"20";
when x"00CE" => D <= x"77";
when x"00CF" => D <= x"23";
when x"00D0" => D <= x"1B";
when x"00D1" => D <= x"7A";
when x"00D2" => D <= x"B3";
when x"00D3" => D <= x"20";
when x"00D4" => D <= x"F7";
when x"00D5" => D <= x"C9";
when x"00D6" => D <= x"1A";
when x"00D7" => D <= x"B7";
when x"00D8" => D <= x"C8";
when x"00D9" => D <= x"77";
when x"00DA" => D <= x"23";
when x"00DB" => D <= x"13";
when x"00DC" => D <= x"18";
when x"00DD" => D <= x"F8";
when x"00DE" => D <= x"CD";
when x"00DF" => D <= x"B8";
when x"00E0" => D <= x"00";
when x"00E1" => D <= x"DB";
when x"00E2" => D <= x"80";
when x"00E3" => D <= x"B7";
when x"00E4" => D <= x"28";
when x"00E5" => D <= x"F8";
when x"00E6" => D <= x"C9";
when x"00E7" => D <= x"11";
when x"00E8" => D <= x"AF";
when x"00E9" => D <= x"01";
when x"00EA" => D <= x"21";
when x"00EB" => D <= x"F5";
when x"00EC" => D <= x"20";
when x"00ED" => D <= x"CD";
when x"00EE" => D <= x"D6";
when x"00EF" => D <= x"00";
when x"00F0" => D <= x"21";
when x"00F1" => D <= x"1D";
when x"00F2" => D <= x"21";
when x"00F3" => D <= x"3E";
when x"00F4" => D <= x"0A";
when x"00F5" => D <= x"11";
when x"00F6" => D <= x"CD";
when x"00F7" => D <= x"01";
when x"00F8" => D <= x"F5";
when x"00F9" => D <= x"E5";
when x"00FA" => D <= x"CD";
when x"00FB" => D <= x"D6";
when x"00FC" => D <= x"00";
when x"00FD" => D <= x"E1";
when x"00FE" => D <= x"F1";
when x"00FF" => D <= x"01";
when x"0100" => D <= x"28";
when x"0101" => D <= x"00";
when x"0102" => D <= x"09";
when x"0103" => D <= x"3D";
when x"0104" => D <= x"20";
when x"0105" => D <= x"EF";
when x"0106" => D <= x"11";
when x"0107" => D <= x"AF";
when x"0108" => D <= x"01";
when x"0109" => D <= x"CD";
when x"010A" => D <= x"D6";
when x"010B" => D <= x"00";
when x"010C" => D <= x"C9";
when x"010D" => D <= x"5A";
when x"010E" => D <= x"38";
when x"010F" => D <= x"30";
when x"0110" => D <= x"20";
when x"0111" => D <= x"53";
when x"0112" => D <= x"59";
when x"0113" => D <= x"53";
when x"0114" => D <= x"54";
when x"0115" => D <= x"45";
when x"0116" => D <= x"4D";
when x"0117" => D <= x"20";
when x"0118" => D <= x"4F";
when x"0119" => D <= x"4E";
when x"011A" => D <= x"20";
when x"011B" => D <= x"43";
when x"011C" => D <= x"48";
when x"011D" => D <= x"49";
when x"011E" => D <= x"50";
when x"011F" => D <= x"00";
when x"0120" => D <= x"52";
when x"0121" => D <= x"4F";
when x"0122" => D <= x"4E";
when x"0123" => D <= x"49";
when x"0124" => D <= x"56";
when x"0125" => D <= x"4F";
when x"0126" => D <= x"4E";
when x"0127" => D <= x"20";
when x"0128" => D <= x"43";
when x"0129" => D <= x"4F";
when x"012A" => D <= x"53";
when x"012B" => D <= x"54";
when x"012C" => D <= x"41";
when x"012D" => D <= x"20";
when x"012E" => D <= x"32";
when x"012F" => D <= x"30";
when x"00A3" => D <= x"C3";
when x"00A4" => D <= x"03";
when x"00A5" => D <= x"00";
when x"00A6" => D <= x"CD";
when x"00A7" => D <= x"B9";
when x"00A8" => D <= x"00";
when x"00A9" => D <= x"DB";
when x"00AA" => D <= x"30";
when x"00AB" => D <= x"D3";
when x"00AC" => D <= x"10";
when x"00AD" => D <= x"FE";
when x"00AE" => D <= x"0E";
when x"00AF" => D <= x"20";
when x"00B0" => D <= x"F5";
when x"00B1" => D <= x"C9";
when x"00B2" => D <= x"3A";
when x"00B3" => D <= x"00";
when x"00B4" => D <= x"E0";
when x"00B5" => D <= x"3D";
when x"00B6" => D <= x"20";
when x"00B7" => D <= x"FD";
when x"00B8" => D <= x"C9";
when x"00B9" => D <= x"3A";
when x"00BA" => D <= x"00";
when x"00BB" => D <= x"E0";
when x"00BC" => D <= x"F5";
when x"00BD" => D <= x"3E";
when x"00BE" => D <= x"FF";
when x"00BF" => D <= x"3D";
when x"00C0" => D <= x"20";
when x"00C1" => D <= x"FD";
when x"00C2" => D <= x"F1";
when x"00C3" => D <= x"3D";
when x"00C4" => D <= x"20";
when x"00C5" => D <= x"F6";
when x"00C6" => D <= x"C9";
when x"00C7" => D <= x"21";
when x"00C8" => D <= x"00";
when x"00C9" => D <= x"20";
when x"00CA" => D <= x"11";
when x"00CB" => D <= x"B0";
when x"00CC" => D <= x"04";
when x"00CD" => D <= x"3E";
when x"00CE" => D <= x"20";
when x"00CF" => D <= x"77";
when x"00D0" => D <= x"23";
when x"00D1" => D <= x"1B";
when x"00D2" => D <= x"7A";
when x"00D3" => D <= x"B3";
when x"00D4" => D <= x"20";
when x"00D5" => D <= x"F7";
when x"00D6" => D <= x"C9";
when x"00D7" => D <= x"1A";
when x"00D8" => D <= x"B7";
when x"00D9" => D <= x"C8";
when x"00DA" => D <= x"77";
when x"00DB" => D <= x"23";
when x"00DC" => D <= x"13";
when x"00DD" => D <= x"18";
when x"00DE" => D <= x"F8";
when x"00DF" => D <= x"CD";
when x"00E0" => D <= x"B9";
when x"00E1" => D <= x"00";
when x"00E2" => D <= x"DB";
when x"00E3" => D <= x"80";
when x"00E4" => D <= x"B7";
when x"00E5" => D <= x"28";
when x"00E6" => D <= x"F8";
when x"00E7" => D <= x"C9";
when x"00E8" => D <= x"11";
when x"00E9" => D <= x"BD";
when x"00EA" => D <= x"01";
when x"00EB" => D <= x"21";
when x"00EC" => D <= x"F5";
when x"00ED" => D <= x"20";
when x"00EE" => D <= x"CD";
when x"00EF" => D <= x"D7";
when x"00F0" => D <= x"00";
when x"00F1" => D <= x"21";
when x"00F2" => D <= x"1D";
when x"00F3" => D <= x"21";
when x"00F4" => D <= x"3E";
when x"00F5" => D <= x"0A";
when x"00F6" => D <= x"11";
when x"00F7" => D <= x"FF";
when x"00F8" => D <= x"01";
when x"00F9" => D <= x"F5";
when x"00FA" => D <= x"E5";
when x"00FB" => D <= x"CD";
when x"00FC" => D <= x"D7";
when x"00FD" => D <= x"00";
when x"00FE" => D <= x"E1";
when x"00FF" => D <= x"F1";
when x"0100" => D <= x"01";
when x"0101" => D <= x"28";
when x"0102" => D <= x"00";
when x"0103" => D <= x"09";
when x"0104" => D <= x"3D";
when x"0105" => D <= x"20";
when x"0106" => D <= x"EF";
when x"0107" => D <= x"11";
when x"0108" => D <= x"DE";
when x"0109" => D <= x"01";
when x"010A" => D <= x"CD";
when x"010B" => D <= x"D7";
when x"010C" => D <= x"00";
when x"010D" => D <= x"C9";
when x"010E" => D <= x"5A";
when x"010F" => D <= x"38";
when x"0110" => D <= x"30";
when x"0111" => D <= x"20";
when x"0112" => D <= x"53";
when x"0113" => D <= x"59";
when x"0114" => D <= x"53";
when x"0115" => D <= x"54";
when x"0116" => D <= x"45";
when x"0117" => D <= x"4D";
when x"0118" => D <= x"20";
when x"0119" => D <= x"4F";
when x"011A" => D <= x"4E";
when x"011B" => D <= x"20";
when x"011C" => D <= x"43";
when x"011D" => D <= x"48";
when x"011E" => D <= x"49";
when x"011F" => D <= x"50";
when x"0120" => D <= x"00";
when x"0121" => D <= x"52";
when x"0122" => D <= x"4F";
when x"0123" => D <= x"4E";
when x"0124" => D <= x"49";
when x"0125" => D <= x"56";
when x"0126" => D <= x"4F";
when x"0127" => D <= x"4E";
when x"0128" => D <= x"20";
when x"0129" => D <= x"43";
when x"012A" => D <= x"4F";
when x"012B" => D <= x"53";
when x"012C" => D <= x"54";
when x"012D" => D <= x"41";
when x"012E" => D <= x"20";
when x"012F" => D <= x"32";
when x"0130" => D <= x"30";
when x"0131" => D <= x"38";
when x"0132" => D <= x"00";
when x"0133" => D <= x"20";
when x"0134" => D <= x"7C";
when x"0135" => D <= x"21";
when x"0136" => D <= x"23";
when x"0137" => D <= x"24";
when x"0138" => D <= x"25";
when x"0139" => D <= x"26";
when x"013A" => D <= x"2F";
when x"013B" => D <= x"28";
when x"013C" => D <= x"29";
when x"013D" => D <= x"3D";
when x"013E" => D <= x"3F";
when x"013F" => D <= x"2A";
when x"0140" => D <= x"60";
when x"0141" => D <= x"2B";
when x"0142" => D <= x"B4";
when x"0143" => D <= x"E7";
when x"0144" => D <= x"7E";
when x"0145" => D <= x"5E";
when x"0146" => D <= x"2C";
when x"0147" => D <= x"2E";
when x"0148" => D <= x"3B";
when x"0149" => D <= x"3A";
when x"014A" => D <= x"5C";
when x"014B" => D <= x"3C";
when x"014C" => D <= x"3E";
when x"014D" => D <= x"00";
when x"014E" => D <= x"41";
when x"014F" => D <= x"42";
when x"0150" => D <= x"43";
when x"0151" => D <= x"44";
when x"0152" => D <= x"45";
when x"0153" => D <= x"46";
when x"0154" => D <= x"47";
when x"0155" => D <= x"48";
when x"0156" => D <= x"49";
when x"0157" => D <= x"4A";
when x"0158" => D <= x"4B";
when x"0159" => D <= x"4C";
when x"015A" => D <= x"4D";
when x"015B" => D <= x"4E";
when x"015C" => D <= x"4F";
when x"015D" => D <= x"50";
when x"015E" => D <= x"51";
when x"015F" => D <= x"52";
when x"0160" => D <= x"53";
when x"0161" => D <= x"54";
when x"0162" => D <= x"55";
when x"0163" => D <= x"56";
when x"0164" => D <= x"57";
when x"0165" => D <= x"58";
when x"0166" => D <= x"59";
when x"0167" => D <= x"5A";
when x"0168" => D <= x"00";
when x"0169" => D <= x"61";
when x"016A" => D <= x"62";
when x"016B" => D <= x"63";
when x"016C" => D <= x"64";
when x"016D" => D <= x"65";
when x"016E" => D <= x"66";
when x"016F" => D <= x"67";
when x"0170" => D <= x"68";
when x"0171" => D <= x"69";
when x"0172" => D <= x"6A";
when x"0173" => D <= x"6B";
when x"0174" => D <= x"6C";
when x"0175" => D <= x"6D";
when x"0176" => D <= x"6E";
when x"0177" => D <= x"6F";
when x"0178" => D <= x"70";
when x"0179" => D <= x"71";
when x"017A" => D <= x"72";
when x"017B" => D <= x"73";
when x"017C" => D <= x"74";
when x"017D" => D <= x"75";
when x"017E" => D <= x"76";
when x"017F" => D <= x"77";
when x"0180" => D <= x"78";
when x"0181" => D <= x"79";
when x"0182" => D <= x"7A";
when x"0183" => D <= x"00";
when x"0184" => D <= x"20";
when x"0185" => D <= x"20";
when x"0186" => D <= x"20";
when x"0187" => D <= x"20";
when x"0188" => D <= x"20";
when x"0189" => D <= x"20";
when x"0131" => D <= x"30";
when x"0132" => D <= x"38";
when x"0133" => D <= x"00";
when x"0134" => D <= x"20";
when x"0135" => D <= x"20";
when x"0136" => D <= x"7C";
when x"0137" => D <= x"21";
when x"0138" => D <= x"23";
when x"0139" => D <= x"24";
when x"013A" => D <= x"25";
when x"013B" => D <= x"26";
when x"013C" => D <= x"2F";
when x"013D" => D <= x"28";
when x"013E" => D <= x"29";
when x"013F" => D <= x"3D";
when x"0140" => D <= x"3F";
when x"0141" => D <= x"2A";
when x"0142" => D <= x"60";
when x"0143" => D <= x"2B";
when x"0144" => D <= x"B4";
when x"0145" => D <= x"E7";
when x"0146" => D <= x"7E";
when x"0147" => D <= x"5E";
when x"0148" => D <= x"2C";
when x"0149" => D <= x"2E";
when x"014A" => D <= x"3B";
when x"014B" => D <= x"3A";
when x"014C" => D <= x"5C";
when x"014D" => D <= x"3C";
when x"014E" => D <= x"3E";
when x"014F" => D <= x"00";
when x"0150" => D <= x"20";
when x"0151" => D <= x"20";
when x"0152" => D <= x"41";
when x"0153" => D <= x"42";
when x"0154" => D <= x"43";
when x"0155" => D <= x"44";
when x"0156" => D <= x"45";
when x"0157" => D <= x"46";
when x"0158" => D <= x"47";
when x"0159" => D <= x"48";
when x"015A" => D <= x"49";
when x"015B" => D <= x"4A";
when x"015C" => D <= x"4B";
when x"015D" => D <= x"4C";
when x"015E" => D <= x"4D";
when x"015F" => D <= x"4E";
when x"0160" => D <= x"4F";
when x"0161" => D <= x"50";
when x"0162" => D <= x"51";
when x"0163" => D <= x"52";
when x"0164" => D <= x"53";
when x"0165" => D <= x"54";
when x"0166" => D <= x"55";
when x"0167" => D <= x"56";
when x"0168" => D <= x"57";
when x"0169" => D <= x"58";
when x"016A" => D <= x"59";
when x"016B" => D <= x"5A";
when x"016C" => D <= x"00";
when x"016D" => D <= x"20";
when x"016E" => D <= x"20";
when x"016F" => D <= x"61";
when x"0170" => D <= x"62";
when x"0171" => D <= x"63";
when x"0172" => D <= x"64";
when x"0173" => D <= x"65";
when x"0174" => D <= x"66";
when x"0175" => D <= x"67";
when x"0176" => D <= x"68";
when x"0177" => D <= x"69";
when x"0178" => D <= x"6A";
when x"0179" => D <= x"6B";
when x"017A" => D <= x"6C";
when x"017B" => D <= x"6D";
when x"017C" => D <= x"6E";
when x"017D" => D <= x"6F";
when x"017E" => D <= x"70";
when x"017F" => D <= x"71";
when x"0180" => D <= x"72";
when x"0181" => D <= x"73";
when x"0182" => D <= x"74";
when x"0183" => D <= x"75";
when x"0184" => D <= x"76";
when x"0185" => D <= x"77";
when x"0186" => D <= x"78";
when x"0187" => D <= x"79";
when x"0188" => D <= x"7A";
when x"0189" => D <= x"00";
when x"018A" => D <= x"20";
when x"018B" => D <= x"20";
when x"018C" => D <= x"20";
when x"018D" => D <= x"30";
when x"018E" => D <= x"31";
when x"018F" => D <= x"32";
when x"0190" => D <= x"33";
when x"0191" => D <= x"34";
when x"0192" => D <= x"35";
when x"0193" => D <= x"36";
when x"0194" => D <= x"37";
when x"0195" => D <= x"38";
when x"0196" => D <= x"39";
when x"0197" => D <= x"00";
when x"0198" => D <= x"02";
when x"0199" => D <= x"03";
when x"019A" => D <= x"04";
when x"019B" => D <= x"0B";
when x"019C" => D <= x"0C";
when x"019D" => D <= x"0D";
when x"019E" => D <= x"0E";
when x"019F" => D <= x"12";
when x"01A0" => D <= x"18";
when x"01A1" => D <= x"19";
when x"01A2" => D <= x"1A";
when x"01A3" => D <= x"1B";
when x"01A4" => D <= x"E8";
when x"01A5" => D <= x"E9";
when x"01A6" => D <= x"EB";
when x"01A7" => D <= x"BB";
when x"01A8" => D <= x"BC";
when x"01A9" => D <= x"8A";
when x"01AA" => D <= x"86";
when x"01AB" => D <= x"87";
when x"01AC" => D <= x"81";
when x"01AD" => D <= x"80";
when x"01AE" => D <= x"00";
when x"01AF" => D <= x"2B";
when x"01B0" => D <= x"3D";
when x"01B1" => D <= x"3D";
when x"01B2" => D <= x"3D";
when x"01B3" => D <= x"3D";
when x"01B4" => D <= x"3D";
when x"01B5" => D <= x"3D";
when x"01B6" => D <= x"3D";
when x"01B7" => D <= x"3D";
when x"01B8" => D <= x"3D";
when x"01B9" => D <= x"3D";
when x"01BA" => D <= x"3D";
when x"01BB" => D <= x"3D";
when x"01BC" => D <= x"3D";
when x"01BD" => D <= x"3D";
when x"01BE" => D <= x"3D";
when x"01BF" => D <= x"3D";
when x"01C0" => D <= x"3D";
when x"01C1" => D <= x"3D";
when x"01C2" => D <= x"3D";
when x"01C3" => D <= x"3D";
when x"01C4" => D <= x"3D";
when x"01C5" => D <= x"3D";
when x"01C6" => D <= x"3D";
when x"01C7" => D <= x"3D";
when x"01C8" => D <= x"3D";
when x"01C9" => D <= x"3D";
when x"01CA" => D <= x"3D";
when x"01CB" => D <= x"2B";
when x"01CC" => D <= x"00";
when x"01CD" => D <= x"7C";
when x"01CE" => D <= x"20";
when x"01CF" => D <= x"20";
when x"01D0" => D <= x"20";
when x"01D1" => D <= x"20";
when x"01D2" => D <= x"20";
when x"01D3" => D <= x"20";
when x"01D4" => D <= x"20";
when x"01D5" => D <= x"20";
when x"01D6" => D <= x"20";
when x"01D7" => D <= x"20";
when x"01D8" => D <= x"20";
when x"01D9" => D <= x"20";
when x"01DA" => D <= x"20";
when x"01DB" => D <= x"20";
when x"01DC" => D <= x"20";
when x"01DD" => D <= x"20";
when x"01DE" => D <= x"20";
when x"01DF" => D <= x"20";
when x"01E0" => D <= x"20";
when x"01E1" => D <= x"20";
when x"01E2" => D <= x"20";
when x"01E3" => D <= x"20";
when x"01E4" => D <= x"20";
when x"01E5" => D <= x"20";
when x"01E6" => D <= x"20";
when x"01E7" => D <= x"20";
when x"01E8" => D <= x"20";
when x"01E9" => D <= x"7C";
when x"01EA" => D <= x"00";
when x"018D" => D <= x"20";
when x"018E" => D <= x"20";
when x"018F" => D <= x"20";
when x"0190" => D <= x"20";
when x"0191" => D <= x"20";
when x"0192" => D <= x"20";
when x"0193" => D <= x"30";
when x"0194" => D <= x"31";
when x"0195" => D <= x"32";
when x"0196" => D <= x"33";
when x"0197" => D <= x"34";
when x"0198" => D <= x"35";
when x"0199" => D <= x"36";
when x"019A" => D <= x"37";
when x"019B" => D <= x"38";
when x"019C" => D <= x"39";
when x"019D" => D <= x"00";
when x"019E" => D <= x"02";
when x"019F" => D <= x"03";
when x"01A0" => D <= x"04";
when x"01A1" => D <= x"0B";
when x"01A2" => D <= x"0C";
when x"01A3" => D <= x"0D";
when x"01A4" => D <= x"0E";
when x"01A5" => D <= x"12";
when x"01A6" => D <= x"18";
when x"01A7" => D <= x"19";
when x"01A8" => D <= x"1A";
when x"01A9" => D <= x"1B";
when x"01AA" => D <= x"E8";
when x"01AB" => D <= x"E9";
when x"01AC" => D <= x"EB";
when x"01AD" => D <= x"BB";
when x"01AE" => D <= x"BC";
when x"01AF" => D <= x"8A";
when x"01B0" => D <= x"86";
when x"01B1" => D <= x"87";
when x"01B2" => D <= x"81";
when x"01B3" => D <= x"80";
when x"01B4" => D <= x"01";
when x"01B5" => D <= x"06";
when x"01B6" => D <= x"07";
when x"01B7" => D <= x"08";
when x"01B8" => D <= x"09";
when x"01B9" => D <= x"0A";
when x"01BA" => D <= x"1D";
when x"01BB" => D <= x"1F";
when x"01BC" => D <= x"00";
when x"01BD" => D <= x"C9";
when x"01BE" => D <= x"CD";
when x"01BF" => D <= x"CD";
when x"01C0" => D <= x"CD";
when x"01C1" => D <= x"CD";
when x"01C2" => D <= x"CD";
when x"01C3" => D <= x"CD";
when x"01C4" => D <= x"CD";
when x"01C5" => D <= x"CD";
when x"01C6" => D <= x"CD";
when x"01C7" => D <= x"CD";
when x"01C8" => D <= x"CD";
when x"01C9" => D <= x"CD";
when x"01CA" => D <= x"CD";
when x"01CB" => D <= x"CD";
when x"01CC" => D <= x"CD";
when x"01CD" => D <= x"CD";
when x"01CE" => D <= x"CD";
when x"01CF" => D <= x"CD";
when x"01D0" => D <= x"CD";
when x"01D1" => D <= x"CD";
when x"01D2" => D <= x"CD";
when x"01D3" => D <= x"CD";
when x"01D4" => D <= x"CD";
when x"01D5" => D <= x"CD";
when x"01D6" => D <= x"CD";
when x"01D7" => D <= x"CD";
when x"01D8" => D <= x"CD";
when x"01D9" => D <= x"CD";
when x"01DA" => D <= x"CD";
when x"01DB" => D <= x"CD";
when x"01DC" => D <= x"BB";
when x"01DD" => D <= x"00";
when x"01DE" => D <= x"C8";
when x"01DF" => D <= x"CD";
when x"01E0" => D <= x"CD";
when x"01E1" => D <= x"CD";
when x"01E2" => D <= x"CD";
when x"01E3" => D <= x"CD";
when x"01E4" => D <= x"CD";
when x"01E5" => D <= x"CD";
when x"01E6" => D <= x"CD";
when x"01E7" => D <= x"CD";
when x"01E8" => D <= x"CD";
when x"01E9" => D <= x"CD";
when x"01EA" => D <= x"CD";
when x"01EB" => D <= x"CD";
when x"01EC" => D <= x"CD";
when x"01ED" => D <= x"CD";
when x"01EE" => D <= x"CD";
when x"01EF" => D <= x"CD";
when x"01F0" => D <= x"CD";
when x"01F1" => D <= x"CD";
when x"01F2" => D <= x"CD";
when x"01F3" => D <= x"CD";
when x"01F4" => D <= x"CD";
when x"01F5" => D <= x"CD";
when x"01F6" => D <= x"CD";
when x"01F7" => D <= x"CD";
when x"01F8" => D <= x"CD";
when x"01F9" => D <= x"CD";
when x"01FA" => D <= x"CD";
when x"01FB" => D <= x"CD";
when x"01FC" => D <= x"CD";
when x"01FD" => D <= x"BC";
when x"01FE" => D <= x"00";
when x"01FF" => D <= x"BA";
when x"0200" => D <= x"20";
when x"0201" => D <= x"20";
when x"0202" => D <= x"20";
when x"0203" => D <= x"20";
when x"0204" => D <= x"20";
when x"0205" => D <= x"20";
when x"0206" => D <= x"20";
when x"0207" => D <= x"20";
when x"0208" => D <= x"20";
when x"0209" => D <= x"20";
when x"020A" => D <= x"20";
when x"020B" => D <= x"20";
when x"020C" => D <= x"20";
when x"020D" => D <= x"20";
when x"020E" => D <= x"20";
when x"020F" => D <= x"20";
when x"0210" => D <= x"20";
when x"0211" => D <= x"20";
when x"0212" => D <= x"20";
when x"0213" => D <= x"20";
when x"0214" => D <= x"20";
when x"0215" => D <= x"20";
when x"0216" => D <= x"20";
when x"0217" => D <= x"20";
when x"0218" => D <= x"20";
when x"0219" => D <= x"20";
when x"021A" => D <= x"20";
when x"021B" => D <= x"20";
when x"021C" => D <= x"20";
when x"021D" => D <= x"20";
when x"021E" => D <= x"BA";
when x"021F" => D <= x"00";
when others => D <= x"00";
end case;
end if;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.