OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 63 to Rev 64
    Reverse comparison

Rev 63 → Rev 64

/trunk/sim/rtl_sim/src/verilog/oc8051_rom.v
43,12 → 43,15
//
// CVS Revision History
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
// Revision 1.3 2002/09/30 17:34:01 simont
// prepared header
//
//
 
module oc8051_rom (rst, clk, addr, ea_int, data1, data2, data3);
 
parameter INT_ROM_WID= 15;
//parameter INT_ROM_WID= 15;
 
input rst, clk;
input [15:0] addr;
62,7 → 65,8
 
wire ea;
 
assign ea = | addr[15:INT_ROM_WID];
//assign ea = | addr[15:INT_ROM_WID];
assign ea = 1'b0;
//assign ea_int = ! ea;
 
initial
69,7 → 73,7
begin
for (i=0; i<65536; i=i+1)
buff [i] = 8'h00;
$readmemh("../src/oc8051_rom.in", buff);
$readmemh("../../../asm/in/oc8051_rom.in", buff);
end
 
always @(posedge clk or posedge rst)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.