OpenCores
URL https://opencores.org/ocsvn/vga_lcd/vga_lcd/trunk

Subversion Repositories vga_lcd

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 63 to Rev 64
    Reverse comparison

Rev 63 → Rev 64

vga_lcd/web_uploads/oc_cvs_checkin.sh Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: vga_lcd/web_uploads/svn_checkin.sh =================================================================== --- vga_lcd/web_uploads/svn_checkin.sh (revision 63) +++ vga_lcd/web_uploads/svn_checkin.sh (nonexistent) @@ -1,2834 +0,0 @@ -#!/bin/bash -# AUTOMATICALLY GENERATED SCRIPT -# Scans the cores directory, excludes the projects and subdirectories -# listed below, and generates a script which checks in all of the -# remaining files to the SVN repository -# This should be run and the output piped to a new file something like: -# ./oc_cvs_checkin.sh > checkin_script.sh -# and then probably the execute permission enabled on checkin_script.sh -# Encapsulate the checkins inside this loop we can -# break out of in the event of a problem checking -# one of them in - -# Function to check the return value of each SVN checkin -function check_svn_return_value { if [ $? -gt 1 ]; then echo "Error during checkins - aborting script."; exit 1; fi -} -ALL_DONE="0" -while [ $ALL_DONE = 0 ]; do - pushd "100baset" - popd - pushd "1394ohci" - popd - pushd "2dcoprocessor" - popd - pushd "395_vgs" - popd - pushd "3des_vhdl" - popd - pushd "4bitprocesor" - popd - pushd "6502vhdl" - popd - pushd "68hc05" - popd - pushd "68hc08" - popd - pushd "8051_serial" - popd - pushd "8051_to_ahb_interface" - popd - pushd "8b10b_encdec" - svn import -m "Import from OC" "8b10b_encdec_v1d0.pdf" "http://orsoc.se:4488/svn/8b10b_encdec/8b10b_encdec_v1d0.pdf" - check_svn_return_value - svn import -m "Import from OC" "8b10_dec.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_dec.vhd" - check_svn_return_value - svn import -m "Import from OC" "8b10_enc.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_enc.vhd" - check_svn_return_value - svn import -m "Import from OC" "enc_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/enc_8b10b_TB.vhd" - check_svn_return_value - svn import -m "Import from OC" "encdec_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/encdec_8b10b_TB.vhd" - check_svn_return_value - popd - pushd "8bituartvhdl" - popd - pushd "aacencode" - popd - pushd "acxbrd" - svn import -m "Import from OC" "jopcore.pdf" "http://orsoc.se:4488/svn/acxbrd/jopcore.pdf" - check_svn_return_value - popd - pushd "adaptivefilter" - popd - pushd "adaptive_lms_equalizer" - popd - pushd "adder" - svn import -m "Import from OC" "high-speed-adder-128bits-opencore.v" "http://orsoc.se:4488/svn/adder/high-speed-adder-128bits-opencore.v" - check_svn_return_value - popd - pushd "ae18" - popd - pushd "aemb" - popd - pushd "aes128" - popd - pushd "aes_128_192_256" - svn import -m "Import from OC" "aes_dec.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_dec.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_enc.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_enc.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_pkg.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_pkg.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_top.pdf" "http://orsoc.se:4488/svn/aes_128_192_256/aes_top.pdf" - check_svn_return_value - svn import -m "Import from OC" "key_expansion.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/key_expansion.vhdl" - check_svn_return_value - popd - pushd "aes_core" - popd - pushd "aes_crypto_core" - popd - pushd "aes_fekete256" - svn import -m "Import from OC" "AES.ZIP" "http://orsoc.se:4488/svn/aes_fekete256/AES.ZIP" - check_svn_return_value - popd - pushd "ahb2wishbone" - popd - pushd "ahbahb" - popd - pushd "ahb_arbiter" - popd - pushd "ahb_system_generator" - popd - pushd "all_digital_fm_receiver" - svn import -m "Import from OC" "architecture.png" "http://orsoc.se:4488/svn/all_digital_fm_receiver/architecture.png" - check_svn_return_value - svn import -m "Import from OC" "fmsquare.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmsquare.jpg" - check_svn_return_value - svn import -m "Import from OC" "fmtriangular.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmtriangular.jpg" - check_svn_return_value - popd - pushd "alternascope" - svn import -m "Import from OC" "Alternascope_Sept15_2005.rar" "http://orsoc.se:4488/svn/alternascope/Alternascope_Sept15_2005.rar" - check_svn_return_value - svn import -m "Import from OC" "BlockDiagram_small.GIF" "http://orsoc.se:4488/svn/alternascope/BlockDiagram_small.GIF" - check_svn_return_value - svn import -m "Import from OC" "OpenCores.JPG" "http://orsoc.se:4488/svn/alternascope/OpenCores.JPG" - check_svn_return_value - popd - pushd "alu_with_selectable_inputs_and_outputs" - popd - pushd "amba_compliant_fifo_core" - popd - pushd "ambasdram" - popd - pushd "aquarius" - svn import -m "Import from OC" "aquarius.files" "http://orsoc.se:4488/svn/aquarius/aquarius.files" - check_svn_return_value - svn import -m "Import from OC" "aquarius.html" "http://orsoc.se:4488/svn/aquarius/aquarius.html" - check_svn_return_value - svn import -m "Import from OC" "cpublock.gif" "http://orsoc.se:4488/svn/aquarius/cpublock.gif" - check_svn_return_value - svn import -m "Import from OC" "fpgaboard.gif" "http://orsoc.se:4488/svn/aquarius/fpgaboard.gif" - check_svn_return_value - svn import -m "Import from OC" "rtl.gif" "http://orsoc.se:4488/svn/aquarius/rtl.gif" - check_svn_return_value - popd - pushd "aspida" - svn import -m "Import from OC" "aspida_dlx_core.tar.gz" "http://orsoc.se:4488/svn/aspida/aspida_dlx_core.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "aspida.gif" "http://orsoc.se:4488/svn/aspida/aspida.gif" - check_svn_return_value - svn import -m "Import from OC" "faq.tar.gz" "http://orsoc.se:4488/svn/aspida/faq.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "thumb_aspida.gif" "http://orsoc.se:4488/svn/aspida/thumb_aspida.gif" - check_svn_return_value - popd - pushd "asynchronous_clocks" - popd - pushd "ata" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ata/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "preliminary_ata_core.pdf" "http://orsoc.se:4488/svn/ata/preliminary_ata_core.pdf" - check_svn_return_value - popd - pushd "auto_baud" - svn import -m "Import from OC" "auto_baud.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud.v" - check_svn_return_value - svn import -m "Import from OC" "auto_baud_with_tracking.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud_with_tracking.v" - check_svn_return_value - svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/auto_baud/b13_safe_09_17_02.zip" - check_svn_return_value - popd - pushd "a_vhd_16550_uart" - svn import -m "Import from OC" "gh_uart_16550_101307.zip" "http://orsoc.se:4488/svn/a_vhd_16550_uart/gh_uart_16550_101307.zip" - check_svn_return_value - svn import -m "Import from OC" "vhdl_16550_uart_2_2.pdf" "http://orsoc.se:4488/svn/a_vhd_16550_uart/vhdl_16550_uart_2_2.pdf" - check_svn_return_value - popd - pushd "a_vhdl_can_controller" - svn import -m "Import from OC" "can_parts.zip" "http://orsoc.se:4488/svn/a_vhdl_can_controller/can_parts.zip" - check_svn_return_value - popd - pushd "avr_core" - svn import -m "Import from OC" "AVR_Core8F.tar.gz" "http://orsoc.se:4488/svn/avr_core/AVR_Core8F.tar.gz" - check_svn_return_value - popd - pushd "ax8" - popd - pushd "basicdes" - popd - pushd "basicrsa" - popd - pushd "baudgen" - svn import -m "Import from OC" "am_baud_rate_gen.vhd" "http://orsoc.se:4488/svn/baudgen/am_baud_rate_gen.vhd" - check_svn_return_value - popd - pushd "baud_select_uart" - popd - pushd "bc6502" - popd - pushd "big_counter" - popd - pushd "binary_to_bcd" - svn import -m "Import from OC" "b17_test_environment.zip" "http://orsoc.se:4488/svn/binary_to_bcd/b17_test_environment.zip" - check_svn_return_value - svn import -m "Import from OC" "bcd_to_binary.v" "http://orsoc.se:4488/svn/binary_to_bcd/bcd_to_binary.v" - check_svn_return_value - svn import -m "Import from OC" "binary_to_bcd.v" "http://orsoc.se:4488/svn/binary_to_bcd/binary_to_bcd.v" - check_svn_return_value - popd - pushd "bips" - popd - pushd "biquad" - svn import -m "Import from OC" "biquad.pdf" "http://orsoc.se:4488/svn/biquad/biquad.pdf" - check_svn_return_value - svn import -m "Import from OC" "biquad.v" "http://orsoc.se:4488/svn/biquad/biquad.v" - check_svn_return_value - svn import -m "Import from OC" "bqmain.v" "http://orsoc.se:4488/svn/biquad/bqmain.v" - check_svn_return_value - svn import -m "Import from OC" "bquad_blk.gif" "http://orsoc.se:4488/svn/biquad/bquad_blk.gif" - check_svn_return_value - svn import -m "Import from OC" "coefio.v" "http://orsoc.se:4488/svn/biquad/coefio.v" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/biquad/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "multa.v" "http://orsoc.se:4488/svn/biquad/multa.v" - check_svn_return_value - svn import -m "Import from OC" "multb.v" "http://orsoc.se:4488/svn/biquad/multb.v" - check_svn_return_value - svn import -m "Import from OC" "vsource.html" "http://orsoc.se:4488/svn/biquad/vsource.html" - check_svn_return_value - popd - pushd "bluespec-80211atransmitter" - popd - pushd "bluespec-bsp" - popd - pushd "bluespec-convolutional-codec" - popd - pushd "bluespec-fft" - popd - pushd "bluespec-galoisfield" - popd - pushd "bluespec-h264" - svn import -m "Import from OC" "h264.pdf" "http://orsoc.se:4488/svn/bluespec-h264/h264.pdf" - check_svn_return_value - svn import -m "Import from OC" "memo497.pdf" "http://orsoc.se:4488/svn/bluespec-h264/memo497.pdf" - check_svn_return_value - popd - pushd "bluespec-ofdm" - popd - pushd "bluespec-reedsolomon" - popd - pushd "bluetooth" - svn import -m "Import from OC" "BBspec.shtml" "http://orsoc.se:4488/svn/bluetooth/BBspec.shtml" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth_01b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_01b.zip" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth_02b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_02b.zip" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth.zip" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/bluetooth/index.shtml" - check_svn_return_value - popd - pushd "bluetooth_ver" - popd - pushd "board" - svn import -m "Import from OC" "blockdiagram.jpg" "http://orsoc.se:4488/svn/board/blockdiagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "boardflow.jpg" "http://orsoc.se:4488/svn/board/boardflow.jpg" - check_svn_return_value - svn import -m "Import from OC" "board.shtml" "http://orsoc.se:4488/svn/board/board.shtml" - check_svn_return_value - svn import -m "Import from OC" "coreflow.jpg" "http://orsoc.se:4488/svn/board/coreflow.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/board/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "led.jpg" "http://orsoc.se:4488/svn/board/led.jpg" - check_svn_return_value - svn import -m "Import from OC" "matrics.gif" "http://orsoc.se:4488/svn/board/matrics.gif" - check_svn_return_value - svn import -m "Import from OC" "power_led.gif" "http://orsoc.se:4488/svn/board/power_led.gif" - check_svn_return_value - svn import -m "Import from OC" "XC95108-PC84.sym" "http://orsoc.se:4488/svn/board/XC95108-PC84.sym" - check_svn_return_value - popd - pushd "boundaries" - popd - pushd "brisc" - popd - pushd "butterfly" - popd - pushd "c16" - popd - pushd "cable" - popd - pushd "cachemodel" - popd - pushd "cam" - popd - pushd "camellia" - svn import -m "Import from OC" "camellia_core_tb.vhd" "http://orsoc.se:4488/svn/camellia/camellia_core_tb.vhd" - check_svn_return_value - svn import -m "Import from OC" "CAMELLIA_CORE.vhd" "http://orsoc.se:4488/svn/camellia/CAMELLIA_CORE.vhd" - check_svn_return_value - svn import -m "Import from OC" "Camellia_doc.pdf" "http://orsoc.se:4488/svn/camellia/Camellia_doc.pdf" - check_svn_return_value - popd - pushd "camellia-vhdl" - popd - pushd "can" - svn import -m "Import from OC" "CAN.gif" "http://orsoc.se:4488/svn/can/CAN.gif" - check_svn_return_value - popd - pushd "cas" - popd - pushd "cdma" - popd - pushd "cereon" - svn import -m "Import from OC" "AssemblerReference.pdf" "http://orsoc.se:4488/svn/cereon/AssemblerReference.pdf" - check_svn_return_value - svn import -m "Import from OC" "CereonArchitectureReferenceManual_Version1.pdf" "http://orsoc.se:4488/svn/cereon/CereonArchitectureReferenceManual_Version1.pdf" - check_svn_return_value - svn import -m "Import from OC" "ProcedureCallingStandards.pdf" "http://orsoc.se:4488/svn/cereon/ProcedureCallingStandards.pdf" - check_svn_return_value - svn import -m "Import from OC" "ProcessorIdentificationScheme.pdf" "http://orsoc.se:4488/svn/cereon/ProcessorIdentificationScheme.pdf" - check_svn_return_value - popd - pushd "cf_cordic" - svn import -m "Import from OC" "cf_cordic.tgz" "http://orsoc.se:4488/svn/cf_cordic/cf_cordic.tgz" - check_svn_return_value - popd - pushd "cf_fft" - svn import -m "Import from OC" "cf_fft_test_large.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test_large.tgz" - check_svn_return_value - svn import -m "Import from OC" "cf_fft_test.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test.tgz" - check_svn_return_value - svn import -m "Import from OC" "cf_fft.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft.tgz" - check_svn_return_value - popd - pushd "cf_fir" - svn import -m "Import from OC" "cf_fir.tgz" "http://orsoc.se:4488/svn/cf_fir/cf_fir.tgz" - check_svn_return_value - popd - pushd "cf_fp_mul" - svn import -m "Import from OC" "cf_fp_mul.tgz" "http://orsoc.se:4488/svn/cf_fp_mul/cf_fp_mul.tgz" - check_svn_return_value - popd - pushd "cfft" - popd - pushd "cfinterface" - popd - pushd "cf_interleaver" - svn import -m "Import from OC" "cf_interleaver.tgz" "http://orsoc.se:4488/svn/cf_interleaver/cf_interleaver.tgz" - check_svn_return_value - popd - pushd "cf_ldpc" - svn import -m "Import from OC" "cf_ldpc.tgz" "http://orsoc.se:4488/svn/cf_ldpc/cf_ldpc.tgz" - check_svn_return_value - popd - pushd "cf_rca" - svn import -m "Import from OC" "cf_rca.tgz" "http://orsoc.se:4488/svn/cf_rca/cf_rca.tgz" - check_svn_return_value - svn import -m "Import from OC" "rca_tile.png" "http://orsoc.se:4488/svn/cf_rca/rca_tile.png" - check_svn_return_value - popd - pushd "cf_ssp" - svn import -m "Import from OC" "cf_ssp.tgz" "http://orsoc.se:4488/svn/cf_ssp/cf_ssp.tgz" - check_svn_return_value - svn import -m "Import from OC" "ssp_cordic.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_cordic.c" - check_svn_return_value - svn import -m "Import from OC" "ssp_first_order.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_first_order.c" - check_svn_return_value - popd - pushd "cia" - popd - pushd "claw" - popd - pushd "clocklessalu" - popd - pushd "cmpct" - popd - pushd "c-nit_soc" - popd - pushd "color_converter" - popd - pushd "constellation_vga" - popd - pushd "const_encoder" - svn import -m "Import from OC" "Const_enc_oc.doc" "http://orsoc.se:4488/svn/const_encoder/Const_enc_oc.doc" - check_svn_return_value - svn import -m "Import from OC" "const_enc.vhd" "http://orsoc.se:4488/svn/const_encoder/const_enc.vhd" - check_svn_return_value - popd - pushd "cordic" - svn import -m "Import from OC" "cordic.pdf" "http://orsoc.se:4488/svn/cordic/cordic.pdf" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/cordic/index.shtml" - check_svn_return_value - popd - pushd "core_arm" - popd - pushd "cowgirl" - popd - pushd "cpu6502_true_cycle" - popd - pushd "cpu65c02_true_cycle" - popd - pushd "cpu8080" - popd - pushd "cpugen" - svn import -m "Import from OC" "cpugen.jpg" "http://orsoc.se:4488/svn/cpugen/cpugen.jpg" - check_svn_return_value - popd - pushd "cryptopan_core" - popd - pushd "cryptosorter" - svn import -m "Import from OC" "cryptosorter.pdf" "http://orsoc.se:4488/svn/cryptosorter/cryptosorter.pdf" - check_svn_return_value - popd - pushd "csa" - popd - pushd "dallas_one-wire" - popd - pushd "dct" - svn import -m "Import from OC" "dct.shtml" "http://orsoc.se:4488/svn/dct/dct.shtml" - check_svn_return_value - svn import -m "Import from OC" "dct.zip" "http://orsoc.se:4488/svn/dct/dct.zip" - check_svn_return_value - svn import -m "Import from OC" "htmlbook.shtml" "http://orsoc.se:4488/svn/dct/htmlbook.shtml" - check_svn_return_value - svn import -m "Import from OC" "modexp.shtml" "http://orsoc.se:4488/svn/dct/modexp.shtml" - check_svn_return_value - popd - pushd "ddr_sdr" - svn import -m "Import from OC" "ddr_sdr_V1_0.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_0.zip" - check_svn_return_value - svn import -m "Import from OC" "ddr_sdr_V1_1.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_1.zip" - check_svn_return_value - svn import -m "Import from OC" "doc" "http://orsoc.se:4488/svn/ddr_sdr/doc" - check_svn_return_value - svn import -m "Import from OC" "LICENSE.dat" "http://orsoc.se:4488/svn/ddr_sdr/LICENSE.dat" - check_svn_return_value - svn import -m "Import from OC" "vhdl" "http://orsoc.se:4488/svn/ddr_sdr/vhdl" - check_svn_return_value - popd - pushd "ddsgen" - popd - pushd "decoder" - svn import -m "Import from OC" "mp3_decoder.zip" "http://orsoc.se:4488/svn/decoder/mp3_decoder.zip" - check_svn_return_value - popd - pushd "deflatecore" - popd - pushd "des" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/des/index.shtml" - check_svn_return_value - popd - pushd "design_dsp320tmsc10_with_vhdl" - popd - pushd "dfp" - svn import -m "Import from OC" "dfp.gif" "http://orsoc.se:4488/svn/dfp/dfp.gif" - check_svn_return_value - svn import -m "Import from OC" "DFPV10.zip" "http://orsoc.se:4488/svn/dfp/DFPV10.zip" - check_svn_return_value - svn import -m "Import from OC" "V3.zip" "http://orsoc.se:4488/svn/dfp/V3.zip" - check_svn_return_value - popd - pushd "digifilter" - popd - pushd "diogenes" - svn import -m "Import from OC" "diogenes.tar.bz2" "http://orsoc.se:4488/svn/diogenes/diogenes.tar.bz2" - check_svn_return_value - popd - pushd "dirac" - popd - pushd "djpeg" - popd - pushd "dmacontroller" - popd - pushd "dmt_tx" - popd - pushd "dram" - svn import -m "Import from OC" "dram.html" "http://orsoc.se:4488/svn/dram/dram.html" - check_svn_return_value - svn import -m "Import from OC" "dram.shtml" "http://orsoc.se:4488/svn/dram/dram.shtml" - check_svn_return_value - popd - pushd "dualspartainc6713cpci" - svn import -m "Import from OC" "6713_CPU.pdf" "http://orsoc.se:4488/svn/dualspartainc6713cpci/6713_CPU.pdf" - check_svn_return_value - svn import -m "Import from OC" "BotLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/BotLayer.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSP_Front.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_Front.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSP_near_done_tiny.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_near_done_tiny.jpg" - check_svn_return_value - svn import -m "Import from OC" "Mid1Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid1Layer.jpg" - check_svn_return_value - svn import -m "Import from OC" "Mid2Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid2Layer.jpg" - check_svn_return_value - svn import -m "Import from OC" "SystemDiagram.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/SystemDiagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "TopLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/TopLayer.jpg" - check_svn_return_value - popd - pushd "dwt2d" - svn import -m "Import from OC" "DIPC1.zip" "http://orsoc.se:4488/svn/dwt2d/DIPC1.zip" - check_svn_return_value - popd - pushd "e123mux" - svn import -m "Import from OC" "Block_Diagram.jpg" "http://orsoc.se:4488/svn/e123mux/Block_Diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "E123MUX_Core.pdf" "http://orsoc.se:4488/svn/e123mux/E123MUX_Core.pdf" - check_svn_return_value - popd - pushd "e1framer" - popd - pushd "e1framerdeframer" - svn import -m "Import from OC" "e1_framer.zip" "http://orsoc.se:4488/svn/e1framerdeframer/e1_framer.zip" - check_svn_return_value - svn import -m "Import from OC" "fas_insert.vhd" "http://orsoc.se:4488/svn/e1framerdeframer/fas_insert.vhd" - check_svn_return_value - popd - pushd "edatools" - popd - pushd "elevator" - popd - pushd "elphel_353" - popd - pushd "embedded_risc" - svn import -m "Import from OC" "Block_Diagram" "http://orsoc.se:4488/svn/embedded_risc/Block_Diagram" - check_svn_return_value - popd - pushd "embed_z8" - popd - pushd "epp" - svn import -m "Import from OC" "epp.jpg" "http://orsoc.se:4488/svn/epp/epp.jpg" - check_svn_return_value - popd - pushd "epp-interface-v" - popd - pushd "epp-to-wishbone" - popd - pushd "erp" - svn import -m "Import from OC" "ERPTechnicalReport4.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport4.pdf" - check_svn_return_value - svn import -m "Import from OC" "ERPTechnicalReport5.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport5.pdf" - check_svn_return_value - svn import -m "Import from OC" "ERPverilogcore.txt" "http://orsoc.se:4488/svn/erp/ERPverilogcore.txt" - check_svn_return_value - popd - pushd "ethdev" - popd - pushd "ethernet_tri_mode" - svn import -m "Import from OC" "ethernet_tri_mode.rel-1-0.tar.gz" "http://orsoc.se:4488/svn/ethernet_tri_mode/ethernet_tri_mode.rel-1-0.tar.gz" - check_svn_return_value - popd - pushd "ethmac10g" - popd - pushd "ethmacvhdl" - popd - pushd "ethswitch" - popd - pushd "eus100lx" - svn import -m "Import from OC" "180px-EUS_B_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_B_N.jpg" - check_svn_return_value - svn import -m "Import from OC" "180px-EUS_T_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_T_N.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUS100LX_BD.gif" "http://orsoc.se:4488/svn/eus100lx/EUS100LX_BD.gif" - check_svn_return_value - popd - pushd "eusfs" - svn import -m "Import from OC" "eusfs-bd.jpg" "http://orsoc.se:4488/svn/eusfs/eusfs-bd.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUSIIa_bottom_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUSIIa_bottom_tn.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUS_II_topa_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUS_II_topa_tn.jpg" - check_svn_return_value - popd - pushd "evision" - popd - pushd "extension_pack" - popd - pushd "fac2222m" - svn import -m "Import from OC" "ADC-DAC-AMP.png" "http://orsoc.se:4488/svn/fac2222m/ADC-DAC-AMP.png" - check_svn_return_value - svn import -m "Import from OC" "fac2222m.png" "http://orsoc.se:4488/svn/fac2222m/fac2222m.png" - check_svn_return_value - popd - pushd "fast-crc" - svn import -m "Import from OC" "CRC-generator.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC-generator.tgz" - check_svn_return_value - svn import -m "Import from OC" "CRC_ie3_contest.pdf" "http://orsoc.se:4488/svn/fast-crc/CRC_ie3_contest.pdf" - check_svn_return_value - svn import -m "Import from OC" "CRC.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC.tgz" - check_svn_return_value - svn import -m "Import from OC" "Readme" "http://orsoc.se:4488/svn/fast-crc/Readme" - check_svn_return_value - popd - pushd "fbas_encoder" - svn import -m "Import from OC" "chroma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/chroma_gen.png" - check_svn_return_value - svn import -m "Import from OC" "connect.png" "http://orsoc.se:4488/svn/fbas_encoder/connect.png" - check_svn_return_value - svn import -m "Import from OC" "fbas_encoder-0.21.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas_encoder-0.21.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "fbas-encoder_0.31.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas-encoder_0.31.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "fbas-enc_scrs1.jpg" "http://orsoc.se:4488/svn/fbas_encoder/fbas-enc_scrs1.jpg" - check_svn_return_value - svn import -m "Import from OC" "luma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/luma_gen.png" - check_svn_return_value - svn import -m "Import from OC" "main.png" "http://orsoc.se:4488/svn/fbas_encoder/main.png" - check_svn_return_value - popd - pushd "fcpu" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/fcpu/*" - check_svn_return_value - popd - pushd "ffr16" - svn import -m "Import from OC" "FFR16.jpg" "http://orsoc.se:4488/svn/ffr16/FFR16.jpg" - check_svn_return_value - popd - pushd "fft_32" - popd - pushd "fftprocessor" - popd - pushd "fht" - svn import -m "Import from OC" "fht_tb.v" "http://orsoc.se:4488/svn/fht/fht_tb.v" - check_svn_return_value - svn import -m "Import from OC" "fht.v" "http://orsoc.se:4488/svn/fht/fht.v" - check_svn_return_value - popd - pushd "fifouart" - svn import -m "Import from OC" "UART_datasheet.pdf" "http://orsoc.se:4488/svn/fifouart/UART_datasheet.pdf" - check_svn_return_value - popd - pushd "filter" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/filter/*" - check_svn_return_value - popd - pushd "firewire" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/firewire/index.shtml" - check_svn_return_value - popd - pushd "fir_filter_generator" - svn import -m "Import from OC" "design-of-high-speed.pdf" "http://orsoc.se:4488/svn/fir_filter_generator/design-of-high-speed.pdf" - check_svn_return_value - svn import -m "Import from OC" "FirGen_V1.0.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "FirGen_V1.1.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.1.zip" - check_svn_return_value - popd - pushd "flha" - popd - pushd "floatingcore" - popd - pushd "floating_point_adder_subtractor" - svn import -m "Import from OC" "addsub.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/addsub.vhd" - check_svn_return_value - svn import -m "Import from OC" "normalize.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/normalize.vhd" - check_svn_return_value - svn import -m "Import from OC" "shift.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/shift.vhd" - check_svn_return_value - popd - pushd "floppyif" - popd - pushd "fmtransmitter" - popd - pushd "fpga" - svn import -m "Import from OC" "docs.jar" "http://orsoc.se:4488/svn/fpga/docs.jar" - check_svn_return_value - svn import -m "Import from OC" "examples.jar" "http://orsoc.se:4488/svn/fpga/examples.jar" - check_svn_return_value - svn import -m "Import from OC" "Fpga.pdf" "http://orsoc.se:4488/svn/fpga/Fpga.pdf" - check_svn_return_value - svn import -m "Import from OC" "fpga_sw.pdf" "http://orsoc.se:4488/svn/fpga/fpga_sw.pdf" - check_svn_return_value - svn import -m "Import from OC" "gpl.txt" "http://orsoc.se:4488/svn/fpga/gpl.txt" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "KRPAN.jar" "http://orsoc.se:4488/svn/fpga/KRPAN.jar" - check_svn_return_value - svn import -m "Import from OC" "KRPAN.zip" "http://orsoc.se:4488/svn/fpga/KRPAN.zip" - check_svn_return_value - svn import -m "Import from OC" "opencores.cer" "http://orsoc.se:4488/svn/fpga/opencores.cer" - check_svn_return_value - svn import -m "Import from OC" "pwm12_8s.v" "http://orsoc.se:4488/svn/fpga/pwm12_8s.v" - check_svn_return_value - svn import -m "Import from OC" "sources.jar" "http://orsoc.se:4488/svn/fpga/sources.jar" - check_svn_return_value - svn import -m "Import from OC" "sshot1.gif" "http://orsoc.se:4488/svn/fpga/sshot1.gif" - check_svn_return_value - popd - pushd "fpgabsp" - popd - pushd "fpgaconfig" - svn import -m "Import from OC" "altera_config.png" "http://orsoc.se:4488/svn/fpgaconfig/altera_config.png" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfig_system_block_diag.gif" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig_system_block_diag.gif" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfig.zip" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig.zip" - check_svn_return_value - popd - pushd "fpgaproto" - popd - pushd "fpipelines" - popd - pushd "fpu" - svn import -m "Import from OC" "DEADJOE" "http://orsoc.se:4488/svn/fpu/DEADJOE" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpu/index.shtml" - check_svn_return_value - popd - pushd "fpu100" - svn import -m "Import from OC" "bug_report_260407.txt" "http://orsoc.se:4488/svn/fpu100/bug_report_260407.txt" - check_svn_return_value - svn import -m "Import from OC" "fpu_doc.pdf" "http://orsoc.se:4488/svn/fpu100/fpu_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "fpu_v18.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v18.zip" - check_svn_return_value - svn import -m "Import from OC" "fpu_v19.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v19.zip" - check_svn_return_value - popd - pushd "fpu32bit" - popd - pushd "fpuvhdl" - popd - pushd "freetools" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/freetools/*" - check_svn_return_value - popd - pushd "froop" - popd - pushd "fsl2serial" - popd - pushd "gamepads" - svn import -m "Import from OC" "gcpad.png" "http://orsoc.se:4488/svn/gamepads/gcpad.png" - check_svn_return_value - svn import -m "Import from OC" "snespad.png" "http://orsoc.se:4488/svn/gamepads/snespad.png" - check_svn_return_value - svn import -m "Import from OC" "snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/snespad_wire.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_gcpad.png" "http://orsoc.se:4488/svn/gamepads/thumb_gcpad.png" - check_svn_return_value - svn import -m "Import from OC" "thumb_snespad.png" "http://orsoc.se:4488/svn/gamepads/thumb_snespad.png" - check_svn_return_value - svn import -m "Import from OC" "thumb_snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/thumb_snespad_wire.jpg" - check_svn_return_value - popd - pushd "gcpu" - popd - pushd "generic_fifos" - popd - pushd "generic_fifovhd" - popd - pushd "gh_vhdl_library" - svn import -m "Import from OC" "gh_vhdl_lib_3_34.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_34.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_3_35.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_35.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_3_36.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_36.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_34.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_34.zip" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_35.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_35.zip" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_36.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_36.zip" - check_svn_return_value - popd - pushd "gig_ethernet_mac_core" - popd - pushd "gix96" - popd - pushd "gpio" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/gpio/index.shtml" - check_svn_return_value - popd - pushd "graphicallcd" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/graphicallcd/index.shtml" - check_svn_return_value - popd - pushd "graphiti" - svn import -m "Import from OC" "blockschaltbild.png" "http://orsoc.se:4488/svn/graphiti/blockschaltbild.png" - check_svn_return_value - svn import -m "Import from OC" "flowers.jpg" "http://orsoc.se:4488/svn/graphiti/flowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/graphitib.jpg" - check_svn_return_value - svn import -m "Import from OC" "graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/graphiti.jpg" - check_svn_return_value - svn import -m "Import from OC" "testbild.jpg" "http://orsoc.se:4488/svn/graphiti/testbild.jpg" - check_svn_return_value - svn import -m "Import from OC" "tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/tflowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_flowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_flowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphitib.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphiti.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_testbild.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_testbild.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_tflowers.jpg" - check_svn_return_value - popd - pushd "gsc" - svn import -m "Import from OC" "btyacc.tar.gz" "http://orsoc.se:4488/svn/gsc/btyacc.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "graphviz-2.8.tar.gz" "http://orsoc.se:4488/svn/gsc/graphviz-2.8.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "gsc-0.1.1.tar.gz" "http://orsoc.se:4488/svn/gsc/gsc-0.1.1.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "gsc.pdf" "http://orsoc.se:4488/svn/gsc/gsc.pdf" - check_svn_return_value - svn import -m "Import from OC" "keystone.tar.gz" "http://orsoc.se:4488/svn/gsc/keystone.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "treecc-0.3.8.tar.gz" "http://orsoc.se:4488/svn/gsc/treecc-0.3.8.tar.gz" - check_svn_return_value - popd - pushd "gup" - svn import -m "Import from OC" "gator_ucomputer_v1.0.zip" "http://orsoc.se:4488/svn/gup/gator_ucomputer_v1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/gup_logo_thumb.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/thumb_gup_logo_thumb.jpg" - check_svn_return_value - popd - pushd "gzip" - popd - pushd "hamming" - popd - pushd "hamming_gen" - svn import -m "Import from OC" "hamming.zip" "http://orsoc.se:4488/svn/hamming_gen/hamming.zip" - check_svn_return_value - popd - pushd "hangyu" - popd - pushd "hasm" - popd - pushd "hdb3" - popd - pushd "hdbn" - popd - pushd "hdlc" - svn import -m "Import from OC" "HDLC_cont.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDLC_cont.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.ps" - check_svn_return_value - svn import -m "Import from OC" "hdlc_fifo.jpg" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.jpg" - check_svn_return_value - svn import -m "Import from OC" "hdlc_fifo.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.ps" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.html" "http://orsoc.se:4488/svn/hdlc/hdlc_project.html" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.pdf" "http://orsoc.se:4488/svn/hdlc/hdlc_project.pdf" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_project.ps" - check_svn_return_value - svn import -m "Import from OC" "HDLC_top.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDLC_top.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_top.ps" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/hdlc/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/hdlc/wishlogo.ps" - check_svn_return_value - popd - pushd "help" - svn import -m "Import from OC" "exp1pf.gif" "http://orsoc.se:4488/svn/help/exp1pf.gif" - check_svn_return_value - svn import -m "Import from OC" "search.shtml" "http://orsoc.se:4488/svn/help/search.shtml" - check_svn_return_value - popd - pushd "hicovec" - popd - pushd "hierarch_unit" - popd - pushd "hmta" - popd - pushd "houmway" - popd - pushd "hpc-16" - popd - pushd "hpcmemory" - popd - pushd "hssdrc" - popd - pushd "ht_tunnel" - popd - pushd "hwlu" - popd - pushd "i2c" - svn import -m "Import from OC" "Block.gif" "http://orsoc.se:4488/svn/i2c/Block.gif" - check_svn_return_value - svn import -m "Import from OC" "i2c_rev03.pdf" "http://orsoc.se:4488/svn/i2c/i2c_rev03.pdf" - check_svn_return_value - svn import -m "Import from OC" "index_orig.shtml" "http://orsoc.se:4488/svn/i2c/index_orig.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/i2c/index.shtml" - check_svn_return_value - popd - pushd "i2clog" - svn import -m "Import from OC" "Documentation" "http://orsoc.se:4488/svn/i2clog/Documentation" - check_svn_return_value - svn import -m "Import from OC" "front" "http://orsoc.se:4488/svn/i2clog/front" - check_svn_return_value - svn import -m "Import from OC" "I2C_TrafficLogger.v" "http://orsoc.se:4488/svn/i2clog/I2C_TrafficLogger.v" - check_svn_return_value - popd - pushd "i2c_master_slave_core" - popd - pushd "i2c_slave" - svn import -m "Import from OC" "iic_slave_3.v" "http://orsoc.se:4488/svn/i2c_slave/iic_slave_3.v" - check_svn_return_value - popd - pushd "i2c_vhdl" - popd - pushd "i2s" - svn import -m "Import from OC" "dff.vhd" "http://orsoc.se:4488/svn/i2s/dff.vhd" - check_svn_return_value - svn import -m "Import from OC" "ebu_2_i2s.vhd" "http://orsoc.se:4488/svn/i2s/ebu_2_i2s.vhd" - check_svn_return_value - popd - pushd "i2s_interface" - svn import -m "Import from OC" "i2s_interface.zip" "http://orsoc.se:4488/svn/i2s_interface/i2s_interface.zip" - check_svn_return_value - popd - pushd "i2sparalell" - popd - pushd "ic6821" - svn import -m "Import from OC" "VHDL6821.vhd" "http://orsoc.se:4488/svn/ic6821/VHDL6821.vhd" - check_svn_return_value - popd - pushd "icu" - popd - pushd "ide" - popd - pushd "idea" - svn import -m "Import from OC" "block_opmode.tar.gz" "http://orsoc.se:4488/svn/idea/block_opmode.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "control.tar.gz" "http://orsoc.se:4488/svn/idea/control.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "IDEA core block.GIF" "http://orsoc.se:4488/svn/idea/IDEA core block.GIF" - check_svn_return_value - svn import -m "Import from OC" "idea_machine.tar.gz" "http://orsoc.se:4488/svn/idea/idea_machine.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "IDEA mechine block.GIF" "http://orsoc.se:4488/svn/idea/IDEA mechine block.GIF" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/idea/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "keys_generate.tar.gz" "http://orsoc.se:4488/svn/idea/keys_generate.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Paper_IES2001_sby.PDF" "http://orsoc.se:4488/svn/idea/Paper_IES2001_sby.PDF" - check_svn_return_value - svn import -m "Import from OC" "port_inout.tar.gz" "http://orsoc.se:4488/svn/idea/port_inout.tar.gz" - check_svn_return_value - popd - pushd "iiepci" - svn import -m "Import from OC" "iie_pci_back.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_back.jpg" - check_svn_return_value - svn import -m "Import from OC" "iie_pci_diagram.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "iie_pci_front.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_front.jpg" - check_svn_return_value - popd - pushd "ima-adpcm" - popd - pushd "interface_vga80x40" - svn import -m "Import from OC" "FPGA_VGA_Electrical_Interface.png" "http://orsoc.se:4488/svn/interface_vga80x40/FPGA_VGA_Electrical_Interface.png" - check_svn_return_value - svn import -m "Import from OC" "if_vga80x40.zip" "http://orsoc.se:4488/svn/interface_vga80x40/if_vga80x40.zip" - check_svn_return_value - svn import -m "Import from OC" "VGA80x40_documentation.pdf" "http://orsoc.se:4488/svn/interface_vga80x40/VGA80x40_documentation.pdf" - check_svn_return_value - popd - pushd "ipchip" - popd - pushd "irda" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/irda/index.shtml" - check_svn_return_value - popd - pushd "iso7816-3" - svn import -m "Import from OC" "iso7816-3.tgz" "http://orsoc.se:4488/svn/iso7816-3/iso7816-3.tgz" - check_svn_return_value - popd - pushd "isp" - popd - pushd "jop" - popd - pushd "jpeg" - svn import -m "Import from OC" "DiagramaCompJPGen.png" "http://orsoc.se:4488/svn/jpeg/DiagramaCompJPGen.png" - check_svn_return_value - svn import -m "Import from OC" "floresconsubsamp211.jpg" "http://orsoc.se:4488/svn/jpeg/floresconsubsamp211.jpg" - check_svn_return_value - svn import -m "Import from OC" "floressinsubsamp.jpg" "http://orsoc.se:4488/svn/jpeg/floressinsubsamp.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ05PSP.JPG" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ05PSP.JPG" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ15.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ31.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ31.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ50.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ50.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenglobosPSPQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosPSPQ15.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenglobosQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosQ15.jpg" - check_svn_return_value - popd - pushd "jpegcompression" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/jpegcompression/*" - check_svn_return_value - popd - pushd "jtag" - svn import -m "Import from OC" "Boundary-Scan Architecture.pdf" "http://orsoc.se:4488/svn/jtag/Boundary-Scan Architecture.pdf" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/jtag/index.shtml" - check_svn_return_value - popd - pushd "k68" - popd - pushd "k7_viterbi_decoder" - popd - pushd "kad" - popd - pushd "kcpsm3_interrupt_handling" - popd - pushd "keyboardcontroller" - popd - pushd "keypad_scanner" - svn import -m "Import from OC" "keypad_scanner.v" "http://orsoc.se:4488/svn/keypad_scanner/keypad_scanner.v" - check_svn_return_value - popd - pushd "kiss-board" - popd - pushd "ksystem" - popd - pushd "l8051" - svn import -m "Import from OC" "L8051.tar" "http://orsoc.se:4488/svn/l8051/L8051.tar" - check_svn_return_value - popd - pushd "lcd" - svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/lcd/alliance.shtml" - check_svn_return_value - svn import -m "Import from OC" "counterc.shtml" "http://orsoc.se:4488/svn/lcd/counterc.shtml" - check_svn_return_value - svn import -m "Import from OC" "counter.shtml" "http://orsoc.se:4488/svn/lcd/counter.shtml" - check_svn_return_value - svn import -m "Import from OC" "counterv.shtml" "http://orsoc.se:4488/svn/lcd/counterv.shtml" - check_svn_return_value - svn import -m "Import from OC" "decoderc.shtml" "http://orsoc.se:4488/svn/lcd/decoderc.shtml" - check_svn_return_value - svn import -m "Import from OC" "decoderv.shtml" "http://orsoc.se:4488/svn/lcd/decoderv.shtml" - check_svn_return_value - svn import -m "Import from OC" "dffresc.shtml" "http://orsoc.se:4488/svn/lcd/dffresc.shtml" - check_svn_return_value - svn import -m "Import from OC" "dffresv.shtml" "http://orsoc.se:4488/svn/lcd/dffresv.shtml" - check_svn_return_value - svn import -m "Import from OC" "dflipflop.shtml" "http://orsoc.se:4488/svn/lcd/dflipflop.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/lcd/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/lcd/index.shtml.old" - check_svn_return_value - svn import -m "Import from OC" "LCD.ht1.gif" "http://orsoc.se:4488/svn/lcd/LCD.ht1.gif" - check_svn_return_value - svn import -m "Import from OC" "lcd.zip" "http://orsoc.se:4488/svn/lcd/lcd.zip" - check_svn_return_value - svn import -m "Import from OC" "mcc.shtml" "http://orsoc.se:4488/svn/lcd/mcc.shtml" - check_svn_return_value - svn import -m "Import from OC" "mcv.shtml" "http://orsoc.se:4488/svn/lcd/mcv.shtml" - check_svn_return_value - svn import -m "Import from OC" "ramc.shtml" "http://orsoc.se:4488/svn/lcd/ramc.shtml" - check_svn_return_value - svn import -m "Import from OC" "ramv.shtml" "http://orsoc.se:4488/svn/lcd/ramv.shtml" - check_svn_return_value - svn import -m "Import from OC" "struct.shtml" "http://orsoc.se:4488/svn/lcd/struct.shtml" - check_svn_return_value - svn import -m "Import from OC" "test.shtml" "http://orsoc.se:4488/svn/lcd/test.shtml" - check_svn_return_value - popd - pushd "lcd1" - popd - pushd "lcd_controller" - svn import -m "Import from OC" "AP.zip" "http://orsoc.se:4488/svn/lcd_controller/AP.zip" - check_svn_return_value - svn import -m "Import from OC" "CM920TUserGuide.pdf" "http://orsoc.se:4488/svn/lcd_controller/CM920TUserGuide.pdf" - check_svn_return_value - svn import -m "Import from OC" "ColorTFT-LCDController.ppt" "http://orsoc.se:4488/svn/lcd_controller/ColorTFT-LCDController.ppt" - check_svn_return_value - svn import -m "Import from OC" "DUI0146C_LM600.pdf" "http://orsoc.se:4488/svn/lcd_controller/DUI0146C_LM600.pdf" - check_svn_return_value - svn import -m "Import from OC" "tx18d16vm1caa.pdf" "http://orsoc.se:4488/svn/lcd_controller/tx18d16vm1caa.pdf" - check_svn_return_value - popd - pushd "ldpc_decoder_802_3an" - svn import -m "Import from OC" "ldpc_decoder_802_3an.tar.gz" "http://orsoc.se:4488/svn/ldpc_decoder_802_3an/ldpc_decoder_802_3an.tar.gz" - check_svn_return_value - popd - pushd "ldpc_encoder_802_3an" - svn import -m "Import from OC" "ldpc_encoder_802_3an.v.gz" "http://orsoc.se:4488/svn/ldpc_encoder_802_3an/ldpc_encoder_802_3an.v.gz" - check_svn_return_value - popd - pushd "lem1_9min" - svn import -m "Import from OC" "d3_lem1_9min_hw.ucf" "http://orsoc.se:4488/svn/lem1_9min/d3_lem1_9min_hw.ucf" - check_svn_return_value - svn import -m "Import from OC" "Form1.cs" "http://orsoc.se:4488/svn/lem1_9min/Form1.cs" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_asm.csproj" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_asm.csproj" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_defs.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_defs.vhd" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_hw.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_hw.vhd" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min.vhd" - check_svn_return_value - svn import -m "Import from OC" "trinity_talk_041205.pdf" "http://orsoc.se:4488/svn/lem1_9min/trinity_talk_041205.pdf" - check_svn_return_value - popd - pushd "light8080" - popd - pushd "lin-a" - popd - pushd "line_codes" - popd - pushd "linuxvcap" - popd - pushd "llc1394" - popd - pushd "log_anal" - popd - pushd "lowpowerfir" - svn import -m "Import from OC" "FIRLowPowerConsiderations.doc" "http://orsoc.se:4488/svn/lowpowerfir/FIRLowPowerConsiderations.doc" - check_svn_return_value - svn import -m "Import from OC" "fir.zip" "http://orsoc.se:4488/svn/lowpowerfir/fir.zip" - check_svn_return_value - popd - pushd "lpc" - popd - pushd "lpu" - svn import -m "Import from OC" "lpu.zip" "http://orsoc.se:4488/svn/lpu/lpu.zip" - check_svn_return_value - svn import -m "Import from OC" "Mem Driven Processor.doc" "http://orsoc.se:4488/svn/lpu/Mem Driven Processor.doc" - check_svn_return_value - popd - pushd "lq057q3dc02" - popd - pushd "lwmips" - popd - pushd "lwrisc" - svn import -m "Import from OC" "200735153855.bmp" "http://orsoc.se:4488/svn/lwrisc/200735153855.bmp" - check_svn_return_value - svn import -m "Import from OC" "200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/200735153855.JPG" - check_svn_return_value - svn import -m "Import from OC" "clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/clairisc.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_200735153855.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_clairisc.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_we.GIF" "http://orsoc.se:4488/svn/lwrisc/thumb_we.GIF" - check_svn_return_value - svn import -m "Import from OC" "we.GIF" "http://orsoc.se:4488/svn/lwrisc/we.GIF" - check_svn_return_value - popd - pushd "m1_core" - popd - pushd "mac" - popd - pushd "macroblock_motion_detection" - popd - pushd "maf" - popd - pushd "mafa-pc-board" - popd - pushd "man2uart" - svn import -m "Import from OC" "Man2uartopencores.txt" "http://orsoc.se:4488/svn/man2uart/Man2uartopencores.txt" - check_svn_return_value - popd - pushd "manchesterencoderdecoder" - svn import -m "Import from OC" "ME2.vhd" "http://orsoc.se:4488/svn/manchesterencoderdecoder/ME2.vhd" - check_svn_return_value - popd - pushd "marca" - popd - pushd "matrix3x3" - popd - pushd "maxii-evalboard" - svn import -m "Import from OC" "MAXII-Evalboard-V1.00-Designpackage.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard-V1.00-Designpackage.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_a.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_a.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_b.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_b.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_BOM.xls" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_BOM.xls" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Gerber&CAM.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Gerber&CAM.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB-Errata.txt" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB-Errata.txt" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB.pdf" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Placement.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Placement.pdf" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Protel.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Protel.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Schem.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Schem.pdf" - check_svn_return_value - popd - pushd "mb-jpeg" - svn import -m "Import from OC" "mb-jpeg_STEP2_1b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_1b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mb-jpeg_STEP2_2b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_2b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mb-jpeg_STEP7_2.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP7_2.tar.bz2" - check_svn_return_value - popd - pushd "mcbsp" - popd - pushd "mcpu" - svn import -m "Import from OC" "mcpu_1.06b.zip" "http://orsoc.se:4488/svn/mcpu/mcpu_1.06b.zip" - check_svn_return_value - svn import -m "Import from OC" "mcpu-doc.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu-doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "mcpu.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu.pdf" - check_svn_return_value - popd - pushd "mcu8" - popd - pushd "md5" - popd - pushd "mdct" - svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/mdct/block_diagram.jpg" - check_svn_return_value - popd - pushd "membist" - popd - pushd "mem_ctrl" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mem_ctrl/index.shtml" - check_svn_return_value - popd - pushd "memorycontroller" - popd - pushd "memory_cores" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_cores/index.shtml" - check_svn_return_value - popd - pushd "memory_sizer" - svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_dual_path.zip" - check_svn_return_value - svn import -m "Import from OC" "b10_safe_12_18_01_single_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_single_path.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/memory_sizer/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/memory_sizer/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_sizer/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "memory_sizer_dual_path.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer_dual_path.v" - check_svn_return_value - svn import -m "Import from OC" "memory_sizer.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer.v" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/memory_sizer/people.shtml" - check_svn_return_value - popd - pushd "mfpga" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mfpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "mfpga_block.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block.gif" - check_svn_return_value - svn import -m "Import from OC" "mfpga_block_new.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block_new.gif" - check_svn_return_value - svn import -m "Import from OC" "micro_orcad.sch" "http://orsoc.se:4488/svn/mfpga/micro_orcad.sch" - check_svn_return_value - svn import -m "Import from OC" "micro_protelbinary.lib" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.lib" - check_svn_return_value - svn import -m "Import from OC" "micro_protelbinary.sch" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.sch" - check_svn_return_value - svn import -m "Import from OC" "micro_sch.pdf" "http://orsoc.se:4488/svn/mfpga/micro_sch.pdf" - check_svn_return_value - svn import -m "Import from OC" "xcv50.jpg" "http://orsoc.se:4488/svn/mfpga/xcv50.jpg" - check_svn_return_value - popd - pushd "micore" - popd - pushd "microprocessor" - popd - pushd "milsa" - popd - pushd "milstd1553bbusprotocol" - popd - pushd "mini-acex1k" - popd - pushd "mini_aes" - popd - pushd "minimips" - svn import -m "Import from OC" "miniMIPS.zip" "http://orsoc.se:4488/svn/minimips/miniMIPS.zip" - check_svn_return_value - popd - pushd "minirisc" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/minirisc/index.shtml" - check_svn_return_value - popd - pushd "mips789" - svn import -m "Import from OC" "cal_PI_2.GIF" "http://orsoc.se:4488/svn/mips789/cal_PI_2.GIF" - check_svn_return_value - svn import -m "Import from OC" "MIPS789.bmp" "http://orsoc.se:4488/svn/mips789/MIPS789.bmp" - check_svn_return_value - svn import -m "Import from OC" "pi_2200.GIF" "http://orsoc.se:4488/svn/mips789/pi_2200.GIF" - check_svn_return_value - svn import -m "Import from OC" "topview.GIF" "http://orsoc.se:4488/svn/mips789/topview.GIF" - check_svn_return_value - popd - pushd "mipss" - svn import -m "Import from OC" "s70_32bit_to_9bit.vhd" "http://orsoc.se:4488/svn/mipss/s70_32bit_to_9bit.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_ALU.vhd" "http://orsoc.se:4488/svn/mipss/s70_ALU.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_ctrl_unit.vhd" "http://orsoc.se:4488/svn/mipss/s70_ctrl_unit.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_data_mem_comp.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem_comp.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_data_mem.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_datapath.vhd" "http://orsoc.se:4488/svn/mipss/s70_datapath.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_Ext_S_Z.vhd" "http://orsoc.se:4488/svn/mipss/s70_Ext_S_Z.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_inc.vhd" "http://orsoc.se:4488/svn/mipss/s70_inc.vhd" - check_svn_return_value - popd - pushd "mmcfpgaconfig" - popd - pushd "moonshadow" - popd - pushd "most" - svn import -m "Import from OC" "MOST_Core_Compliance_Test_Specification.pdf" "http://orsoc.se:4488/svn/most/MOST_Core_Compliance_Test_Specification.pdf" - check_svn_return_value - svn import -m "Import from OC" "MOSTSpecification.pdf" "http://orsoc.se:4488/svn/most/MOSTSpecification.pdf" - check_svn_return_value - popd - pushd "most_core" - popd - pushd "motion_controller" - popd - pushd "motionestimator" - popd - pushd "motor" - popd - pushd "mp3decoder" - popd - pushd "mpdma" - svn import -m "Import from OC" "BlazeCluster_v0.14.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.14.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "BlazeCluster_v0.15.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.15.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "BlazeClusterv0.17.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.17.zip" - check_svn_return_value - svn import -m "Import from OC" "BlazeClusterv0.1.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.1.zip" - check_svn_return_value - svn import -m "Import from OC" "koblenz8_20070902.zip" "http://orsoc.se:4488/svn/mpdma/koblenz8_20070902.zip" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061020.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061020.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023b.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023c.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023c.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "SoftwareMultiprocessoronFPGA20070608.pdf" "http://orsoc.se:4488/svn/mpdma/SoftwareMultiprocessoronFPGA20070608.pdf" - check_svn_return_value - popd - pushd "mpeg2decoder" - popd - pushd "mpeg4_video_coding" - popd - pushd "mpegencoderdecoder" - popd - pushd "mup" - popd - pushd "ncore" - svn import -m "Import from OC" "CASM.C" "http://orsoc.se:4488/svn/ncore/CASM.C" - check_svn_return_value - svn import -m "Import from OC" "NCORE2.V" "http://orsoc.se:4488/svn/ncore/NCORE2.V" - check_svn_return_value - svn import -m "Import from OC" "NCORE3.V" "http://orsoc.se:4488/svn/ncore/NCORE3.V" - check_svn_return_value - svn import -m "Import from OC" "nCore_doc.pdf" "http://orsoc.se:4488/svn/ncore/nCore_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "NCORE.tar.bz2" "http://orsoc.se:4488/svn/ncore/NCORE.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "nCore.v" "http://orsoc.se:4488/svn/ncore/nCore.v" - check_svn_return_value - svn import -m "Import from OC" "SIM.C" "http://orsoc.se:4488/svn/ncore/SIM.C" - check_svn_return_value - popd - pushd "nemo_emotion" - popd - pushd "neot" - popd - pushd "neptune-core" - svn import -m "Import from OC" "triton-block.png" "http://orsoc.se:4488/svn/neptune-core/triton-block.png" - check_svn_return_value - popd - pushd "nnARM" - svn import -m "Import from OC" "Arch118.pdf" "http://orsoc.se:4488/svn/nnARM/Arch118.pdf" - check_svn_return_value - svn import -m "Import from OC" "Architecture111.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf" - check_svn_return_value - svn import -m "Import from OC" "Architecture111.pdf.old" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf.old" - check_svn_return_value - svn import -m "Import from OC" "Architecture_jc.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture_jc.pdf" - check_svn_return_value - svn import -m "Import from OC" "BS.shtml" "http://orsoc.se:4488/svn/nnARM/BS.shtml" - check_svn_return_value - svn import -m "Import from OC" "default.htm" "http://orsoc.se:4488/svn/nnARM/default.htm" - check_svn_return_value - svn import -m "Import from OC" "Documentation.shtml" "http://orsoc.se:4488/svn/nnARM/Documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "Download.shtml" "http://orsoc.se:4488/svn/nnARM/Download.shtml" - check_svn_return_value - svn import -m "Import from OC" "GT.shtml" "http://orsoc.se:4488/svn/nnARM/GT.shtml" - check_svn_return_value - svn import -m "Import from OC" "index1.shtml" "http://orsoc.se:4488/svn/nnARM/index1.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml1" "http://orsoc.se:4488/svn/nnARM/index.shtml1" - check_svn_return_value - svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/nnARM/index.shtml.old" - check_svn_return_value - svn import -m "Import from OC" "Introduction.shtml" "http://orsoc.se:4488/svn/nnARM/Introduction.shtml" - check_svn_return_value - svn import -m "Import from OC" "News.htm" "http://orsoc.se:4488/svn/nnARM/News.htm" - check_svn_return_value - svn import -m "Import from OC" "News.shtml" "http://orsoc.se:4488/svn/nnARM/News.shtml" - check_svn_return_value - svn import -m "Import from OC" "nnARM.prog" "http://orsoc.se:4488/svn/nnARM/nnARM.prog" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_10_1.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_10_1.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_19.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_19.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_20.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_20.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_09_02.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_09_02.zip" - check_svn_return_value - svn import -m "Import from OC" "People.htm" "http://orsoc.se:4488/svn/nnARM/People.htm" - check_svn_return_value - svn import -m "Import from OC" "People.shtml" "http://orsoc.se:4488/svn/nnARM/People.shtml" - check_svn_return_value - svn import -m "Import from OC" "PR.shtml" "http://orsoc.se:4488/svn/nnARM/PR.shtml" - check_svn_return_value - svn import -m "Import from OC" "put.JPG" "http://orsoc.se:4488/svn/nnARM/put.JPG" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_08_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_08_1.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_12_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_15_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_15_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_12_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_19_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_19_4.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_20_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_20_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_30_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_30_4.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_08_30_3.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_08_30_3.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_09_02_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_02_1.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_09_05_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_05_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_11_1_3.zip.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_11_1_3.zip.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM_tb.zip" "http://orsoc.se:4488/svn/nnARM/sARM_tb.zip" - check_svn_return_value - svn import -m "Import from OC" "tag3.bmp" "http://orsoc.se:4488/svn/nnARM/tag3.bmp" - check_svn_return_value - svn import -m "Import from OC" "Testbench" "http://orsoc.se:4488/svn/nnARM/Testbench" - check_svn_return_value - svn import -m "Import from OC" "topFrame.htm" "http://orsoc.se:4488/svn/nnARM/topFrame.htm" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.jpg" "http://orsoc.se:4488/svn/nnARM/wishlogo.jpg" - check_svn_return_value - popd - pushd "nocem" - popd - pushd "noise_reduction" - popd - pushd "nonrestoringsquareroot" - popd - pushd "nova" - popd - pushd "npigrctrl" - svn import -m "Import from OC" "demo.png" "http://orsoc.se:4488/svn/npigrctrl/demo.png" - check_svn_return_value - svn import -m "Import from OC" "mpmc4.rar" "http://orsoc.se:4488/svn/npigrctrl/mpmc4.rar" - check_svn_return_value - svn import -m "Import from OC" "npi_eng.vhd" "http://orsoc.se:4488/svn/npigrctrl/npi_eng.vhd" - check_svn_return_value - popd - pushd "oab1" - svn import -m "Import from OC" "index.htm" "http://orsoc.se:4488/svn/oab1/index.htm" - check_svn_return_value - svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/oab1/title_logo.gif" - check_svn_return_value - svn import -m "Import from OC" "ver01.JPG" "http://orsoc.se:4488/svn/oab1/ver01.JPG" - check_svn_return_value - svn import -m "Import from OC" "ver02.jpg" "http://orsoc.se:4488/svn/oab1/ver02.jpg" - check_svn_return_value - popd - pushd "oberon" - popd - pushd "ocmips" - svn import -m "Import from OC" "fpga.gif" "http://orsoc.se:4488/svn/ocmips/fpga.gif" - check_svn_return_value - svn import -m "Import from OC" "opencores.gif" "http://orsoc.se:4488/svn/ocmips/opencores.gif" - check_svn_return_value - svn import -m "Import from OC" "sim.GIF" "http://orsoc.se:4488/svn/ocmips/sim.GIF" - check_svn_return_value - popd - pushd "ocp_wb_wrapper" - popd - pushd "ocrp-1" - svn import -m "Import from OC" "block.gif" "http://orsoc.se:4488/svn/ocrp-1/block.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ocrp-1/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_bill_of_materials.txt" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_bill_of_materials.txt" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_gerber.tar.gz" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_gerber.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "ocrp1.jpg" "http://orsoc.se:4488/svn/ocrp-1/ocrp1.jpg" - check_svn_return_value - svn import -m "Import from OC" "ocrp1ord.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp1ord.pdf" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_sch.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_sch.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCB1-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB1-72dpi.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCB2-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB2-72dpi.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic1.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic1.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic2.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic2.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic3.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic3.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic4.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic4.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic7.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic7.jpg" - check_svn_return_value - svn import -m "Import from OC" "xc95288xl_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xc95288xl_tq144.bsd" - check_svn_return_value - svn import -m "Import from OC" "xcv100_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv100_tq144.bsd" - check_svn_return_value - svn import -m "Import from OC" "xcv50_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv50_tq144.bsd" - check_svn_return_value - popd - pushd "ofdm" - popd - pushd "ofdm-baseband-receiver" - popd - pushd "ofdm_modulator" - popd - pushd "oks8" - popd - pushd "omega" - popd - pushd "opb_i2c" - popd - pushd "opb_isa" - popd - pushd "opb_onewire" - popd - pushd "opb_ps2_keyboard_controller" - popd - pushd "opb_psram_controller" - popd - pushd "opb_udp_transceiver" - popd - pushd "opb_vga_char_display_nodac" - popd - pushd "opb_wb_wrapper" - popd - pushd "open_1394_intellectual_property" - popd - pushd "open8_urisc" - popd - pushd "openarm" - popd - pushd "opencores" - svn import -m "Import from OC" "27dec03_IrishTimes.pdf" "http://orsoc.se:4488/svn/opencores/27dec03_IrishTimes.pdf" - check_svn_return_value - svn import -m "Import from OC" "bottom.jpg" "http://orsoc.se:4488/svn/opencores/bottom.jpg" - check_svn_return_value - svn import -m "Import from OC" "dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/dr_logo_b.gif" - check_svn_return_value - svn import -m "Import from OC" "logos" "http://orsoc.se:4488/svn/opencores/logos" - check_svn_return_value - svn import -m "Import from OC" "mdl_logo.jpg" "http://orsoc.se:4488/svn/opencores/mdl_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "ORSoC_logo.jpg" "http://orsoc.se:4488/svn/opencores/ORSoC_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "press" "http://orsoc.se:4488/svn/opencores/press" - check_svn_return_value - svn import -m "Import from OC" "regionalbreakdown.png" "http://orsoc.se:4488/svn/opencores/regionalbreakdown.png" - check_svn_return_value - svn import -m "Import from OC" "siteranking.png" "http://orsoc.se:4488/svn/opencores/siteranking.png" - check_svn_return_value - svn import -m "Import from OC" "sponsors" "http://orsoc.se:4488/svn/opencores/sponsors" - check_svn_return_value - svn import -m "Import from OC" "thumb_dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/thumb_dr_logo_b.gif" - check_svn_return_value - svn import -m "Import from OC" "Ultimodule_Logo_Blue.JPG" "http://orsoc.se:4488/svn/opencores/Ultimodule_Logo_Blue.JPG" - check_svn_return_value - popd - pushd "opencpu678085" - popd - pushd "openfire" - popd - pushd "openfire2" - svn import -m "Import from OC" "freertos.zip" "http://orsoc.se:4488/svn/openfire2/freertos.zip" - check_svn_return_value - svn import -m "Import from OC" "targetselection.itb" "http://orsoc.se:4488/svn/openfire2/targetselection.itb" - check_svn_return_value - popd - pushd "openfire_core" - popd - pushd "openh263" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/openh263/index.shtml" - check_svn_return_value - popd - pushd "openriscdevboard" - svn import -m "Import from OC" "altera_dev_brd.zip" "http://orsoc.se:4488/svn/openriscdevboard/altera_dev_brd.zip" - check_svn_return_value - svn import -m "Import from OC" "cyc2-openrisc.zip" "http://orsoc.se:4488/svn/openriscdevboard/cyc2-openrisc.zip" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfigEval_V1_2.zip" "http://orsoc.se:4488/svn/openriscdevboard/fpgaConfigEval_V1_2.zip" - check_svn_return_value - svn import -m "Import from OC" "usbPlusUart.zip" "http://orsoc.se:4488/svn/openriscdevboard/usbPlusUart.zip" - check_svn_return_value - popd - pushd "open_tcpip" - popd - pushd "opentech" - svn import -m "Import from OC" "changes_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_1.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_1.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_1.txt" - check_svn_return_value - svn import -m "Import from OC" "content.txt" "http://orsoc.se:4488/svn/opentech/content.txt" - check_svn_return_value - svn import -m "Import from OC" "covers.zip" "http://orsoc.se:4488/svn/opentech/covers.zip" - check_svn_return_value - svn import -m "Import from OC" "icon.gif" "http://orsoc.se:4488/svn/opentech/icon.gif" - check_svn_return_value - svn import -m "Import from OC" "icon.jpg" "http://orsoc.se:4488/svn/opentech/icon.jpg" - check_svn_return_value - svn import -m "Import from OC" "icon.png" "http://orsoc.se:4488/svn/opentech/icon.png" - check_svn_return_value - svn import -m "Import from OC" "logo_full.jpg" "http://orsoc.se:4488/svn/opentech/logo_full.jpg" - check_svn_return_value - svn import -m "Import from OC" "OpenTech_Info.xls" "http://orsoc.se:4488/svn/opentech/OpenTech_Info.xls" - check_svn_return_value - svn import -m "Import from OC" "OpenTechnologies_small.gif" "http://orsoc.se:4488/svn/opentech/OpenTechnologies_small.gif" - check_svn_return_value - svn import -m "Import from OC" "OT_Contents.zip" "http://orsoc.se:4488/svn/opentech/OT_Contents.zip" - check_svn_return_value - popd - pushd "openverifla" - svn import -m "Import from OC" "verifla_keyboard_protocol_verification_50procent.jpg" "http://orsoc.se:4488/svn/openverifla/verifla_keyboard_protocol_verification_50procent.jpg" - check_svn_return_value - popd - pushd "or1200gct" - popd - pushd "or1k-cf" - popd - pushd "or1k-new" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/or1k-new/index.shtml" - check_svn_return_value - popd - pushd "ovcodec" - svn import -m "Import from OC" "ogg_files.zip" "http://orsoc.se:4488/svn/ovcodec/ogg_files.zip" - check_svn_return_value - popd - pushd "pap" - popd - pushd "pavr" - svn import -m "Import from OC" "pavr032.chm.zip" "http://orsoc.se:4488/svn/pavr/pavr032.chm.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr032-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr032-devel.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr032.html.zip" "http://orsoc.se:4488/svn/pavr/pavr032.html.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-devel.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-release-chm.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-chm.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-release-html.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-html.zip" - check_svn_return_value - svn import -m "Import from OC" "todo.html" "http://orsoc.se:4488/svn/pavr/todo.html" - check_svn_return_value - popd - pushd "pci" - svn import -m "Import from OC" "charact.shtml" "http://orsoc.se:4488/svn/pci/charact.shtml" - check_svn_return_value - svn import -m "Import from OC" "contacts.shtml" "http://orsoc.se:4488/svn/pci/contacts.shtml" - check_svn_return_value - svn import -m "Import from OC" "current_stat.shtml" "http://orsoc.se:4488/svn/pci/current_stat.shtml" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/pci/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/pci/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/pci/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "links.shtml" "http://orsoc.se:4488/svn/pci/links.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCI_HOST_architecture.jpg" "http://orsoc.se:4488/svn/pci/PCI_HOST_architecture.jpg" - check_svn_return_value - svn import -m "Import from OC" "pci_parity.html" "http://orsoc.se:4488/svn/pci/pci_parity.html" - check_svn_return_value - svn import -m "Import from OC" "pci_prototype.shtml" "http://orsoc.se:4488/svn/pci/pci_prototype.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCIsim.shtml" "http://orsoc.se:4488/svn/pci/PCIsim.shtml" - check_svn_return_value - svn import -m "Import from OC" "pci_snapshots.shtml" "http://orsoc.se:4488/svn/pci/pci_snapshots.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_conn.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_conn.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_cristal.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_cristal.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_sch.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.gif" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_sch.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_test_brd.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_test_brd.gif" - check_svn_return_value - svn import -m "Import from OC" "pcixwin.jpg" "http://orsoc.se:4488/svn/pci/pcixwin.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00022.jpg" "http://orsoc.se:4488/svn/pci/Pic00022.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00026.jpg" "http://orsoc.se:4488/svn/pci/Pic00026.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00027.jpg" "http://orsoc.se:4488/svn/pci/Pic00027.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00028.jpg" "http://orsoc.se:4488/svn/pci/Pic00028.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00037.jpg" "http://orsoc.se:4488/svn/pci/Pic00037.jpg" - check_svn_return_value - svn import -m "Import from OC" "pics" "http://orsoc.se:4488/svn/pci/pics" - check_svn_return_value - svn import -m "Import from OC" "references.shtml" "http://orsoc.se:4488/svn/pci/references.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_app.shtml" "http://orsoc.se:4488/svn/pci/test_app.shtml" - check_svn_return_value - svn import -m "Import from OC" "testbench.shtml" "http://orsoc.se:4488/svn/pci/testbench.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_board.shtml" "http://orsoc.se:4488/svn/pci/test_board.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_driver.shtml" "http://orsoc.se:4488/svn/pci/test_driver.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_snapshots.shtml" "http://orsoc.se:4488/svn/pci/test_snapshots.shtml" - check_svn_return_value - svn import -m "Import from OC" "thumb_pcixwin.jpg" "http://orsoc.se:4488/svn/pci/thumb_pcixwin.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00022.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00022.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00026.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00026.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00027.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00027.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00028.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00028.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00037.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00037.jpg" - check_svn_return_value - svn import -m "Import from OC" "todo_list.shtml" "http://orsoc.se:4488/svn/pci/todo_list.shtml" - check_svn_return_value - popd - pushd "pci32tlite_oc" - popd - pushd "pci-board" - svn import -m "Import from OC" "PCI-Board.jpeg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpeg" - check_svn_return_value - svn import -m "Import from OC" "PCI-Board.jpg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI-CARD-SCH-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-CARD-SCH-v1.0.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCI-Card-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-Card-v1.0.pdf" - check_svn_return_value - popd - pushd "pci_controller" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/pci_controller/*" - check_svn_return_value - popd - pushd "pcie_vera_tb" - popd - pushd "pci_express" - popd - pushd "pci_express_crc" - popd - pushd "pci_ide_controller" - popd - pushd "pci_mini" - svn import -m "Import from OC" "PCI_Mini_IP_core_Datasheet2.0_oc.pdf" "http://orsoc.se:4488/svn/pci_mini/PCI_Mini_IP_core_Datasheet2.0_oc.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCI_mini.zip" "http://orsoc.se:4488/svn/pci_mini/PCI_mini.zip" - check_svn_return_value - popd - pushd "pcix" - popd - pushd "pcmcia" - popd - pushd "performance_counter" - svn import -m "Import from OC" "PeformanceCounterforMicroblazev0.1.zip" "http://orsoc.se:4488/svn/performance_counter/PeformanceCounterforMicroblazev0.1.zip" - check_svn_return_value - popd - pushd "perlilog" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/perlilog/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "old-index.shtml" "http://orsoc.se:4488/svn/perlilog/old-index.shtml" - check_svn_return_value - svn import -m "Import from OC" "Perlilog-0.2.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.2.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Perlilog-0.3.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.3.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide-0.2.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.2.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide-0.3.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.3.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog.tar.gz" "http://orsoc.se:4488/svn/perlilog/perlilog.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "perlilog.zip" "http://orsoc.se:4488/svn/perlilog/perlilog.zip" - check_svn_return_value - popd - pushd "phoenix_controller" - popd - pushd "pic8259" - popd - pushd "picoblaze_interrupt_controller" - svn import -m "Import from OC" "Pblaze_IntController-061221.zip" "http://orsoc.se:4488/svn/picoblaze_interrupt_controller/Pblaze_IntController-061221.zip" - check_svn_return_value - popd - pushd "pif2wb" - popd - pushd "pipelined_aes" - popd - pushd "pipelined_dct" - popd - pushd "piranha" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/piranha/*" - check_svn_return_value - popd - pushd "power_inverter" - popd - pushd "ppcnorthbridge" - popd - pushd "ppx16" - popd - pushd "product_code_iterative_decoder" - popd - pushd "profibus_dp" - svn import -m "Import from OC" "vhdl_source_files.zip" "http://orsoc.se:4488/svn/profibus_dp/vhdl_source_files.zip" - check_svn_return_value - popd - pushd "programmabledct" - popd - pushd "project" - svn import -m "Import from OC" "datapath.pdf" "http://orsoc.se:4488/svn/project/datapath.pdf" - check_svn_return_value - svn import -m "Import from OC" "Informations.doc" "http://orsoc.se:4488/svn/project/Informations.doc" - check_svn_return_value - svn import -m "Import from OC" "memories_core_jenerator_implementations.rar" "http://orsoc.se:4488/svn/project/memories_core_jenerator_implementations.rar" - check_svn_return_value - svn import -m "Import from OC" "Readme-Instructions.doc" "http://orsoc.se:4488/svn/project/Readme-Instructions.doc" - check_svn_return_value - svn import -m "Import from OC" "RegFile_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/RegFile_SystemC_implementation.rar" - check_svn_return_value - svn import -m "Import from OC" "systemC_Implementation.rar" "http://orsoc.se:4488/svn/project/systemC_Implementation.rar" - check_svn_return_value - svn import -m "Import from OC" "Xilinx_project_from_files_from_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/Xilinx_project_from_files_from_SystemC_implementation.rar" - check_svn_return_value - popd - pushd "ps2" - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/ps2/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/ps2/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ps2/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/ps2/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "ps2_keyboard.v" "http://orsoc.se:4488/svn/ps2/ps2_keyboard.v" - check_svn_return_value - svn import -m "Import from OC" "ps2_mouse.v" "http://orsoc.se:4488/svn/ps2/ps2_mouse.v" - check_svn_return_value - svn import -m "Import from OC" "ps2_soc1.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc1.zip" - check_svn_return_value - svn import -m "Import from OC" "ps2_soc2.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc2.zip" - check_svn_return_value - popd - pushd "ps2core" - popd - pushd "ptc" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ptc/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ptc_spec.pdf" "http://orsoc.se:4488/svn/ptc/ptc_spec.pdf" - check_svn_return_value - popd - pushd "pyramid_unit" - popd - pushd "quadraturecount" - popd - pushd "r2000" - popd - pushd "radixrsa" - svn import -m "Import from OC" "core.shtml" "http://orsoc.se:4488/svn/radixrsa/core.shtml" - check_svn_return_value - svn import -m "Import from OC" "doc.shtml" "http://orsoc.se:4488/svn/radixrsa/doc.shtml" - check_svn_return_value - svn import -m "Import from OC" "dotty.gif" "http://orsoc.se:4488/svn/radixrsa/dotty.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/radixrsa/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "montgo.jpg" "http://orsoc.se:4488/svn/radixrsa/montgo.jpg" - check_svn_return_value - svn import -m "Import from OC" "RSAAlgorithm.pdf" "http://orsoc.se:4488/svn/radixrsa/RSAAlgorithm.pdf" - check_svn_return_value - svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/radixrsa/title_logo.gif" - check_svn_return_value - popd - pushd "raggedstone" - svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/raggedstone/README" - check_svn_return_value - popd - pushd "rc5-72" - popd - pushd "rc5_decoder" - popd - pushd "rfid" - svn import -m "Import from OC" "7Prog.pdf" "http://orsoc.se:4488/svn/rfid/7Prog.pdf" - check_svn_return_value - svn import -m "Import from OC" "TheMultiTagTesterFinal.exe" "http://orsoc.se:4488/svn/rfid/TheMultiTagTesterFinal.exe" - check_svn_return_value - popd - pushd "rijndael" - svn import -m "Import from OC" "dekrip_files" "http://orsoc.se:4488/svn/rijndael/dekrip_files" - check_svn_return_value - svn import -m "Import from OC" "dekrip.htm" "http://orsoc.se:4488/svn/rijndael/dekrip.htm" - check_svn_return_value - svn import -m "Import from OC" "enkrip_files" "http://orsoc.se:4488/svn/rijndael/enkrip_files" - check_svn_return_value - svn import -m "Import from OC" "enkrip.htm" "http://orsoc.se:4488/svn/rijndael/enkrip.htm" - check_svn_return_value - svn import -m "Import from OC" "enkrip.pdf" "http://orsoc.se:4488/svn/rijndael/enkrip.pdf" - check_svn_return_value - popd - pushd "risc16f84" - svn import -m "Import from OC" "b13c_environment.zip" "http://orsoc.se:4488/svn/risc16f84/b13c_environment.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/risc16f84/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/risc16f84/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/risc16f84/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/risc16f84/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_clk2x.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_clk2x.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_lite.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_lite.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_small.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_small.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84.v" - check_svn_return_value - svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/risc16f84/srec_to_rs232.pl" - check_svn_return_value - popd - pushd "risc36" - popd - pushd "risc5x" - svn import -m "Import from OC" "hex_conv.zip" "http://orsoc.se:4488/svn/risc5x/hex_conv.zip" - check_svn_return_value - svn import -m "Import from OC" "risc5x_rel1.0.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "risc5x_rel1.1.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.1.zip" - check_svn_return_value - popd - pushd "risc_core_i" - svn import -m "Import from OC" "risc_core_I.zip" "http://orsoc.se:4488/svn/risc_core_i/risc_core_I.zip" - check_svn_return_value - svn import -m "Import from OC" "RISCCore.pdf" "http://orsoc.se:4488/svn/risc_core_i/RISCCore.pdf" - check_svn_return_value - svn import -m "Import from OC" "vhdl files.zip" "http://orsoc.se:4488/svn/risc_core_i/vhdl files.zip" - check_svn_return_value - svn import -m "Import from OC" "Zusammenfassung.pdf" "http://orsoc.se:4488/svn/risc_core_i/Zusammenfassung.pdf" - check_svn_return_value - popd - pushd "riscmcu" - svn import -m "Import from OC" "BlockDiagram.gif" "http://orsoc.se:4488/svn/riscmcu/BlockDiagram.gif" - check_svn_return_value - popd - pushd "risc_processor_with_os" - popd - pushd "rise" - popd - pushd "rng_lib" - svn import -m "Import from OC" "rng_lib_v10.zip" "http://orsoc.se:4488/svn/rng_lib/rng_lib_v10.zip" - check_svn_return_value - popd - pushd "robot_control_library" - svn import -m "Import from OC" "documentation.zip" "http://orsoc.se:4488/svn/robot_control_library/documentation.zip" - check_svn_return_value - svn import -m "Import from OC" "OPB_PID_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PID_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "OPB_PS2_Joypad_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PS2_Joypad_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "Quadrature_Encoder_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Quadrature_Encoder_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "Stepper_Control_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Stepper_Control_v1_00_a.zip" - check_svn_return_value - popd - pushd "rosetta" - popd - pushd "rs232_syscon" - svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/rs232_syscon/b10_safe_12_18_01_dual_path.zip" - check_svn_return_value - svn import -m "Import from OC" "b11_risc16f84_05_03_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b11_risc16f84_05_03_02.zip" - check_svn_return_value - svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b13_safe_09_17_02.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/rs232_syscon/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/rs232_syscon/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "Image4.gif" "http://orsoc.se:4488/svn/rs232_syscon/Image4.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rs232_syscon/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/rs232_syscon/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_1_00_source.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_00_source.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_1_01_xsoc.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_01_xsoc.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon1.doc" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon1.doc" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_autobaud.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_autobaud.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon.htm" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.htm" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon.pdf" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.pdf" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc1.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc1.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc2.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc2.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc3.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc3.zip" - check_svn_return_value - svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/rs232_syscon/srec_to_rs232.pl" - check_svn_return_value - popd - pushd "rs_5_3_gf256" - svn import -m "Import from OC" "ReedSolomon(5,3)Codec.ppt" "http://orsoc.se:4488/svn/rs_5_3_gf256/ReedSolomon(5,3)Codec.ppt" - check_svn_return_value - popd - pushd "rsa" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rsa/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "rsa" "http://orsoc.se:4488/svn/rsa/rsa" - check_svn_return_value - svn import -m "Import from OC" "RSA.htm" "http://orsoc.se:4488/svn/rsa/RSA.htm" - check_svn_return_value - svn import -m "Import from OC" "RSA.shtml" "http://orsoc.se:4488/svn/rsa/RSA.shtml" - check_svn_return_value - popd - pushd "rs_decoder_31_19_6" - popd - pushd "rsencoder" - svn import -m "Import from OC" "readme.txt" "http://orsoc.se:4488/svn/rsencoder/readme.txt" - check_svn_return_value - svn import -m "Import from OC" "reed_solomon.v" "http://orsoc.se:4488/svn/rsencoder/reed_solomon.v" - check_svn_return_value - svn import -m "Import from OC" "rs_testbench.v" "http://orsoc.se:4488/svn/rsencoder/rs_testbench.v" - check_svn_return_value - popd - pushd "s1_core" - popd - pushd "sardmips" - popd - pushd "sasc" - popd - pushd "sata1a" - popd - pushd "sayeh_processor" - popd - pushd "sbd_sqrt_fp" - popd - pushd "sc2v" - popd - pushd "scarm" - svn import -m "Import from OC" "arm1.JPG" "http://orsoc.se:4488/svn/scarm/arm1.JPG" - check_svn_return_value - svn import -m "Import from OC" "chinese" "http://orsoc.se:4488/svn/scarm/chinese" - check_svn_return_value - svn import -m "Import from OC" "english" "http://orsoc.se:4488/svn/scarm/english" - check_svn_return_value - svn import -m "Import from OC" "images" "http://orsoc.se:4488/svn/scarm/images" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/scarm/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "main.shtml" "http://orsoc.se:4488/svn/scarm/main.shtml" - check_svn_return_value - svn import -m "Import from OC" "src.zip" "http://orsoc.se:4488/svn/scarm/src.zip" - check_svn_return_value - svn import -m "Import from OC" "test" "http://orsoc.se:4488/svn/scarm/test" - check_svn_return_value - svn import -m "Import from OC" "test.zip" "http://orsoc.se:4488/svn/scarm/test.zip" - check_svn_return_value - popd - pushd "scsi_interface" - popd - pushd "sdram" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sdram/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml2" "http://orsoc.se:4488/svn/sdram/index.shtml2" - check_svn_return_value - svn import -m "Import from OC" "intefacing block diagram.gif" "http://orsoc.se:4488/svn/sdram/intefacing block diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "interfacing_block_diagram.gif" "http://orsoc.se:4488/svn/sdram/interfacing_block_diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "sdram_doc.pdf" "http://orsoc.se:4488/svn/sdram/sdram_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "sdram.html" "http://orsoc.se:4488/svn/sdram/sdram.html" - check_svn_return_value - svn import -m "Import from OC" "sdram_ip_doc_preliminary.pdf" "http://orsoc.se:4488/svn/sdram/sdram_ip_doc_preliminary.pdf" - check_svn_return_value - popd - pushd "sdram_ctrl" - popd - pushd "sdr_sdram_ctrl" - popd - pushd "serial_div_uu" - svn import -m "Import from OC" "pwm_reader.v" "http://orsoc.se:4488/svn/serial_div_uu/pwm_reader.v" - check_svn_return_value - svn import -m "Import from OC" "serial_divide_uu.v" "http://orsoc.se:4488/svn/serial_div_uu/serial_divide_uu.v" - check_svn_return_value - popd - pushd "serpent_core" - popd - pushd "sfpga" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sfpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ocrp-2_protel_sch.zip" "http://orsoc.se:4488/svn/sfpga/ocrp-2_protel_sch.zip" - check_svn_return_value - svn import -m "Import from OC" "OCRP-2_sch_preliminary.pdf" "http://orsoc.se:4488/svn/sfpga/OCRP-2_sch_preliminary.pdf" - check_svn_return_value - svn import -m "Import from OC" "sfpga_block.gif" "http://orsoc.se:4488/svn/sfpga/sfpga_block.gif" - check_svn_return_value - popd - pushd "sha1" - svn import -m "Import from OC" "sha1_readme_v01.txt" "http://orsoc.se:4488/svn/sha1/sha1_readme_v01.txt" - check_svn_return_value - svn import -m "Import from OC" "sha1_v01.zip" "http://orsoc.se:4488/svn/sha1/sha1_v01.zip" - check_svn_return_value - popd - pushd "sha_core" - popd - pushd "simpcon" - popd - pushd "simplearm" - popd - pushd "simple-cpu" - popd - pushd "simple_fm_receiver" - popd - pushd "simple_gpio" - popd - pushd "simple_pic" - popd - pushd "simple_spi" - popd - pushd "simple_uart" - svn import -m "Import from OC" "simpleUart.zip" "http://orsoc.se:4488/svn/simple_uart/simpleUart.zip" - check_svn_return_value - popd - pushd "single_clock_divider" - popd - pushd "single_port" - svn import -m "Import from OC" "single_port.tar.gz" "http://orsoc.se:4488/svn/single_port/single_port.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "single_port.zip" "http://orsoc.se:4488/svn/single_port/single_port.zip" - check_svn_return_value - popd - pushd "slave_vme_bridge" - popd - pushd "smallarm" - popd - pushd "smbus_if" - svn import -m "Import from OC" "smbus_if.doc" "http://orsoc.se:4488/svn/smbus_if/smbus_if.doc" - check_svn_return_value - popd - pushd "socbuilder" - popd - pushd "soft_core_risc_microprocessor_design_enabling_the_port_of_an_os" - popd - pushd "sonet" - svn import -m "Import from OC" "blockdia.doc" "http://orsoc.se:4488/svn/sonet/blockdia.doc" - check_svn_return_value - svn import -m "Import from OC" "overview.doc" "http://orsoc.se:4488/svn/sonet/overview.doc" - check_svn_return_value - popd - pushd "spacewire" - svn import -m "Import from OC" "Router.JPG" "http://orsoc.se:4488/svn/spacewire/Router.JPG" - check_svn_return_value - svn import -m "Import from OC" "SpWinterfacewithCODEC.JPG" "http://orsoc.se:4488/svn/spacewire/SpWinterfacewithCODEC.JPG" - check_svn_return_value - popd - pushd "spacewire_if" - popd - pushd "spates" - popd - pushd "spdif_interface" - popd - pushd "spi" - popd - pushd "spi_boot" - popd - pushd "spicc" - popd - pushd "spiflashcontroller" - popd - pushd "spimaster" - svn import -m "Import from OC" "spiMaster.zip" "http://orsoc.se:4488/svn/spimaster/spiMaster.zip" - check_svn_return_value - popd - pushd "spi_slave" - popd - pushd "spi-slave" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/spi-slave/*" - check_svn_return_value - popd - pushd "srl_fifo" - popd - pushd "srtdivision" - popd - pushd "ss_pcm" - popd - pushd "ssram" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ssram/index.shtml" - check_svn_return_value - popd - pushd "steppermotordrive" - popd - pushd "sts1" - svn import -m "Import from OC" "spe.vhd" "http://orsoc.se:4488/svn/sts1/spe.vhd" - check_svn_return_value - popd - pushd "svmac" - popd - pushd "sxp" - svn import -m "Import from OC" "sxp_block.gif" "http://orsoc.se:4488/svn/sxp/sxp_block.gif" - check_svn_return_value - popd - pushd "system05" - popd - pushd "system09" - svn import -m "Import from OC" "index.html" "http://orsoc.se:4488/svn/system09/index.html" - check_svn_return_value - svn import -m "Import from OC" "System09-oc-6sep03.zip" "http://orsoc.se:4488/svn/system09/System09-oc-6sep03.zip" - check_svn_return_value - svn import -m "Import from OC" "xbasic.s19" "http://orsoc.se:4488/svn/system09/xbasic.s19" - check_svn_return_value - popd - pushd "system11" - svn import -m "Import from OC" "Sys11_X300_5sep03.zip" "http://orsoc.se:4488/svn/system11/Sys11_X300_5sep03.zip" - check_svn_return_value - popd - pushd "system68" - svn import -m "Import from OC" "Sys68-X300-17jan04.zip" "http://orsoc.se:4488/svn/system68/Sys68-X300-17jan04.zip" - check_svn_return_value - popd - pushd "system6801" - svn import -m "Import from OC" "System6801.zip" "http://orsoc.se:4488/svn/system6801/System6801.zip" - check_svn_return_value - svn import -m "Import from OC" "utilities.zip" "http://orsoc.se:4488/svn/system6801/utilities.zip" - check_svn_return_value - popd - pushd "systemcaes" - popd - pushd "systemc_cordic" - popd - pushd "systemcdes" - popd - pushd "systemcmd5" - popd - pushd "systemc_rng" - popd - pushd "t400" - popd - pushd "t48" - popd - pushd "t51" - popd - pushd "t65" - popd - pushd "t80" - popd - pushd "t8000" - popd - pushd "tdm" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/tdm/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "tdm_core.jpg" "http://orsoc.se:4488/svn/tdm/tdm_core.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_core.ps" "http://orsoc.se:4488/svn/tdm/tdm_core.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_ISDN_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_ISDN_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.html" "http://orsoc.se:4488/svn/tdm/tdm_project.html" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.pdf" "http://orsoc.se:4488/svn/tdm/tdm_project.pdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.ps" "http://orsoc.se:4488/svn/tdm/tdm_project.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_top.ps" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/tdm/wishlogo.ps" - check_svn_return_value - popd - pushd "tdm_switch" - svn import -m "Import from OC" "map.dat" "http://orsoc.se:4488/svn/tdm_switch/map.dat" - check_svn_return_value - svn import -m "Import from OC" "ModelSim_Edition.exe" "http://orsoc.se:4488/svn/tdm_switch/ModelSim_Edition.exe" - check_svn_return_value - svn import -m "Import from OC" "stream_0.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_0.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_1.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_1.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_2.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_2.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_3.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_3.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_4.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_4.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_5.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_5.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_6.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_6.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_7.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_7.dat" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_b.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_b.v" - check_svn_return_value - svn import -m "Import from OC" "TDM_Switch_DS.pdf" "http://orsoc.se:4488/svn/tdm_switch/TDM_Switch_DS.pdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top_timesim.sdf" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.sdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top_timesim.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.v" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top.v" - check_svn_return_value - svn import -m "Import from OC" "testbench_top.v" "http://orsoc.se:4488/svn/tdm_switch/testbench_top.v" - check_svn_return_value - popd - pushd "template" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/template/index.shtml" - check_svn_return_value - popd - pushd "test" - svn import -m "Import from OC" "apple.gif" "http://orsoc.se:4488/svn/test/apple.gif" - check_svn_return_value - svn import -m "Import from OC" "FLEX_w_CMYK_R_LG.jpg" "http://orsoc.se:4488/svn/test/FLEX_w_CMYK_R_LG.jpg" - check_svn_return_value - svn import -m "Import from OC" "include1.ssi" "http://orsoc.se:4488/svn/test/include1.ssi" - check_svn_return_value - svn import -m "Import from OC" "include2.ssi" "http://orsoc.se:4488/svn/test/include2.ssi" - check_svn_return_value - popd - pushd "test1" - svn import -m "Import from OC" "arrow_ltr.gif" "http://orsoc.se:4488/svn/test1/arrow_ltr.gif" - check_svn_return_value - svn import -m "Import from OC" "sed_awk.pdf" "http://orsoc.se:4488/svn/test1/sed_awk.pdf" - check_svn_return_value - popd - pushd "test2" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/test2/*" - check_svn_return_value - popd - pushd "test3" - popd - pushd "test_project" - popd - pushd "test-project" - svn import -m "Import from OC" "vl.bmp" "http://orsoc.se:4488/svn/test-project/vl.bmp" - check_svn_return_value - popd - pushd "tg68" - popd - pushd "tiny64" - popd - pushd "tiny8" - popd - pushd "tlc2" - popd - pushd "toe" - popd - pushd "tone_generator" - popd - pushd "totalcpu" - popd - pushd "trinitor" - popd - pushd "truescalar" - popd - pushd "ts7300_opencore" - svn import -m "Import from OC" "7300stclwp.jpg" "http://orsoc.se:4488/svn/ts7300_opencore/7300stclwp.jpg" - check_svn_return_value - svn import -m "Import from OC" "ts7300_opencore.zip" "http://orsoc.se:4488/svn/ts7300_opencore/ts7300_opencore.zip" - check_svn_return_value - popd - pushd "turbocodes" - svn import -m "Import from OC" "turbo.tar.gz" "http://orsoc.se:4488/svn/turbocodes/turbo.tar.gz" - check_svn_return_value - popd - pushd "tv80" - svn import -m "Import from OC" "tv80_rel1.0.zip" "http://orsoc.se:4488/svn/tv80/tv80_rel1.0.zip" - check_svn_return_value - popd - pushd "twofish" - popd - pushd "twofish_team" - svn import -m "Import from OC" "ciphertext.jpg" "http://orsoc.se:4488/svn/twofish_team/ciphertext.jpg" - check_svn_return_value - svn import -m "Import from OC" "cleartext.jpg" "http://orsoc.se:4488/svn/twofish_team/cleartext.jpg" - check_svn_return_value - svn import -m "Import from OC" "key-mod.jpg" "http://orsoc.se:4488/svn/twofish_team/key-mod.jpg" - check_svn_return_value - svn import -m "Import from OC" "modifiedF.jpg" "http://orsoc.se:4488/svn/twofish_team/modifiedF.jpg" - check_svn_return_value - svn import -m "Import from OC" "peracangan" "http://orsoc.se:4488/svn/twofish_team/peracangan" - check_svn_return_value - svn import -m "Import from OC" "qper.jpg" "http://orsoc.se:4488/svn/twofish_team/qper.jpg" - check_svn_return_value - svn import -m "Import from OC" "s-boxes.jpg" "http://orsoc.se:4488/svn/twofish_team/s-boxes.jpg" - check_svn_return_value - svn import -m "Import from OC" "twofish.jpg" "http://orsoc.se:4488/svn/twofish_team/twofish.jpg" - check_svn_return_value - svn import -m "Import from OC" "twofish.zip" "http://orsoc.se:4488/svn/twofish_team/twofish.zip" - check_svn_return_value - popd - pushd "ualpha" - popd - pushd "uart16550" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/uart16550/index.shtml" - check_svn_return_value - popd - pushd "uart8bit" - popd - pushd "uart_fifo" - popd - pushd "uart_serial" - popd - pushd "ucore" - svn import -m "Import from OC" "ucsys-0.0.1.rar" "http://orsoc.se:4488/svn/ucore/ucsys-0.0.1.rar" - check_svn_return_value - popd - pushd "ultimate_crc" - svn import -m "Import from OC" "ultimate_crc_1_0.zip" "http://orsoc.se:4488/svn/ultimate_crc/ultimate_crc_1_0.zip" - check_svn_return_value - popd - pushd "ultramegasquirt" - popd - pushd "ultravec" - popd - pushd "upcable" - svn import -m "Import from OC" "odd_vhdl.zip" "http://orsoc.se:4488/svn/upcable/odd_vhdl.zip" - check_svn_return_value - svn import -m "Import from OC" "OneDollarDongle.pdf" "http://orsoc.se:4488/svn/upcable/OneDollarDongle.pdf" - check_svn_return_value - svn import -m "Import from OC" "ver1_xc9536xl_vq44_single_side.zip" "http://orsoc.se:4488/svn/upcable/ver1_xc9536xl_vq44_single_side.zip" - check_svn_return_value - popd - pushd "usb11" - popd - pushd "usb1_funct" - popd - pushd "usb_dongle_fpga" - svn import -m "Import from OC" "block_diagram.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/block_diagram.png" - check_svn_return_value - svn import -m "Import from OC" "dongle_block.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/dongle_block.png" - check_svn_return_value - svn import -m "Import from OC" "mini_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/mini_LR_DSC_0016.jpg" - check_svn_return_value - svn import -m "Import from OC" "small_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/small_LR_DSC_0016.jpg" - check_svn_return_value - svn import -m "Import from OC" "usb_dongle.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/usb_dongle.jpg" - check_svn_return_value - popd - pushd "usbhost" - svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/usbhost/alliance.shtml" - check_svn_return_value - svn import -m "Import from OC" "HDL" "http://orsoc.se:4488/svn/usbhost/HDL" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh10.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh10.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh11.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh11.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh12.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh12.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh13.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh13.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh14.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh14.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh15.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh15.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh16.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh16.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh17.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh17.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh18.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh18.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh19.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh19.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh1.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh1.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh20.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh20.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh21.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh21.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh22.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh22.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.shtml" "http://orsoc.se:4488/svn/usbhost/HDL.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.1.gif" "http://orsoc.se:4488/svn/usbhost/index.1.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/usbhost/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/usbhost/README" - check_svn_return_value - popd - pushd "usbhostslave" - svn import -m "Import from OC" "ALDEC_logo.jpg" "http://orsoc.se:4488/svn/usbhostslave/ALDEC_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" "http://orsoc.se:4488/svn/usbhostslave/dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" - check_svn_return_value - svn import -m "Import from OC" "NIOSsoftware.zip" "http://orsoc.se:4488/svn/usbhostslave/NIOSsoftware.zip" - check_svn_return_value - svn import -m "Import from OC" "ohs900.zip" "http://orsoc.se:4488/svn/usbhostslave/ohs900.zip" - check_svn_return_value - svn import -m "Import from OC" "usbhostslave.zip" "http://orsoc.se:4488/svn/usbhostslave/usbhostslave.zip" - check_svn_return_value - popd - pushd "usb_phy" - popd - pushd "usucc" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/usucc/*" - check_svn_return_value - popd - pushd "utop_lvl_1" - popd - pushd "verilator" - popd - pushd "vgafb" - popd - pushd "vga_lcd" - svn import -m "Import from OC" "block_diagram.gif" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/vga_lcd/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core.pdf" "http://orsoc.se:4488/svn/vga_lcd/vga_core.pdf" - check_svn_return_value - popd - pushd "vhcg" - svn import -m "Import from OC" "morpheus1.1release.rar" "http://orsoc.se:4488/svn/vhcg/morpheus1.1release.rar" - check_svn_return_value - svn import -m "Import from OC" "morpheus.tar.gz" "http://orsoc.se:4488/svn/vhcg/morpheus.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Specification.pdf" "http://orsoc.se:4488/svn/vhcg/Specification.pdf" - check_svn_return_value - popd - pushd "vhdl_cpu_emulator" - svn import -m "Import from OC" "vhdl_cpu_emulator_Beta.7z" "http://orsoc.se:4488/svn/vhdl_cpu_emulator/vhdl_cpu_emulator_Beta.7z" - check_svn_return_value - popd - pushd "vhdlmd5" - popd - pushd "vhld_tb" - popd - pushd "video_starter_kit" - svn import -m "Import from OC" "main_designoverview0.0.2.pdf" "http://orsoc.se:4488/svn/video_starter_kit/main_designoverview0.0.2.pdf" - check_svn_return_value - popd - pushd "vip_regs" - popd - pushd "viterbi_decoder" - popd - pushd "viterbi_decoder_k_7_r_1_2" - popd - pushd "vmebus" - popd - pushd "vmm" - popd - pushd "warp" - popd - pushd "wb2hpi" - svn import -m "Import from OC" "BlockTransfer1.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer1.jpg" - check_svn_return_value - svn import -m "Import from OC" "BlockTransfer2.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer2.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspFill1.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory1.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspMemory2.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory2.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSPMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DSPMove1.jpg" - check_svn_return_value - svn import -m "Import from OC" "Registers.jpg" "http://orsoc.se:4488/svn/wb2hpi/Registers.jpg" - check_svn_return_value - svn import -m "Import from OC" "SistemMemoryFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryFill1.jpg" - check_svn_return_value - svn import -m "Import from OC" "SistemMemoryMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryMove1.jpg" - check_svn_return_value - svn import -m "Import from OC" "SystemMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SystemMemory1.jpg" - check_svn_return_value - svn import -m "Import from OC" "TestBench051.jpg" "http://orsoc.se:4488/svn/wb2hpi/TestBench051.jpg" - check_svn_return_value - svn import -m "Import from OC" "wb2hpi_hw2.jpg" "http://orsoc.se:4488/svn/wb2hpi/wb2hpi_hw2.jpg" - check_svn_return_value - popd - pushd "wb2npi" - popd - pushd "wb_builder" - svn import -m "Import from OC" "users_manual.pdf" "http://orsoc.se:4488/svn/wb_builder/users_manual.pdf" - check_svn_return_value - popd - pushd "wb_conbus" - popd - pushd "wb_conmax" - svn import -m "Import from OC" "conmax.jpg" "http://orsoc.se:4488/svn/wb_conmax/conmax.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_conmax/index.shtml" - check_svn_return_value - popd - pushd "wbc_parallel_master" - svn import -m "Import from OC" "wbc_parallel_master-spec_doc-r01.pdf" "http://orsoc.se:4488/svn/wbc_parallel_master/wbc_parallel_master-spec_doc-r01.pdf" - check_svn_return_value - popd - pushd "wb_ddr" - popd - pushd "wb_dma" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_dma/index.shtml" - check_svn_return_value - popd - pushd "wb_flash" - popd - pushd "wbif_68k" - popd - pushd "wb_lpc" - popd - pushd "wb_mcs51" - popd - pushd "wb_rtc" - svn import -m "Import from OC" "ports.jpg" "http://orsoc.se:4488/svn/wb_rtc/ports.jpg" - check_svn_return_value - svn import -m "Import from OC" "structure.jpg" "http://orsoc.se:4488/svn/wb_rtc/structure.jpg" - check_svn_return_value - svn import -m "Import from OC" "wb_rtc.zip" "http://orsoc.se:4488/svn/wb_rtc/wb_rtc.zip" - check_svn_return_value - popd - pushd "wb_tk" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_tk/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_arbiter.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_arbiter.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_async_master.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_master.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_async_slave.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_slave.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_bus_resizer.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_bus_resizer.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_extensions.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_extensions.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_out_reg.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_out_reg.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_ram.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_ram.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_test.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_test.shtml" - check_svn_return_value - popd - pushd "wb_vga" - svn import -m "Import from OC" "accel.shtml" "http://orsoc.se:4488/svn/wb_vga/accel.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_vga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "mouse.shtml" "http://orsoc.se:4488/svn/wb_vga/mouse.shtml" - check_svn_return_value - svn import -m "Import from OC" "palette.shtml" "http://orsoc.se:4488/svn/wb_vga/palette.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_chip.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_chip.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core_v2.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core_v2.shtml" - check_svn_return_value - popd - pushd "wb_z80" - popd - pushd "wb_zbt" - popd - pushd "wisbone_2_ahb" - popd - pushd "wishbone" - svn import -m "Import from OC" "appnote_01.pdf" "http://orsoc.se:4488/svn/wishbone/appnote_01.pdf" - check_svn_return_value - svn import -m "Import from OC" "flex.pdf" "http://orsoc.se:4488/svn/wishbone/flex.pdf" - check_svn_return_value - svn import -m "Import from OC" "press_release_12_08_2002.pdf" "http://orsoc.se:4488/svn/wishbone/press_release_12_08_2002.pdf" - check_svn_return_value - svn import -m "Import from OC" "soc_bus_comparison.pdf" "http://orsoc.se:4488/svn/wishbone/soc_bus_comparison.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b1.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b1.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b2.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b2.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b3.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b3.pdf" - check_svn_return_value - popd - pushd "wishbone2ahb" - popd - pushd "wishbone_bfm" - popd - pushd "wishbone_checker" - popd - pushd "wishbone_out_port" - popd - pushd "wishbone_to_ahb" - popd - pushd "wlanmac" - popd - pushd "wlan_modem" - popd - pushd "wpf" - popd - pushd "x25_protocol_interface_project" - popd - pushd "x86soc" - popd - pushd "xge_mac" - popd - pushd "xmatchpro" - svn import -m "Import from OC" "open_xmw2.zip" "http://orsoc.se:4488/svn/xmatchpro/open_xmw2.zip" - check_svn_return_value - popd - pushd "xtea" - popd - pushd "yacc" - popd - pushd "yellowstar" - svn import -m "Import from OC" "appendix.pdf" "http://orsoc.se:4488/svn/yellowstar/appendix.pdf" - check_svn_return_value - svn import -m "Import from OC" "processor.v" "http://orsoc.se:4488/svn/yellowstar/processor.v" - check_svn_return_value - svn import -m "Import from OC" "report.pdf" "http://orsoc.se:4488/svn/yellowstar/report.pdf" - check_svn_return_value - svn import -m "Import from OC" "yellowstar_schematics.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_schematics.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "yellowstar_symbols.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_symbols.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "yellow_star.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellow_star.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "ys_logo.jpg" "http://orsoc.se:4488/svn/yellowstar/ys_logo.jpg" - check_svn_return_value - popd - pushd "yoda" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/yoda/*" - check_svn_return_value - popd - pushd "z80soc" - svn import -m "Import from OC" "mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/mP5170003.JPG" - check_svn_return_value - svn import -m "Import from OC" "mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/mP5180007.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5170003.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5180007.JPG" - check_svn_return_value - popd - pushd "zpu" - svn import -m "Import from OC" "compile.PNG" "http://orsoc.se:4488/svn/zpu/compile.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator2.PNG" "http://orsoc.se:4488/svn/zpu/simulator2.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator3.PNG" "http://orsoc.se:4488/svn/zpu/simulator3.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator.PNG" "http://orsoc.se:4488/svn/zpu/simulator.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_compile.PNG" "http://orsoc.se:4488/svn/zpu/thumb_compile.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator2.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator2.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator3.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator3.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator.PNG" - check_svn_return_value - popd - ALL_DONE="1" - echo "All checkins done" -done
vga_lcd/web_uploads/svn_checkin.sh Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: vga_lcd/web_uploads/oc_checkin.sh =================================================================== --- vga_lcd/web_uploads/oc_checkin.sh (revision 63) +++ vga_lcd/web_uploads/oc_checkin.sh (nonexistent) @@ -1,225 +0,0 @@ -#!/bin/bash -# AUTOMATICALLY GENERATED SCRIPT -# Scans the cores directory, excludes the projects and subdirectories -# listed below, and generates a script which checks in all of the -# remaining files to the SVN repository -# This should be run and the output piped to a new file something like: -# ./oc_cvs_checkin.sh > checkin_script.sh -# and then probably the execute permission enabled on checkin_script.sh -8b10b_encdec -acxbrd -adder -ae68 -aes_128_192_256 -aes_fekete256 -all_digital_fm_receiver -alternascope -aquarius -aspida -ata -auto_baud -a_vhd_16550_uart -a_vhdl_can_controller -avr_core -baudgen -binary_to_bcd -biquad -bluespec-h264 -bluetooth -board -camellia -can -cereon -cf_cordic -cf_fft -cf_fir -cf_fp_mul -cf_interleaver -cf_ldpc -cf_rca -cf_ssp -const_encoder -cordic -cpugen -cryptosorter -dct -ddr_sdr -decoder -des -dfp -diogenes -dram -dualspartainc6713cpci -dwt2d -e123mux -e1framerdeframer -embedded_risc -epp -erp -ethernet_tri_mode -eus100lx -eusfs -fac2222m -fast-crc -fbas_encoder -fcpu -ffr16 -fht -fifouart -filter -firewire -fir_filter_generator -floating_point_adder_subtractor -fpga -fpgaconfig -fpu -fpu100 -freetools -gamepads -gh_vhdl_library -gpio -graphicallcd -graphiti -gsc -gup -hamming_gen -hdlc -help -i2c -i2clog -i2c_slave -i2s -i2s_interface -ic6821 -idea -iiepci -interface_vga80x40 -irda -iso7816-3 -jpeg -jpegcompression -jtag -keypad_scanner -l8051 -lcd -lcd_controller -ldpc_decoder_802_3an -ldpc_encoder_802_3an -lem1_9min -lowpowerfir -lpu -lwrisc -man2uart -manchesterencoderdecoder -maxii-evalboard -mb-jpeg -mcpu -mdct -mem_ctrl -memory_cores -memory_sizer -mfpga -minimips -minirisc -mips789 -mipss -most -mpdma -ncore -neptune-core -nnARM -npigrctrl -oab1 -ocmips -ocrp-1 -opencores -openfire2 -openh263 -openriscdevboard -opentech -openverifla -or1k-new -ovcodec -pavr -pci -pci-board -pci_controller -pci_mini -performance_counter -perlilog -picoblaze_interrupt_controller -piranha -profibus_dp -project -ps2 -ptc -radixrsa -raggedstone -rfid -rijndael -risc16f84 -risc5x -risc_core_i -riscmcu -rng_lib -robot_control_library -rs232_syscon -rs_5_3_gf256 -rsa -rsencoder -scarm -sdram -serial_div_uu -sfpga -sha1 -simple_uart -single_port -smbus_if -sonet -spacewire -spimaster -spi-slave -ssram -sts1 -sxp -system09 -system11 -system68 -system6801 -tdm -tdm_switch -template -test -test1 -test2 -test-project -ts7300_opencore -turbocodes -tv80 -twofish_team -uart16550 -ucore -ultimate_crc -upcable -usb_dongle_fpga -usbhost -usbhostslave -usucc -vga_lcd -vhcg -vhdl_cpu_emulator -video_starter_kit -wb2hpi -wb_builder -wb_conmax -wbc_parallel_master -wb_dma -wb_rtc -wb_tk -wb_vga -wishbone -xmatchpro -yellowstar -yoda -z80soc -zpu Index: vga_lcd/web_uploads/block_diagram.gif =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: vga_lcd/web_uploads/block_diagram.gif =================================================================== --- vga_lcd/web_uploads/block_diagram.gif (nonexistent) +++ vga_lcd/web_uploads/block_diagram.gif (revision 64)
vga_lcd/web_uploads/block_diagram.gif Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: vga_lcd/web_uploads/block_diagram.jpg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: vga_lcd/web_uploads/block_diagram.jpg =================================================================== --- vga_lcd/web_uploads/block_diagram.jpg (nonexistent) +++ vga_lcd/web_uploads/block_diagram.jpg (revision 64)
vga_lcd/web_uploads/block_diagram.jpg Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: vga_lcd/web_uploads/index.shtml =================================================================== --- vga_lcd/web_uploads/index.shtml (nonexistent) +++ vga_lcd/web_uploads/index.shtml (revision 64) @@ -0,0 +1,45 @@ + + +

+

Project Name: VGA/LCD Controller

+

Description:

+

The OpenCores VGA/LCD Controller core is a WISHBONE rev.B2 compliant embedded VGA core capable of driving CRT and LCD displays. It supports user programmable resolutions and video timings, which are limited only by the available WISHBONE bandwidth. Making it compatible with almost all available LCD and CRT displays

+

The core supports a number of color modes, including 24bpp, 16bpp, 8bpp gray-scale, and 8bpp-pseudo color. The video memory and the color lookup table are located outside the primary core, thus providing the most flexible memory solution possible. They can be located on-chip or off-chip, shared with the systems main memory (VGA on demand) or be dedicated to the VGA system. An addition to the VGA/LCD core which adds the color lookup table is available.
+Pixel data and pseudo-color data is fetched automatically via the Wishbone master interface, making this an ideal program-and-forget video solution. More demanding video applications like streaming video or video games can benefit from the video-bank-switching function, which reduces flicker and cluttered images by automatically switching between video-memory pages and/or color lookup tables on each vertical retrace.
+The core can interrupt the host on each horizontal and/or vertical synchronization pulse. The horizontal, vertical and composite synchronization polarization levels, as well as the blanking polarization level are user programmable. +

+

+

Features:

+ +
    +
  • CRT and LCD display support
  • +
  • Separate VSYNC/HSYNC and combined CSYNC synchronization signals
  • +
  • Composite BLANK signal
  • +
  • User programmable resolutions
  • +
  • User programmable video timing
  • +
  • 24bit and 16bit color modes
  • +
  • 8bit gray-scale and 8bit pseudo-color modes
  • +
  • Supports video memory bank switching
  • +
  • TripleDisplay support
  • +
  • WISHBONE Rev. B2 compliant slave and master interfaces
  • +
  • Operates from a wide range of input clock frequencies
  • +
  • Fully synthesizeable
+ +

+

See the on-line documentation (current revision 0.5) for more information.

+

+

Current Status:

+ +
    +
  • The core is ready and available in VHDL from OpenCores CVS via cvsweb or via cvsget.
+ +

+

Author & Maintainer(s):

+ +

Richard Herveille

+

+ +

Mailing-list:

+ +

cores@opencores.org_NOSPAM

+ Index: vga_lcd/web_uploads/vga_core.pdf =================================================================== --- vga_lcd/web_uploads/vga_core.pdf (nonexistent) +++ vga_lcd/web_uploads/vga_core.pdf (revision 64) @@ -0,0 +1,1717 @@ +%PDF-1.2 +% +99 0 obj +<< +/Linearized 1 +/O 101 +/H [ 903 415 ] +/L 113868 +/E 6654 +/N 29 +/T 111770 +>> +endobj + xref +99 18 +0000000016 00000 n +0000000709 00000 n +0000001318 00000 n +0000001475 00000 n +0000001698 00000 n +0000001897 00000 n +0000002407 00000 n +0000002588 00000 n +0000002780 00000 n +0000002832 00000 n +0000003431 00000 n +0000003900 00000 n +0000004101 00000 n +0000004531 00000 n +0000004610 00000 n +0000005029 00000 n +0000000903 00000 n +0000001296 00000 n +trailer +<< +/Size 117 +/Info 94 0 R +/Root 100 0 R +/Prev 111760 +/ID[<7c1dd44fd11f33ac61b5815724d6851a><7c1dd44fd11f33ac61b5815724d6851a>] +>> +startxref +0 +%%EOF + +100 0 obj +<< +/Type /Catalog +/Pages 96 0 R +/OpenAction [ 101 0 R /XYZ null null null ] +/PageMode /UseNone +/PageLabels << /Nums [ -2 << /S /D /St -1 >> -2 << /S /D /St -1 >> ] >> +>> +endobj +115 0 obj +<< /S 397 /Filter /FlateDecode /Length 116 0 R >> +stream +Hb```v&6~1AL10x99~gm4hE)9MGx&Zs)yrgIQ/~2$,IKhkHKKIIKUqbɚ +Gw +d[z(y*ȶy)RXlӜ&I4I)@:\a:@\ %FZ#P%HI8b ظ$Cf].bp0p*񜳐IID)d/ɍ>0+v: +endstream +endobj +116 0 obj +306 +endobj +101 0 obj +<< +/Type /Page +/Parent 95 0 R +/Resources 102 0 R +/Contents 109 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +102 0 obj +<< +/ProcSet [ /PDF /Text /ImageC /ImageI ] +/Font << /TT2 108 0 R /TT4 104 0 R /TT6 111 0 R >> +/XObject << /Im1 114 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R /Cs9 107 0 R >> +>> +endobj +103 0 obj +<< +/Type /FontDescriptor +/Ascent 891 +/CapHeight 0 +/Descent -216 +/Flags 34 +/FontBBox [ -558 -307 2034 1026 ] +/FontName /TimesNewRomanPS-BoldMT +/ItalicAngle 0 +/StemV 133 +>> +endobj +104 0 obj +<< +/Type /Font +/Subtype /TrueType +/FirstChar 32 +/LastChar 122 +/Widths [ 250 0 0 0 0 0 833 0 0 0 0 0 250 333 250 278 500 500 500 500 500 500 +500 500 500 500 333 0 0 0 0 0 0 722 667 722 722 667 611 778 778 +389 500 778 667 944 722 778 611 0 722 556 667 722 722 1000 0 722 +0 333 0 333 0 500 0 500 556 444 556 444 333 500 556 278 0 556 278 +833 556 500 556 0 444 389 333 556 500 722 500 500 444 ] +/Encoding /WinAnsiEncoding +/BaseFont /TimesNewRomanPS-BoldMT +/FontDescriptor 103 0 R +>> +endobj +105 0 obj +[ +/CalRGB << /WhitePoint [ 0.9505 1 1.089 ] /Gamma [ 2.22221 2.22221 2.22221 ] +/Matrix [ 0.4124 0.2126 0.0193 0.3576 0.71519 0.1192 0.1805 0.0722 0.9505 ] >> + +] +endobj +106 0 obj +<< +/Type /FontDescriptor +/Ascent 891 +/CapHeight 0 +/Descent -216 +/Flags 34 +/FontBBox [ -568 -307 2028 1007 ] +/FontName /TimesNewRomanPSMT +/ItalicAngle 0 +/StemV 0 +>> +endobj +107 0 obj +[ +/Indexed 105 0 R 255 113 0 R +] +endobj +108 0 obj +<< +/Type /Font +/Subtype /TrueType +/FirstChar 32 +/LastChar 150 +/Widths [ 250 333 0 500 0 0 778 0 333 333 500 564 250 333 250 278 500 500 500 +500 500 500 500 500 500 500 278 278 0 564 0 0 0 722 667 667 722 +611 556 722 722 333 389 722 611 889 722 722 556 722 667 556 611 +722 722 944 722 722 0 333 0 333 0 500 0 444 500 444 500 444 333 +500 500 278 278 500 278 778 500 500 500 500 333 389 278 500 500 +722 500 500 444 0 0 0 0 0 0 0 0 0 0 1000 0 0 0 0 0 0 0 0 0 0 0 333 +333 444 444 0 500 ] +/Encoding /WinAnsiEncoding +/BaseFont /TimesNewRomanPSMT +/FontDescriptor 106 0 R +>> +endobj +109 0 obj +<< /Length 394 /Filter /FlateDecode >> +stream +H\RN0 +|J'urvq".*-Z(<$`QڑG^M7@I5B;`m_t624c,06jzrM4* + o5?"U@U]tMh鷺ܜN/fsCFyf9 ?W뷶_H8ag r(AV`]ZH,[FDX2A??W]nl#~ +qP Z +놃}mb;i=egeGn4z62p~aV`9"' rbv#S8\2Fψؿ]-ӵ,vثwEKB'c1: +4rg/^]_p{ +endstream +endobj +110 0 obj +<< +/Type /FontDescriptor +/Ascent 891 +/CapHeight 0 +/Descent -216 +/Flags 98 +/FontBBox [ -498 -307 1120 1023 ] +/FontName /TimesNewRomanPS-ItalicMT +/ItalicAngle -15 +/StemV 0 +>> +endobj +111 0 obj +<< +/Type /Font +/Subtype /TrueType +/FirstChar 32 +/LastChar 122 +/Widths [ 250 0 0 0 0 0 0 0 0 0 0 0 0 0 250 0 0 0 0 0 0 0 0 0 0 0 333 0 0 0 +0 0 920 611 0 0 0 0 0 0 722 0 0 0 0 0 0 0 0 0 611 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 500 0 444 500 444 278 500 500 278 0 0 278 0 500 500 +500 0 389 389 278 500 444 667 0 444 389 ] +/Encoding /WinAnsiEncoding +/BaseFont /TimesNewRomanPS-ItalicMT +/FontDescriptor 110 0 R +>> +endobj +112 0 obj +<< +/Type /ExtGState +/SA false +/SM 0.02 +/TR /Identity +>> +endobj +113 0 obj +<< /Length 344 /Filter /FlateDecode >> +stream +HQmQ RIkx u.qu{ y3D$' E`'Kb%Dx: vyn׸.Ba$1֐Bთ}\Rypz&YRK2=2\S.-#£1ҩ8HYYh[!{wcvtiP2˞PR4*$"In"?zXΐuˏvvRhJ{u]G<=I=_QA#ڊT,9Bbrl)箉;)DPږ1y<{c?|nl> +stream +H[0Du[݀^N{F3-oc1-X聴d_ŜXK3?9+7̕kf5VWosqVg~P%wNsu̥vh;3.av?\vhw]۴6]|r#N:`hz{rѧ`9V s^"=~I~Ij癹7fl&Ov63q^q[8/n}~y;mB5VisL,~3Ši\pm P9b.ofc> >Lҥʼn +b +yqޜ0ݑ-^uAЅНPÅvOͶݹQ${cI Lͱ`FDo8߀2k0Qp`vj/2[eן*'TI]z'ٷ]E hNۗw.&c5x%ķ b[nژu:(he^1/&]f4kz"oK +(E:ьꩨ3Dݯ.t,Bs4ܼYvHƂ!Y`k>#i<5&AXcpmŶ&&eDӉ+,gdfr^e#҂ y /[=H U33s̲o[=I97; +تk +ٚc %휵ܜEHxuJڊ, EGCb~va8>3?EiXmvٙ)hLV2QȊ> +endobj +2 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +3 0 obj +<< /Length 157 /Filter /FlateDecode >> +stream +HT1 07&C$mY\Mjik0V!ߛr:-{ +AId +`5|Lfewa8;`hr48&(BkBFzIU"$|22VzNa0q9?o/DiT#&tGK1 +endstream +endobj +4 0 obj +<< +/Type /Page +/Parent 95 0 R +/Resources 5 0 R +/Contents 6 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +5 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R /TT4 104 0 R /TT6 111 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +6 0 obj +<< /Length 2088 /Filter /FlateDecode >> +stream +HWKs6Wn($@*7n*[L +3EI3~"%\!~Fwj aaV0ERf>6$`024͏reXYd׬e;O]ly^Pd?l+s& ٺ%#6RgJGso> :[+iT֢bp {nIKVu5'x^,d.J֖5aqqsQ;iu%3 gpCbrR|V + +Pyr0\ZAWI@Y.lsQjwgD3g3szl,b-u`y-BkND@|ݟo{ &BWޮB12 SRVWB*9ZT-h1g7FRSD~jYA}iOWZy+5]Z$*6jPXZs]xKȂ;=4}{֐ZEԄrt.(kQ S@KpNa.$(\yMX^ <{XF|${4ٰn} %AUJy@ʅRs.6HlO<+TQY",Pl%2ٺuI8۰ryu|CH_X5??鬄+5cx F^ګA +?@6;=e,h w(z0qAD6.(HaQGf,p$igǥAvҎS1܈/q= 7n&3sJ;Np#CƍqsqVs:/7$T aQn{1|.~~ڬ͡ KpəC_W;PhQ dk8!4i7iԱsߝal4yVY( q1gIC؞o;n5//gM؆jk9ܜa<CcPȍ*r^ɶ{%R'uV[2PТV J{ BB t_fxI\zp|Oe𮸞,l3"<,rvR<޺ +ݺ kᐍ_Nh|xpk^?L֋ѠY͇˞ +%iP'^( + +tBؔPv?etBUs3g#9(c-<]' +iPp$x++ŇͯUAe}Q*j_*_dt5[GR ht4M#>,z"?ylW6n뷬EG +.e*NRd)sx98YR⮔98Y⾔98]RYsctfwY97ZJpT}ԣ&CVüE + +ɸ_ ݓ]l[`h>!NjxYRסux*uLݔK]'S7!SM#FiU׮8ڵ(<'ˢgk|_P +S݆CsӃ #p:`ޏPzBxQ?Z0y?2 škimfB?e`[-: +Jn<*`VIY4#'yd4GN(.xdWxG@ 5:85&({̔]XA]L-b:NIx\5l:Y0S#= +^h >u n 0\]l]2WzK!:ڬ +endstream +endobj +7 0 obj +<< +/Type /Page +/Parent 95 0 R +/Resources 8 0 R +/Contents 9 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +8 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /F2 88 0 R /F4 89 0 R /TT2 108 0 R /TT4 104 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +9 0 obj +<< /Length 1309 /Filter /FlateDecode >> +stream +HVێ6E_|$5n"@6/>2Vc(g/PE Q$g̙ eb6PE'/d-Tfy`qRV;1WwuA(RV8 nǣi/lg1g"\I?ySZ.#Xa,Sb6Ј%VU?h3=2˅J:2PaA$Wy’8:a6Ygm|8:Gi$`]ܫ-y'7Nߎ@Hbq&@}g2} `‘Q+&x$Se +0*W։3"CV4 p{/q 0TW$ST[sPyI@!e*rdaD&\&`1K3o4dxi`gz$2=3y>R?\3 Xi߷}g7olKT{YNZV5Faȉrg +Hꪆ2d~k617Uw/tq;cnMvf1hI^h{V=G<;"qvckUɪvè◾ 4І+t +k@LouP8wvBi1A^NfCMh mvX`ddAe8x9XdgsD "ᡫ+99:sOZ1(< R/b3HOh9eoڳ9X +$I{k#둳AwT*I3pB#a2 V¯KȚƯB;!rklֻa`lwc9av3@qf)]q͍N +j`0S +fʫv90{8Ψ: +kϞʼn= RߙY7Q>؆+EDsK퍟^K$B=뱝SXcç{~P*27/T ] +x5Gj8?SU/1SL0}Oŏ0?b’(4a9XWN/rOcگA[$ +5-Qx>#'774U2F!^?J +endstream +endobj +10 0 obj +<< +/Type /Page +/Parent 95 0 R +/Resources 11 0 R +/Contents 12 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +11 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R /TT4 104 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +12 0 obj +<< /Length 3068 /Filter /FlateDecode >> +stream +HWMsܸϯLP$l*޵\T|P\40pde}^A35#׍b"U$E(Rȓ4ȊrHE=-H|V00z5~}]fQĞy߿ rg_@.uޕvlg$qUJ- _g'G,dzE^v_6[۬"ъUQI((i kBr\ :]{yy zW7|5C@@}4DDe&,Ȁr~TdA0 4PґY!nOAʮGL_+ϬtSD ۧ'LO_}DE +Q)+dszR7P~sS8=x0HOR`P0Z#0ȓRa>1K3xd$  3\B'xnvA.-zJC,fϔ#Ş _oľ?k%BxQJ0${s ~z{*ɏbO~u;6W +kcw'|2E)HHQ(F%ȞPpv{ ?QW-c +ySu ٕ2}jsSAHUaZeG.fEes8J QI$?C4fSzTnP2bi%+L.jU.ay8-$gRWcMǔ$TX2ЦY,o "Z;Y@^w8C1.-4>qs,^zu՚j "`ٱ8F>cEmpăjU]KZq`}5ˮwʘ?DoձftAMz8\u[i'9a[Hþ7*Y{^uMmp$Ñp:#Sn]1ކ;]ֺg|x0zoge:RJR +5..&L6%<[S{P7Ôhp:9ʋt|_we/"*0|ӪO߃ϙJbj'8D` ڲVbOV&= +J1p=so3_N/McѩY$4V&LҮ?qwR秶Smy9mۢձ!1$%tmAh)m~ 1kS@ܵ]݈(I,A脝P[MC \Q4Lg4Ӿ)8" ABrԤ#tAe[2u +O7jM/C90 +1*z_7jEU&n%A]E;%+;n5 +1 Ļ8}62OE{:+ZHoy >s@ ^MfD$#a~GQ6V./Z]i# + +i9XJxLT_Au+)>_+kr}5 -G( +~(NaSω3!JM|n#?лjCAeJҕ֩zK{{zr4 Ԙ篠3Q+o`zEAv> +jW@v'Ԫ||ir=LɴOY zVLϷX͆]uO(e +0!se!@RCz]\HU[4A|kÂi$. [\Vp:'ͩ6Qz kCT*%>&a-fnX-եb7|5K&j3EfCr!#qr -bJĒ <̲m/W L#P+$<$ +%Ċ:V^8/$aO~^ ` y~*>!^LLI~N8qqf`Mq"o}Dja;oi$]]fRrb.Y^,R5WD]w&gTY3i PT!̓ڪXqt8j/^fX4 +۵Ar“A +endstream +endobj +13 0 obj +<< +/Type /Page +/Parent 95 0 R +/Resources 14 0 R +/Contents 15 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +14 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R /TT4 104 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +15 0 obj +<< /Length 2150 /Filter /FlateDecode >> +stream +HWmo6_TV$8FۦE,dH9I?73h9&7m!3<EY +a + Aʲ8<ivV4%`}=zOlA$g2(e0Ó8$3#_2/ +=WyMh@!mME?rك#]h&~(ʝYA~?}gA)G/xdIy =D~P:L?^_5|O nA|!(L#MWYci}2Ъm >g/Bk>T_QjehLS3(|V0CU|yl.N5t +2znGdןjixH W a/RJcΎTn^Ya)\9CEFI+T2JͽBB}2 gQbJ(o/W֚;}sfzn$NI"'3y\~B\)0&f[WQBSl?6GmhŞ᳣;6 fn~LUF; +J  Xr~Yc;LQhgw'M*'UGIX~ uU *S8Us"T +3O{Xo(k#o +&jmď^{R08CRN4T +]'1|SF>r  xprjbV4i)9guRWIT+aLR(S#"CǍ28V/&lu'euv-ćJx^_.H%8C} hNYƒf/01?͆"5?1_ wKjo j+(TbPJOz7y`Mmuh|rRFney@!8\öNvJ{WB0tI"n3i֥֨Ӯh)9>iaP ]5ex)&=d]o< "Z<9ߢs1=ze>PӠA{5J ԑ˙Lj`ƆCח"2SfA +-ĂӝjPC +Cw$].{eiNn]{s +ɚ{=A{8VNU,:2 + +o7} +endstream +endobj +16 0 obj +<< +/Type /Page +/Parent 95 0 R +/Resources 17 0 R +/Contents 18 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +17 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R /TT4 104 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +18 0 obj +<< /Length 2965 /Filter /FlateDecode >> +stream +HWms۸_MDu=״Isc$ %12T_],Ԟ(>\`_}xYm6 ] k@Wgcv~$anuӍ`_:$fW.AZ8,ZU_~:?[2.H&\D4%!TQdlNE~>,^L&gyf%XVUg D\e,KXf *6rZŲx}SO`|cCx^&\1 `i@ +*ā9Eح^Z}cQ7x6u,K\tCCTTĂk%An X.\ iAr'spYA~ͯ:n}ԗ xۡ$7wa>"[J~%+x:֌&gmowͤFḿMjاmz-b`#0 +; w1C>bspJ~  +| @-]| 3 EgSY*v׏vmL͹YJEhX۩qHps -2ݑǶwYeH嶝Xsh7S'Ɛ+d2o"O\I~wnι#kaNy^xhkSLw7Za +\Ih 7%Bd^CIod + 4p$\mJuU>o-/f8J98_>5 +=eKp.-ػb3#e=EkOp>|_zK./f*qI[ujiy5'j8G{k>)6 D0~NG4R dÄpO +ol٤0ƎK}JmBd__~x ώy̱,aa' ?CTl*ϫ1@ycq dNPA-y fO(v} !u/<{O"!򴮍m^GǃUi +G9 "ҚҔ " +a$ +a-ioHC n3#ǎS$]³g޽㑐WT'%\& +k/͡)gX%߅&/!& +A&Mܒ0i4@@63A$]³g޽y_8&&A\ݨvǩXN8ˣs +AJr0W+@63218Ϯ{3~`N^*'/<%yq +&Vq+7RMW?'dɕĕjN)EhR)EpRsK)Ô;J̔vP~ʏ]³g޽J$>zɞ*٣gAB!3ԷvzZs684c}O[)qA]H?pRΰ^[ܘt[c!!^$!M7ުX*-ah~a_bÙYKٔ\n-XFuPɥa2[h +ZɯF/?]8=)>A5e!}6- +hY]EHy63C?L:{S:컃mn4'|~f_EZ@+c_Xj=-JuE oʯ6pc@v5` J1ݏàPN4 U3NB؀7{-rDNxz=LgZ +! +m2Ms:9.[mو>;*iB*x: gL!ZWS(=s06 *T!K00 :Tp PahK> +endobj +20 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R /TT4 104 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +21 0 obj +<< /Length 2683 /Filter /FlateDecode >> +stream +HW]o:}#yQ+iܧ n6HܢPeVH^Inw#QE , +8*g8sxfH,6]d+o%Q%lƬh}mK}?fb1|/nuZ /L,,`Hz)#Z,C/ $T"Dgz)L࿄w2ӳ >>)>m,VEyQ@E, +cOF 2֨ƒry2|8vՐ^Q$o,Uan&*aK0IJ~%^< 7& ؎0 +v~0R'Sڜ=$F}yWV#qП!,&Nd;BD˵?hD2t c&;H!ϯ)Q!=CJ6;{UX!Wǎݠ3Swby۪S[ܖEީuส|: ]YW[> +-rlYKH+&nxGF"hG3̙t_\YIv}UW|ݠbqcwdůI4 hp&kKrUd0Zќ|OI>OlOW/|±iTՏ(6U{!|X +Y;5±: +-;V[u[VjV +:6]k8M;'ch*U`ۏ6d]W*hlO x702{XGY+v;&B0=1Ezr.ul?ʃ4{Xs (B%}K(H&M،54VmFb;NP `ptܠv+hq>e, p9Qs 2Ir2!"n!}}(3'5 <Y׶1j :DY_WkII~ Z8Tacu^ʟ233>paI$܋^n`9 +x^dk܆k9>#8ۃXۂj|-كakpSkKpz1m?`P͠) 26VPv|Lώ׷L9k]$w[c +ï^,:83+5uEH."}ްU2 Vxqv,PSgi!>e#?C%I_HQL{]fQ˖Me1t+nj='״%Ͱk]'Ng^NCݖN<O ;`%u]ax\xz) vǕ{ S8N6a}mxzы7zkƟb%(}^0 -P};J`]Z!ԭZ!حZVD\+;bЭumVeNkh&10ީ +cVx̺]a<?/TKTG:Qbk5 Vd(9 +n +n8֊hG}`t_Vv^W#m׊dB兀4(S34i/(GXw ЩB݊!ܩ݊q!حQ (ƑL0# hNngОX>lwgHw)>!}W0, +&tO, +l.!v\,x~eM1o`{!1(|[m6p$- b7NJ4Uؕ/k7"O*C$B=+N5<̘f# , rd<EyO &]}Si4Yw:P3> +endobj +23 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +24 0 obj +<< /Length 481 /Filter /FlateDecode >> +stream +HR͎0)hKdb;-Z ] R +ʦl'mPd23~ + AcJ>FK輤+B c}bD)б[ta_8DVDQ{#RkAhI(*|NMFQ|lD:DQ8gu-y]*[jI8ŷ +LA{4^ ހ,jx8Ec +ʣ<'~ԑ. ^wi~DŽ +iaq2~@6cdQFhgvA]S6' 瓰^~l ų]yނAYv81&; }E1񞵷D% +~gl>߾h&5c}Ek"@8DN' _Џ1Awm?B?Cr|CЧ BeZmJt[GE:6 +endstream +endobj +25 0 obj +<< +/Type /Page +/Parent 95 0 R +/Resources 26 0 R +/Contents 27 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +26 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R /TT4 104 0 R /TT6 111 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +27 0 obj +<< /Length 3367 /Filter /FlateDecode >> +stream +H̗MF\d8MҷL"qa ECJ!v_UEJS|n\.K]jft%MZIP/oZY֋TU"?}^,~0<áR1J5M+~嶾2w A8-V4r^ +Q?NR]k qֿ.vPզZt]c;PMg+k\mڮ7Kbɦkt +qa}0f[ڴ0 +.9VU7zx 7n,&0~T^ٸtmF {$A7Jl77ϫ[2ۅJ 5c8Evo*o=;bw6r/qx ȷcrdim_MBiF_ @ƍp(O8ūj +BRWuI(hOwUfn8og9S0K2 +vich5FST`DU +n8ȴw4Z#]#vd`@X`K/Kv5Sq&Cy3hTʢнLY ǥnZ6ᶆ'?~Vl!0O_/mclHq^cg_~ +~=T5wZbyE*fJʌs[pƠz_d{ލqNH۹}N #&%IbQ:]!d +` [GrzիDzm??d2>6P'<Z + Naix +3d^y$5#۝kn&n;ir-Y'(=kLpEXS%\uƕ +5m0ܙɩ)}^|kIהoҦeE: M^|Sş[0fmv|֫)|8BI#TJa9n> +hg +- +MxQ +PnUVgR;yqD}v pnwEvT̘wrjn=/0~`I9Fej9/&mΏS 8_}XM-`_=w5P=2DMn{* bTy΂eD< 2GbYiytN"Dvʜ8b0 jQ=f&D w z%.,wy.zsٷǶ т\} iIVElCyKj];_CI<זs|^1IgzwNvА8~hhMC +E(KEGU0J\q!m{6r{XkxN"ۙr0AƫښT"\+98 ͧ(7f +endstream +endobj +28 0 obj +<< +/Type /Page +/Parent 97 0 R +/Resources 29 0 R +/Contents 30 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +29 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R /TT4 104 0 R /TT6 111 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +30 0 obj +<< /Length 2744 /Filter /FlateDecode >> +stream +HWr}W# ےՒ^Wj-CR6aq2P-KМ>}fqxBBz…+Is#%.ϋU]C[\w߭,n]uPlvuQV}+[¾>}<'~=};^v;3ZhʾO[xkw2\/B\,  FH' D6HDOfd?quhT`ޮ"< +K5/"$V +?rH2p+$@G_^‚:!.3yOxH{ʎis^f ۯ6xBy2t:AwbDTOkoIvC0MpscIGªKV$b@kWV:2D` ]ouQ72ղVjO(PґIHh`~Xjzg 2c A2AQ{99<#Vz1jMX1WvDr}2>JHhsX +CM~#{jB}h1Dis$D/'NWI ">嬏QGTHkFC:>;8ádk'+8;߹դ|g)η^y|}^z?:veݎ{T[h(E,CcеVKZ/߈t/k?ocbC^~%fKܗvZ2 AL3jfq# 7g +{jD 6q"s>=YP98{_G'R͸ 4SH:aXL0f~ 6K^v^&߹.FY4#aVvGAߓq'8u6Kj۠u#Ch766LUu}:&e +gQ3g̼xG=W7(ߙk$a#pY?/ϖwA~ԓ=qaj_6+-D3f7̰fflP3-&͸yyTs1ys:Tr"ӧ6uoa +X5jW&~5lt\a3&i[s gԔhy^ +{j,^P;b?t}]lLL̬DZ&ΪYu4HUeB5= yS'Q|g/Qz|^|#!>=c|SVԷ} g?\!HExM1mrS-;#7>R7LXAe8nL&VQq(ڼ*<|\K_/{-y6c!BDt݇O8dx=r6椞PMNx8"oD4PP+bFmWV{hh*RZ%tFᒲ=foLn%εFsEVz5xU rFAg cM](m'0`CrPr桱L (4 +v`hAi4S(цLr[6Fl#5,+|r.Kt9l(rؒYlտNM>kyZ̫֔4:*Qz훞wn + E3j[ORsG/6}z ~@A$x﵍Kmz@~"EG*(dw*8Iɿ@_-/@D3nCqBPb'Bcao@*b7,lO!)"7Bf\BWY0pS4 +цa=+9iO~Tj\ٳfUffUG?VR[lOOO*jkBڷ^ wUQPW_OGRCNP_."a{ڞ<:S=:fA9,yۅ3 %ޱ\_(IjÊ\ϻ؅k?[۬mvUxKEb-y ;jT]TXIu[ǵhIC,R m>(c2Ibtgu&w ";+{J'F?]ZxgԊu@ UK85TMZfwTN˕m.v +]EݕAbDPu [%υα^ۃ.Ƀ8Myzު/!G>qn + c{<>7Bpɍ^SF!PW'μcPk;PHD'jsV Oj|&Ya5~?Ezd<[{$@uǺ+5-Q + +6`hA(4`Gхgb-{ \b! 'RvZ(xs^>0=9Ҹq FzD&]OsC%2b𑒥ۀYr*( +xM1N^GB1)Z. 萃vm.]Kg#WbP*AWe.]v +endstream +endobj +31 0 obj +<< +/Type /Page +/Parent 97 0 R +/Resources 32 0 R +/Contents 33 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +32 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R /TT4 104 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +33 0 obj +<< /Length 2510 /Filter /FlateDecode >> +stream +HWr}W %B (ɪS^GbJYy(/N_7Rbז`.}9}z5\zpPֿu^B+@Y:9nCp-"=CK8e/ ޿-׼qj-LN!+S_%+˫,Lzdz=X- +Phy|7PK,4b>P\02RLwR/e!W/ o4X +=$HFF,( +:%:brc=;:#rC0Zjg,˅ M˭,:cІ9haS@3uhRQ=gjYfPj<ֶҒ#ZN, 3ÐnagV+p`?/"S*!yhGHw¥7A(}:QiΓhs84C{$rLT\*9PcqzSr-rלB\y|`kf_k(^Bwgk|DD{cO# ^s㰡+zGcQ\rp눍p-1q[m[>!r?Oųc5А<4[dO+Sb#8/WUFpj8w,[@mt5E7ǠKXz:woԄp%>ײrajkM9*~\z.3rpż+#j +aGA]CUiZo ^QcMiյ\ BGkoAY.CG~wH <=2{ɼ}qZ +o J|7ٱ?&# c9eMiv=eRaަ1񻶄榕9Zt-V-.!N 5Ct`T1T:嘸-rijC-`/jՙc,һU,о]=vDZ2GpAYQÓ$eWa6 ^^&y9œ2l7W]ta.B +AZcsF:S w&NS|Wkӊi%ʫXlRڰX鎗fN>Kie$zUXU 6My`Ѯaı<ܘE5@|ن>254;iRaXV5oC!ܭg%|XKpw`\ +endstream +endobj +34 0 obj +<< +/Type /Page +/Parent 97 0 R +/Resources 35 0 R +/Contents 36 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +35 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R /TT4 104 0 R /TT6 111 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +36 0 obj +<< /Length 3041 /Filter /FlateDecode >> +stream +HW[s6~ׯ̾&HNN؊:;qIIۛ$mJ&^dpn9jqZBB"Oy$"dwNMGKm'?_)q-~=->ߗyӖTʏir L~*soZgEMћMt[&0dY2=Q$ NտoW %*2?8,q0`m\,V3.Gf/ͣ@ص'6 +|t[kU"J<y'h@2_e H 4L캲{r?Lk8 !zJ.L!=8҅l,͉#+U V%9ا])eWb/>{aq싶 @SVhWM-(8q|,b[Tuى=6r9Z}k[_h^T҃*g,wȾx{qlnj;n=&8(HQtTn};$Ԡs%$ط!Yeі[q-_a9f/UQ4 |'!15A8D_GCb`uQ=oQuQ~}veH~Š7|Vo_=2Tc#!> +;^Up}Yp~JFK z6ЌFih1u-&vfَ}kYh$'bӞ@ ʲ(HN$ +%LR)RQ/[Wt + t0'2|PH\˂T{-W?hk:j:!YDVt)G1ޔݦ + ZVHk6e_Fh M8* pp  Ub-Ci1uTx}%}&]+aqC\L$(%Oc3z^lC t1q9mr)khF $}Oi\ᲄ۶hm1;2&G>1z:sh{~7H?Mnbs8m?-Sx޸9lns h!SXbGL01?;dqjjB%2w8\*?ĻieASIALiHY营4d&'p`|P:gt{!k:l1Fz^|5qu- %5!RCRsE*nRwʰTvK*GHuo]~<=?ၴYxT=+|TUɶzNeӯӿD +bQ7;dͤce#L:XǓaGճ¿U \L5Ńz0n)ՈEW/` &z%,[)~f>_}/.z[|Qg60Ɲ;m60|$Ə;vf<G򃧸4 +XZKO^v|ӈlC#9A`ԝ;saw8`H8u +:xT=+|>[~e9g/x۶Mm۬x;gj*>4Fo`׹ +ogLq^q1ҋu(_R%0p:Fi|3/ܵcktx3gH33^Y@4^JqR,V]y״0CŭU[XTˢ׵|ӮE2 #a7χ88ӾDŬWq + 15Qjbj-0 he{KRxb[6m +:aɠf0Î{x&$NY8CUs耲-N\2:%`l +G +ۖc~U +Kjjq2(d ,gCqΜ +#+&9ivWGc4Hc4bJ UMCpo Q0:|*KsYR,46B3^XqPJ0؜SӾx H=@5ݖFv;"2;q +5\SX=nB|o JKkޛ1Cϳw*;I +kHDGL1n?MEjN>;C'qQ-tsn[nፁ_VL +=:%N*'?J?!ןh +YSf53yx_-bH=gW_B8A6lXSU6 OSDE/gsԄ 9{6o*н6Fj+?)x#c e,3T T =<'l۰h +8H}ͺ9zX59/70v S+ϒ-PG* +,<L嬺- Gt`>$4Q +Qn0eODLYwZ.a &2@6ijra +:IVɚu`kqK +endstream +endobj +37 0 obj +<< +/Type /Page +/Parent 97 0 R +/Resources 38 0 R +/Contents 39 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +38 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R /TT4 104 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +39 0 obj +<< /Length 2601 /Filter /FlateDecode >> +stream +HWێ6} /­k-lE,ݚm[I3/ޭ IiZ#Ok=OXU<,V=[/k-X_. ?*^eEzX=sI$vq%2$z[M}|vu-S)eYe3q)thƹ|!@`XG)x&:Z*+]&nmKiEBjHxUUh@_UE HxG#Bw"aB&qή+fFt&:XC:*b%͡9nhgD 9,Y!NQTJMssc_&`6!;׵6R+tX/~_Q4HɡۛAPw*ZIqߦ}=wwǻH_Gq +Ұվ7;qٵ1&6K8YK;Dͱ0KJ^/؊ׁ?(_طٳh4?,z3|RYz} +[S薠xo4 FžEJJbFh퟈' +0*c?7fo\3+s Uc*]elŁw$PIa>Вd{qJ,\/# }wJ ,AGO@8Gk6gyUKvw09㌘ "#iIN[x͓Xi/if"Bp &lig)`hh< %3 N@Fo κ$)usst+#BO7RWvLdUdU͇HUxumG9f kٳi3|vNÆiaK3giƖ\2@ȶh߶ވa~_,5z|9%aLBOFT=PY)UPT7.h)8R;D9Y7+(Y=^AyםxKԴ~/쑽I"+0tE<=0q&Ov[=~e]sCuU6 +/3pnl? +bi,N`sPx 1p5Cԯ[Wm +(Ty +5v@{Wa ..&j`#yXf'1+6Ybd 9. VOXy6f fW7wbO3^.{ Dqr̞M5&z8z8VqZ{8୪”[MC=Y`2jqм:Ù.t0pp!掠ZhMz=ۚz8=J"ao#*f N`}]lܱi[Ϫuc5 + + +CMnp2޺l!|ݯOBKlCxtȨ}iC 2?RVR,mAt$@` $Bh!Do\C(篑EO&LPFyZد&kˋv dkpy?/GtZ +\:v7 ȱ{{SthKZ1pMA$o(ݩ4=Ť(dԽ/C}̺,Hʤ"9!xA}L.sw_垘 +endstream +endobj +40 0 obj +<< +/Type /Page +/Parent 97 0 R +/Resources 41 0 R +/Contents 42 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +41 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R /TT4 104 0 R /TT6 111 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +42 0 obj +<< /Length 2433 /Filter /FlateDecode >> +stream +HWn}h /cQud/vP.PJXP3`4HJyS}#Ev  +U]U}fuv?:Z8gEEy}X3吘O_QlWeu>oO8'EOg$"E }xDYa8P +zd%?uxU\g"F/7+*(-9Q4"2DW#夌DI#(?K"m+sAb#J;tYG뜭(ṛl@lEخ9=Q~faAe*unl֑( D$օWyă}*SKr?Ip +B{Ppo*~lEU&@GSјc#=UCml6m4=l= XTb^KYs`m|%A{0p`4G& ?Kbf + +Z*'S|=2DřDa0f iPPe6AWv +IwE}Sa 0՗cA9/n9v*oj˛e?mͶCطs:񂨺&B5aƦeᜀl ͌K.k} k)k7.͗Y6Opvgawp5@/Xx,[Fq[RbdHޅ;Yq슱-NekY}2d+8"S[BfB-9n|sYBed2'8WMj| +~ +geyۗTUQy-ֳ}=P9׸ǹ" +==%3q"u]U?X aoK$ +Zs3sG l:F!`Q{]i$EhۗRO'l]g>7]X U(ǐPD)kXwܰ}9ң?}svuc0O۶gn70Sl[=V7;="Ce%CWۺ-oYʪÎ6"gA%o +#wjGVr7 +ɺv_o +XݺNlډJvOId>šrc)U }r0 +;n䭿%?DEK""\/Cy\ ^@g3tvҨ"%+1<΍Jpn;"DDg + +nR 8zw;%#C!dLJf:}fD,Fѽ? Py_.k'^9.-'sSl)ei^¨X->c#XٮmŨa_kwZ"&R!(oH +v`V y<͎]QoS3DǹMɓ޿$I4V|r7L8~`:};@\Ypi +endstream +endobj +43 0 obj +<< +/Type /Page +/Parent 97 0 R +/Resources 44 0 R +/Contents 45 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +44 0 obj +<< +/ProcSet [ /PDF /Text /ImageB ] +/Font << /TT2 108 0 R /TT4 104 0 R /TT6 111 0 R >> +/XObject << /Im2 46 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +45 0 obj +<< /Length 1686 /Filter /FlateDecode >> +stream +HW]o6}ׯ H(g(VtؚCQuZJ_l޽DI4-:~^4 +NTA@H/gfl&&6upb '28ys!]s9I .?EͫXQ'FqIw,92#qՍefTyxk4249g9}$0)# "*TUl68MGR 1OX`~.nJHoY$݆޵XZA"f4/fA 840 +r#a (gK]{fL&JȨ0fuBWPۧ*Dž|>[bG‰yGHKED[/6qW=O5‹:vc_;G ޥ>#f݇fUN77\.Nmi[%|BiQ0t\Y^-C];!$\`ڂ)HZ9qu(ȣ + هq H'$r [8SOfϑ{t܈>y c/,K[58Ӣxe؅ރ{Qpt‰k'lY +۟bN(+CGViY@zg-OӞ4aHs1mTԽw8jjgNl{le?ݍpor*87[=6q{'I~ /K9R`݌kNN]'Ml0KteO;ߖ~4'PA+ȡ$%kw0Vqm&VNTTcXpr4~fE/E'$yET<><)»>[4p';fX VJ}ˊ +T` Hums9kWCņk{+} %y%ۈHQrOuWBI^CIqeV7$=ѕΒ>q +0{ + +>Y弁/~컲 ]&}:_#;NLrxFxBb<$gmWGܬlaJDr*RVECtiUԏ@DgyB뿸 +Hv7Q& *|c +>9,׵$ge}..OӋxz /u@7rεAHxis^`}_qDp +'ٛhnB{}ö1yÊAQ ,D}|ϛT4#ʼnzȍ7ϵ Q{|\ac%k cœً[kd}J/" s!M׽b3e."/twV$n79݂[K'>_4)Fh}4hiǏbJczܾr)\%^$5 >VAТ}-|䐣iZ`G\>` +endstream +endobj +46 0 obj +<< /Type /XObject /Subtype /Image /Width 150 /Height 56 /BitsPerComponent 1 +/ImageMask true /Length 1413 /Filter /CCITTFaxDecode /DecodeParms << /K -1 /Columns 150 >> >> +stream +&0#0#0#0#0#0#0#0#0#%2FaFaFaFaFaFaFaFaFaS a5FaFaFaFaFaFaFaFaFaA)0ܺ0#0#0#0#0#0#0#0#0#™ +ɪ0#0#0#0#0#0#0#0#0# LфaFaFaFaFaFaFaFaFaFnMQaFaFaFaFaFaFaFaFaFJd 7.#0#0#0#0#0#0#0#0#0@rj#0#0#0#0#0#0#0#0#0S ataFaFaFaFaFaFaFaFaF2TaFaFaFaFaFaFaFaFaF +ˣ0#0#0#0#0#0#0#0#0)0ܚ0#0#0#0#0#0#0#0#0 n]FaFaFaFaFaFaFaFaFaLFaFaFaFaFaFaFaFaFa@r0#0#0#0#0#0#0#0#0# +d 7&0#0#0#0#0#0#0#0#0#%2FaFaFaFaFaFaFaFaFaS a5FaFaFaFaFaFaFaFaFaA)0ܺ0#0#0#0#0#0#0#0#0#™ +ɪ0#0#0#0#0#0#0#0#0# LфaFaFaFaFaFaFaFaFaFnMQaFaFaFaFaFaFaFaFaFJd 7.#0#0#0#0#0#0#0#0#0@rj#0#0#0#0#0#0#0#0#0S ataFaFaFaFaFaFaFaFaF2TaFaFaFaFaFaFaFaFaF +ˣ0#0#0#0#0#0#0#0#0)0@ +endstream +endobj +47 0 obj +<< +/Type /Page +/Parent 97 0 R +/Resources 48 0 R +/Contents 49 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +48 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R /TT4 104 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +49 0 obj +<< /Length 1831 /Filter /FlateDecode >> +stream +HWQs6 ~ׯ#՛(qMvzmk+ZYYj HJr]ӳH|H^'boX𱕨TfX alCrq9l;%dwCDe[o0zL?CR䜥ꌿHJTeLW(uf+70te`JTZUfŅm:aB[ NHa5y!f`X's+Et +\{||=oS؂׃@@ 8FTUF4_Z#'daGh~`i\AaWFH6۸!!_S8… +D +RHrY s_OS{H!Sca<L;`ÖRhM[B>g&a\£]rQ3zܸɍ7q36}<^LaBx!ɴQ+)a֖( +@]‡ꞭSivOwG϶ž`sbKEAQ% }UAm[.qɊ&*~l䨟v3yӂGΙv葄.#h|ߕ H^%S[MClvdI: +G5{ӣk4 +mǾ Q<1ΝSoD`4+D.¨BKc5PeZ3FɏK]-{S(f?qZ`;K2#ø9O&(KQ[ρ&NUS a=l.]ԭ#U(k7+4ԋ6^|zEMhbG08;4׎ I]:2,*'cBrJ|l07AHD臱uCxȞm|q<SQ<*Sר{m- mBس)H?S\2 +pTr2"U͐rt‘H#=&w"Ds =7RL !*Y;6:LO=-H/m}zyIr[xxexsz? m}[3(^բr k2#F]GRt-n96D786TϘ NK5={"v]R26muC6ZhO99 ]OfٛI҃Gp"i q8R8]txWg,t󯎵{*IO>UCmnT +.wABC4؀&TSAxkuDܺmPFlo%]M.(%uAsۖ(͉? +vy˛K['|)dd?dl ',*uHėK1t.sټ:Wď~6~8rij>3Ӭ2N=#} +2%a-E`$s]hu юn9j8?̃7g*»WTeT5m̕;2}$˩ +[9À+Qy݂Ȇ18 +̀ &$ +*wnCQA6,Pa6I$g6Lɫg6 wȞـ*܆6:CBaJS.KJTTCkm^hZ:> +endstream +endobj +50 0 obj +<< +/Type /Page +/Parent 97 0 R +/Resources 51 0 R +/Contents 52 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +51 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R /TT4 104 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +52 0 obj +<< /Length 1627 /Filter /FlateDecode >> +stream +HWKo6WH]Zױ A#Y+{j%Cڬ΃%?q"3ÙRl5B]y +"JVj$HUpvyl"EQ"U0CݝC=U,Er˟@dT& M+&VJ0-.ʣ,I0p”׏27Y$3 ?o?l-乲$ʭqPw+)ǹ29Kr̓ +̏S{||T=WC[zT8S V8UE*T@:OU"hI#F!O"L@ #pz)` NL!L:LmshlB@Bs Lv31:JO 7³D\~Qm3ܒvSN*(Tj~q]GV\7[#˰̾Uid`MR^>Hlˆ.fUP +tOSWMfikʆz +Mt~_< DkьVCIBnz134>C1Ⱦ;`ggv9mųY<]h9+,%O₟-KN$xy11M$^8[OhN򜵍c{# UTvY%D3QL 9lPΗ O61J  +B1C܉gsРJEρXƿ.rK43'iPTvdl"54hZ>vMIb7xXtځSޜm1Spއ~<ĐpSP(tߖWŘ |[SmLe|hGg:>b_3x:ϑ:Bj ;yzqn-K}^;}y iWX'9g9h~׭_70ѩ`D#gK̗WqhL4#މ#<ߌh > +endobj +54 0 obj +<< +/ProcSet [ /PDF /Text /ImageB ] +/Font << /TT2 108 0 R /TT4 104 0 R >> +/XObject << /Im3 56 0 R /Im4 57 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +55 0 obj +<< /Length 2257 /Filter /FlateDecode >> +stream +HWYs7~ḶceS#bM$zyxIh`8CR@7B %3ҋֺ vvY8f7?)xe#tb_O?CJ5 +VV|+ݴj-APM[v0g_zq|Nxq|Ǒt+F-agk1 A +q a&4:c^__=[o:PQdž1*a|yƓ~|.  _DpQƱ*6D?joTYo׻e -b{SH`3ېJyp;xF<(:1? +6*RM`Wl Jmkg$ֻ^|\{ppQebb~#{]* X%!Vm)QnR9H*lԋ5TdS_EQ4]%VD?Dx?M%RّXr$OgO&"ULMH87|ȗ)Ijw 0aLJ܊V<{ܧaz=/7^,w?2C|oІ챃=I&KcQ|,w18|l9 +j6AQ N%hTt'1 VhqD;8% +^`Z0I=lѤ[O(CJ3 tפa~}MXC6JiA0Hox-uDZ"Z΃'yC.}Q5p&W'Ph ~߭ +8tn/xr;D\j4㈰g){Pa;c$Pѝ ޴Àuq=*T4űQ?ps] +-|K?ZS#0iǗ?@c|hTh-Ih(倫S5՘r_x&wi}A^ӯRc(RJL:&7PcpNakq8"gn&>i7ueHbo>=Lx;>>q=hwOp-*e^&p֑-t6jX_2p{6 +Ij>gLOlݕZ5D}ϪUZljK]Q +4A +eG#kF8ptn,֝qM-D%D%ʏ0@Nl!vl˅0bĖ (3mq$.b9\ #[,ؖ<&Kb2HE8pcTNn0i]V@sĻ㓁ҝg4Az%7!o1%n%C&uÛa*zc)(ҕt2 +tT)=.SFB3g2.z`hGaaBC>pR{Wm(.W-:xj%$2g.:&d2 Wpp'EDX']08#1k"g6KL ;/> >> +stream +0#0#0#0#0#0#0#0#0#0#0#0#0#0#0#0#0#0#0#0#0I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IE$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$QI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I(I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$RI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$J)$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IE$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$QI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I(I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$RI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$J)$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IE$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$QI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I(I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$RI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$J)$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IE$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$QI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I(I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$RI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$J)$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IE$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$QI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I(I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$RI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$J)$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IE$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$QI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I(I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$RI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$J)$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IE$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$QI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I(I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$RI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$J)$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IE$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$QI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I(I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$RI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$J)$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IE$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$QI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I(I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$RI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$J)$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IE$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$QI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I(I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$RI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$TI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$J)$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I*I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I%I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$IRI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$JI$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$I$J  +endstream +endobj +57 0 obj +<< /Type /XObject /Subtype /Image /Width 210 /Height 188 /BitsPerComponent 1 +/ImageMask true /Length 1741 /Filter /CCITTFaxDecode /DecodeParms << /K -1 /Columns 210 >> >> +stream +&>>>>>> J|>>>>>>>tMQ}G}G}G}G}G}Gӆ}G}G}G}G}G}G}J|>>>>>>%+ +h>>>>>>ӆ5G}G}G}G}G}G}GJWN}G}G}G}G}G}G+ +j>>>>>>7ͣ>>>>>>WNG}G}G}G}G}G}A)]8oG}G}G}G}G}G}GԮ7ɪ>>>>>>>Rp6>>>>>>]8oT}G}G}G}G}G}G}tmG}G}G}G}G}G}Rp&>>>>>> J|>>>>>>>tMQ}G}G}G}G}G}Gӆ}G}G}G}G}G}G}J|>>>>>>%+ +h>>>>>>ӆ5G}G}G}G}G}G}GJWN}G}G}G}G}G}G+ +j>>>>>>7ͣ>>>>>>WNG}G}G}G}G}G}A)]8oG}G}G}G}G}G}GԮ7ɪ>>>>>>>Rp6>>>>>>]8oT}G}G}G}G}G}G}tmG}G}G}G}G}G}Rp&>>>>>> J|>>>>>>>tMQ}G}G}G}G}G}Gӆ}G}G}G}G}G}G}J|>>>>>>%+ +h>>>>>>ӆ5G}G}G}G}G}G}GJWN}G}G}G}G}G}G+ +j>>>>>>7ͣ>>>>>>WNG}G}G}G}G}G}A)]8oG}G}G}G}G}G}GԮ7ɪ>>>>>>>Rp6>>>>>>]8oT}G}G}G}G}G}G}t  +endstream +endobj +58 0 obj +<< +/Type /Page +/Parent 97 0 R +/Resources 59 0 R +/Contents 60 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +59 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R /TT4 104 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +60 0 obj +<< /Length 2635 /Filter /FlateDecode >> +stream +HW]J}}߻O3rDVBNL T8 Hq]}G_ͭ(kKG"%n6T˖x]Z7Zݷs= |i +~˫[gʙIo./0e~_ XaE+3h73*;LL/_˹U$qDC & +5 QMn*kҗIO+H?Q޲f݌88[~b#7T8_'> K hD(ԇrBL؞zؓ&h}b z.mQe<.7b@IcU ̿bڞ=/d4n=pgQ  %?A?FUd,+QWL`~S٬&l'F{eF bݨMU7UV!gO 'T\}eYY+mq!=W]}落1XݨPdjXՈE]mB U.lSg=dĕ. +|eC+1u6Q-K?PBR-;a4<#>+Wͱ-ڮ/}#:}ڛE,gx.kvMv%lRҾ؅{'oe߆٢S`ꔩŒh@$'1)A +)U7N,F?u0؁" +ч %/d@R"e&ţ@Xl754dJ5^;Fec=YI`ģ ۺ阔$OZw.[&y\퀥nmEV}S-dCQh~7³G.:}("Kt5gr@83^)S20vLs|,juY|I9е^ۼS… R5|!i0(<LCp1 +d`J|%vG]4*G^Xe)oR-8OKȢdM R萨ZU*X +꽃/9=}߿b# +! +!lK~MAp1dI\k˷ɚvձy!l3L:I@5ːQsOCk6E;T*52 k ʞg+éK9D`ߥ&gMO̡IuTM֩]96[.(q~d n +838i=-ЖD{Yő(6jS}lٗ+Iyh!E"G]G2>˞EpFҽ~7ObξʗٞN(e2`N0Nk"$ 8{iT8v.23ey׾?t@5%lB''OWu'uGZ\VO~B):rD구FcDCD$dBABL( dGe +{~] + ):&jdYQrAGVb0ӃGjCSÄ|,v;W8S5nVCY FsEntTӍG&] +!& !O 9osK.. * +;jxorkm]ͭE@JF]\Ql'\үҚ}& +TC˹3`ϗĝiCoHdr4iEecVx&Yy|". +([ +uY +?+M߾gZ#t[Q +㒝!rCOzl016ŴaO:ͷ/MK9ƗN16T׷J^_|U(F=(VFern|{k~L&xDOsfsI$ +־V +Q)Obz (qCxM!/r&R,0e)Jm|LΠp+߀>fzCí!J] +SKB.G WA\)BN-ҥz݄m_@U# h u3L(“ ƒ qEL0~f4Sr}Dt$f;qDFb^n`H.͘ރqDn%([7ł0Ɠ%I@p% XhvvjJSc4XKl0>'>4'[xDŽ^ptL!(u?D8?:!S%;ʶ`Sm +>ՎQyS=`ߔG 3B(}}_Z]tW9Ze_CB$$?oj%'$oyƏhw$ +⑍ +?iuS/_ n| LEY9)97$u| +endstream +endobj +61 0 obj +<< +/Type /Page +/Parent 98 0 R +/Resources 62 0 R +/Contents 63 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +62 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R /TT4 104 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +63 0 obj +<< /Length 2545 /Filter /FlateDecode >> +stream +HWK#W6V~mNٝ` 7!u'wHkX]YbfH"p?e*sR%zgd)ӧyWk8Vn~m.['k!XJI.J? D|L)30 Mz3k-M,ei$'?Y ҒUY2U +BRfL*ުܚaa9-,1^-8u|03u:6Io M0P@_#Z iΪT9с<`_9܄ +#zA>7?$,3 bYL'd^LS{n/ +] `IfwR)$݁'!?h#Ch [5$9u͎Z0zwW *ؐ]w˜}dD i!7YE7q4jwXU~qkb&W +3!YgxT; tV>z]Gqs>tۜ7|݊^z{j^LcxM>{M`uEu24'=us\{}=Q#_N_YZ:`D&&OsrEwƭ[0:{HzOҲͣ/Dύ%,/P18~w,z77o=ilV AҟF&2E%^=F޸AWP_:˔aex2VfKVCAl +K.X4Qեܢ)Mal܂c-"* Jchz7ϊ*n5mf +c3ܯ 1g +swZ<ȴ0WZ2,b$o!h0daaŏ0!i5柹'U2%QjsEwqE nKOp)F Q8-t +Wܮ@s>Dݾ7Oe(NpFs$Ksh8t8t'h[ڋ;/£y MK(/VKEbX*-xM(DZ*PvCۄ%xC'1[;k BK8>-qwF)>p2sDpui&R#vK +dGz3v5@63>IG2uH1 4/p2g*T̏($a:lwL>r/Euhቚ"Sshǝ 8\j+kpX*<Ү$;8]̴y-#kwE{By^U ýǜ7{B*VjT|JEffgf]כB%=q89`N,T+bF3ӒEPx ^g|*ѠcxthT#Y<{ ++}Kc +;GVģhddIOA؅ krR>!5{%@V~y +RR c5OďjEMZݿ<-a-Y8 S"2q-BLIܡ\yX5l[K<`Q6"c#;6¶lp;=xGn; PG2 Kj*CRu6 X$o8:wȪwX8L `LvPf $cxnPӗl +/(رfN +̇I;9  +rZ8@v D`@v*2cTQ<% kb.(ɊGQJ"$;J¶ÔaǮNAp0\?ǐ<)ؑ&+_9Y͐"u+|Z +f;:J:UC3͢Lx{iOV%xXȥ- mGoUDS=R:*5@'MxDc8f97iܢ$CX-2ywF[{綿 yAɹ7>О a<\.C~-Yi?JvCұIFI5I9+i?9AR(i{ӂZ&8Ɍz| +CN۟ '^Бh3߮ڷfaM]tWA 0X7QAj.e~2CIw&C&Τ6zhz^ef۱v@fp +z;}HS:wAa` +1ޚ캓uV]켺5$%["w]7ը?VecINOw|!V[ >e ? +endstream +endobj +64 0 obj +<< +/Type /Page +/Parent 98 0 R +/Resources 65 0 R +/Contents 66 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +65 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +66 0 obj +<< /Length 3142 /Filter /FlateDecode >> +stream +HW]o}#U(}M l},7ڒWR6~IS<ᐜz=pr8 (R0I4eԃPag1c,#zZO7>v=qBIϋ<D#yI'a4Uj#+1h/#,Dhg_v>8iȮ(I۴ ڝw_"O@kq՝M>%bt 6I"RR q"<܄z!,ȋDtPgu攱ȡux{"QHƜ^kV SB{)1h$~4KyI3ÿF F׍n/ۍ洺.*^<. +ŦP6_ym&dcMܰ )=6@n')2 1v'E7Eo8=%+rzqna ړ/X`6Uw0}e4&@E +Wg6}UD}w֦D2T8 m;b~)9!vx9|Kw {IB比g_8~ 0[(M>oX`_2c`1 $J&+L8a4' +mBh}4@*6 &dZnʽzo(޴8|1F ~j6N5]'wj׉`5';$%MkJL06Mw>>&?y3~ UdmLEk.L.Hcg.0Oa`I34D +2o$Ʒ4+W࿁4Pc\%14mKxmK3k7)v ^Lke7] d6ym ,뱒 Cwe +3w^?HfJM|22#, omb0r6 j#pz=Esa<`|Ηm`4F'NkK=GEI仭\vp+\,op^WONQ ֭F|OI283=gZ7|Sh]vl +.M˜lxQLdb$SSYO +2ഭF7N0Cȸ:LA:R6,o'R$oKM)¨":)놥}ad>3 8]VNkkEDÁm>!Ⱦ['thAzKQ<> 2٦Y][nu[6АYro6h'X0`2e2D'櫷+ޠpQ["ZMף t˹˵CqmPn )0`:0}ᒜP.Dv@z"zzwdQ( +o-%%űrSKaދkwRJ0',)zu֏P @iy>jĺ>36. +%2J_~b#H0(NqEQLҎUBNp %ði]ƦkqT͸ xB!o04dtj! +jYpҖ +Sc 6-̸v/K( ^"j0( (LaSoU C%G"D)dH;lq]Wk7UBC*$5MOyرw=O8ObFq4b5b?ܠVA%ق{<.<@[Ue.i~ VvjKtV5mnk1R֣3=v졄(B7C0ؽI[~4_J_C4*iKͮclBDUw_\a +)}Hea_M S\,vPbu9H.#0ZŜGǨ*f)> +endobj +68 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R /TT4 104 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +69 0 obj +<< /Length 1233 /Filter /FlateDecode >> +stream +HVKsF WۉVяN:8遒V6[ԐTXȉ3.><"X604O(VIQ 7} ޛh>XhX|i;˅1* iij"R^ZU,nNm9ON.~@MH&^Z8E` TL¨,(Ft.ŅLٌrv<U[@q''*!Ʌ&KT=",GB'B BS73)[_1d]Ք#DƩ\o`2pwHnn ?>q&?l}6#EbELpASoT1 +8IJ-tHs]~"$UDf8I +K8:L^:InըoP23휨2I{ٳI2;뙧 MˮrU4=fb=ʊxe*dHa~^*װ:lib]+xZ9<5|67|ƞ'^qv [v+*mN~ù>Qm`5=E7mvշ&E=EuLS:2^PV +D8j5CW‚SEáG8C }㊿5mfJv`, Fl[3GHQ)caA ~$oW~R=d]Th0!>^#IBGK˳g84CU3![n +d(7I`r$&՞)/M=q, +%{? }5A+T3UCAYcAҹ s5gE?T|cx_aINdXFpk8Wj۫VeV:=T"7fCb:Fp!'M[TT?|t=~mƥK-29_ԊflEaX;-I,)(d+,&N=ҭ/y.5 +endstream +endobj +70 0 obj +<< +/Type /Page +/Parent 98 0 R +/Resources 71 0 R +/Contents 72 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +71 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R /TT4 104 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +72 0 obj +<< /Length 2685 /Filter /FlateDecode >> +stream +HWr8}WLhJrl'qf65JvȢWiAIdvH郳d6LDHV~:eaYMn_o''[['R|rrq%v2-( 'ӷ{]wvMgٴt]`I%_γ + !<O]lSM-^TV,xy"̉,`gɳD%TU+'D^iɥfVWlN'g#.*O$?ڷo; GvPozCZkj[29_T67J|tXz"+ee ȍw]{\x=u9*&3^\vn#L׈ thzsT̷"Nv f>>{E:?&QB49ŜЛMK]/L 'Zc)"R9OtGNt=JGe0~4Z@>~Z~:g>[H +^6l,)W$+5E6W(/fۢn]SDYJu,WS^u2ƻ5W-;sEdܬ’@pg¼[zvP5rXXZTs7:&l[7+SC #W T|9ܱm{肢n."'iAhCk~wM7OIqѥr?o +׿4ٵ>v. vq t`fװ]./(_mvn k)kwD(R5p1-5tiSK]߯_2I`M'aԲZf-y{gf(×'FŦz?)߷A 2kT8P1 !㥋7.);d/YZ:=9̪C}4\+"tK^\(MeG'Hw@;/=r Oܔs{% +6e^FwRY6*hfA>D0{T613Ȣf:v"W+b!o'Z{4j6]?(tvLVFz{zDWI>RFbщ5kS{ tiF A d1ž^Jպ֢$I +םZpEQ`Ş~aڱqgI++\Jj/6S[Q5_ +2ސX +Q"~ė,g\_|`eQ9xWr%!@Xo4`ҔZ\4X<{BW0"'' +9̟QV;6Z"1x`q0N~g= uyރxߏ!ARpP`@TG#eR/D>a/GSSM0^"|yX.a/30a8o [VaӸK\3Ah% kouKW\ +D7)׃DB\I]=x(h #͆P0D +Qf%l #ͲڳY=\)4Ry:M G$.˟k[+'TgE p$Bc +*u +BPqDx +d5M'k=dy>_@Pmj!X6!`E7R?FGoz5wspS n +d-{mwCzJXR¨{JLKöF+ѭRl7J* p )e $J'Ԓ8JuB)J܏' Ѩ?kث#{nap:C5y~o> +endobj +74 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R /TT4 104 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +75 0 obj +<< /Length 1235 /Filter /FlateDecode >> +stream +HVR6}Wb%_~\ HeR)1mM,j.K6U,Q] $D2`<#|6%*8<,GQB +.f^p!eD!nId硌qyN:݉56Z ]rHe8Û2PC<RėfhلTdnN99O缬)j7KwgӽfHJgЧӊQv0v_c@-j6l=8hA9\#٧0v޺m/3Ǝ?~t,]:5V +ŶaApk(}$.w +7CuDn7|e f[P|~$8$rΩȤ9z`Dnu7 +]䞯|{`{9 枠D/cI_ϣ/iŏ7~ƨU?nY8ZS/iO%wDM +endstream +endobj +76 0 obj +<< +/Type /Page +/Parent 98 0 R +/Resources 77 0 R +/Contents 78 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +77 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /F2 88 0 R /F4 89 0 R /TT2 108 0 R /TT4 104 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +78 0 obj +<< /Length 5896 /Filter /FlateDecode >> +stream +HWے۸}W*q+G_M6ͮj󰕇,JfFxݯO +P4sAw;)M>2.zluk2uUO4M;wekO7u+5sS'=y3 AxLd)#׏ak_`ʠ穟9ݟ;՝] +6`;kܨm=vw̖MuM}/_O1n&?` iZ?.[cY_?M/ḃ)FtO[WYF=mm|iΏ[88x]q>A s8i{XIχ`Cٜxc\>w?Wߝ׽¹qK˂x~+B2ax2ig ;1> +c l_Ctl<=>qAt;]ӞV~SB%50w/ +ywoXzyfv}00Ui՜zt=7/Mٿ?7]HK$J<?Af\b +k_ҥ rcyJX0L17gʣ<.'B,oPcǧ4cE3"Z'P{{‡>aaF JTԱ1X~oUT,[K+`6id́Aplc !̇F(Zй I{fC(QmΡmp>!4C69Y0 ++0|hޏNZaBQC# +@frpbop>4*ʆf_h %BCP>4G 0Bhʇܹ +̇FχF0ZEМnrimC\BCP>4ɊVa԰]37KxcU7rx#_j7M5qx, +8POcO oVK|=mjiS+miiuoT:›ll[-4pTsg7࠱9Lgp"r&hy!iK=bW#8"67M_j\L]6&D_Kc-tmBJEZ\_&jkoXG;s +^aŏm|J⺶_%:ˡ3%F.-(/ǎ.ѽJ;O"A`G':]Q;$[,^E4CtgJc8o|g&YIYo۬c&XIoSśgv957-2 +ϪXļ0"|,hz^["f3W-bXDH]d-gEdX!Ni" +]V[-gEd-,BEc5 +l "ة:Z;UF٤<,v.X@GG+ +pj$)4n +BMunr\&M6nla68nnyO C/E"L% >Kq G. 3K!%Tq ŧEbV]"khثs ¼I9dmgMdX 6!N& +] V[mgMdmlBMce +l 6&ة:;F٤ N;ɊwNs.'de;MIL6;Ɋw"|sFyF9˲s&g]d;aU =dm&34e9;R;MlS +6ϯ`C[SUؠ;b8eRE~.ЏO89$|<)>ED|g{{gN1 $2{n]9|ie|qfy] ,S;P$+1#wkJ֌D_n:<-|?&.T+\SLVS0 ++LI=a2 (2z} 14dqNhv{|WKyt8/~=ZؐgaZrz7Lya}GXx膊<E38k|28j+7ژL쟊7F4f5E4-#n8+h؟gdq~?dgf>9@QO +Wy2>ʼ)0 KWOT[f {gog7l1>Ei(k{JD۲Sl[7nH_vBió[Ԇu1 +C-ijSMy_LM}to!q6"gY|#ڤ +]bs .Y8~>BiTǃ^ ;hdG9jh.[\jɐfjԪ4LꆰyɰCy3U%Cjfc*UVDbRJe]πڬXZԨ0lZ%zL5nm:ew2(e(U65ϲeV"wxa0ދ1֖]~> <Y`4m@_Nf*Jnj +Oc8Jo:߂N{{|\|>@L)Yu} +AWniyX"p*MTٱ*^4sO/ !H^s*1J35R)\feZ9Vإ=S^_žg9IzBÚKrPSAk&AM9̹$9 +hk46)0ݢ:o +T} +wQ^ٛ,jEȑoq.|̺}6;:}M)~pՌ2 Շ~L\N +qUw6ZccU-0bJq>mv!^#[U1X,/:|daV݅vFccU-0b0EkbT*F Q-ר]`҉}rl[ٞU(2sQlUBc!2adm+Z{uZ(˱*K~5peZ_|Yh,DVoe%RHEy9$_(&%9hA+"ɜSQ*_O_j';p~sgxARydMRu$4niTVt%>J8izugSs\FΝNl&~nż+`ss|p~Pd!}ÛWW97\o(tS97}Cm:ג0 =#AOrXsIj*a$9 9 ,'풬 d 9ekM)OѬA]'Oqghqٚd<9GYH6g8YbNqٜU( +ٜ +xs/ +ٜWW6mɬÔQd)YQd Y+2TfL5eι"SNeYOA_`Bro=yRԡTŊDzǩ:zdkFu(eun#ٜd=9:zdsF7Wѣz|*zdsF+Q =p*zdsF_]Et%+xkD].C^Ҥ+ީ߽|^E={/^_^IvO{)9~ݓ{1,']qO2?txMM?^E?o`V:3p~M+8XQ{rS~iڠ; +qK<2俍ӎp=?S\$MtwwE"s?78Nޕ3LǙ]Ƨq 8gZ`fyܼڑ!%Y[Sf$eF~bv> +endobj +80 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R /TT4 104 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +81 0 obj +<< /Length 1095 /Filter /FlateDecode >> +stream +H|Vێ6}W#YĴHIv"EDh>V!l3z`"rΜzo`[ +$@*_Y.rjHD,.*LBU}"Pa@Q|eFcFJ14CHBU13V  .H\C&2"y \UrhZ +l'BxrɅJqU;MTtZH6]ӗnc3NJ\o %=h; K;O/_*DDUi"ݜNKwsw]"s|"N4u!E{~{}\xhu1+cDވ"c)H(G3!n5V锂LwthSN[gIN$0+s/stn{8zX;ᶝ7d:8pjkCdQ,aXnOݶjkh,;;x`)[|y]vA1ѩOe U;TL +oxD.@ۑOqʠXoK(1ˏ +9\Ŧ>8x8Vfz <`*g7SS'C릇؉C}b|B:#^)`2t7-m5/G]gw~'+Bw6?lzk.2V)+yHaa휹F>N>@]?!.ټllES#(E7Ԧu]Q:6T*}7=,3S +ݵtʞsͻwyJqkD(06rCكq +%rV 4HԶj +hj-y je,wnڀpio⠙E-y2#q&/W +'`;7`S_1v4[@y-^h69`4v:ͽ Ve+[~p~QR +endstream +endobj +82 0 obj +<< +/Type /Page +/Parent 98 0 R +/Resources 83 0 R +/Contents 84 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +83 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R /TT4 104 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +84 0 obj +<< /Length 4122 /Filter /FlateDecode >> +stream +HWrF+l,&O<*YR.Zd$Q&i+9 A<(LJFs_}uxqq2]\/JR7EJU.~|k-/)ś2.ʢ,K]\.qpެY~VզyTQ_sUy~ +^gXE]嚾x܅K~~;lY i_8.~YtmNȢ6ѶP&ZSgz˺.TMs +ϻT}SbÄXm rvE#e +P&PdeQֻ.7M*](An[DoBۇ%Al8K$>~CG|kV(38v (<  .s +~!^>"WdqﲨLua0謣E0[DL©!9J:/<ю㢐oB;zA퐽m^⎼>W* + +C6o/l U$.Z_#@e"D}h(_p7UeO,هjB: +ɪ9C>+uQސo*t,3%b)%@uJrx)g]Px.,,omVh1̖UUTbw\=kop}_=_2WD^ 4|[\g_WT +ѾtSC.Ke?"{5l t o̱5iLqi:ஓ2gNۜӚR phшh0n= +->}$X_㸡C 3'UF[hPQeEpӧВ_,x%g'1{3爏a?iJt>!0Lwk$d/ Az]WYgh*ڶSh^_ޣz 'hiT>P +OdnKC VVu(Z5re]ЉmQeF +PK6" +~iEјaG=GV5@[$=֚:sZigŬZH +P$kY%pІtgkqg@a'YfB$Izjy^VCPn,2(3c}"ujmȾRm}K}C  X(@y9W!K#N +3 NQD8_R5Q49W%Sh^TQD0W%hcbr 6ȴʨ(QFeesҿQ)"Z4" At_hcOgG%QbJIYlH9MB$ĤN;*ygàxo"Y\' 󕖬,M'J-SՐɡ&R?JjB8I%X|j# 1 +N螳'=B,jW+#sbJczG ا2Q+=Y p<d^Ж/*}>"pH½tm`"#dUQr0F4Y ddTګϿ=R!#'"؂Ss"II`[02໱h?H + k|PğE2:,ZSd1g8Dky<2$v&mZ|'wbJ0] !r0r !ߛ!JT)̆b#ڝl\)-{~+,mܩ-JEA@F5!S`W&uD!ȰL)10aDq#)U̔vct'Z]p6')1.ЕYeװxQ;&G&AHP7&Ti%ĐAQ)jU2;4hSĉim\؃2=g%),=QqY4Q8H,=R~wI 䰕7LO +jBR'&@G3w&Nf}I:R\&Gf".Coܙ(nu!&f(jS*=;Jot&ԦRhoj[^BX_,x=%gѨrѹeئ=I$ٵ6Gnl`4sLAjõ ~å[C gUH< +u&Kuݬ ryWQ,x:_>(j8 U + + +ϧ\*g4}%Ӿ.0y)ryz/((HT(aZzj7-ku0S@8}eD ^I~ҊΆok[ϡ#k#A|&3Au h(cTGL>79S9E!W=35mVţT`_ȉ6eu2ڙSΐdyFp;űG7>ݡPʌxuiǀvz BybHSǙBpn;ܚ ,x}>M6GN᣶|T>Qh(BVAOL r\1Ux)O 5 +[N +h`X;"8ΒA0^Q c?K0]nhPbڭi?RG 11bQ¼?'vnw,,h)o= J&V\8 +b/ +oQqH`_\|2y5X̜>hHZ)`p<K?tyJWdWbhXh# dz-줳hr5}ò>ZX,= &H-U~'5`sKa ,@iY_4ػfǣ +fUXō3߯7)RL=4uꑨV3wwg"=Je{m4TL QGeo UG+ӳF5Ry+qF[/ҧSD²j JOCUI0J6St! Et1VZQ 1H!mTk3qɴ}OeQ?![vGWx;-V㲩2'\\M%:e$x8aOgVf-S\\HQiZ 40=qvL \hFtL ++r\3;`SB0 q]V' +4 N&)i"-^3t (1STج{"@g Lz׎Ҁ&Fx6 +hAhaQhcђ፰)k +*o뢦baAdFߞY<0;zzC,?."YX$#$%TAu%H}}hBẠѨ{A[uzAGDpA'rTK]sucgCJ/ޟinn|=Ni +eylP3.tsPZ?DN:M<?` W}R|z +xL[0e$6qSl`r6thsvmޜu^ (e٧ +.5dxIw65o[JomR!ӷ 0 ' Pd=<^OGny1'yl2S{7Q=;嫉97fǎ@0փ/ UR~ 7Y9řazDp{(Fs=2e&5@f?Wt;+Z3V_g Xx pxj'OGs,j? uͭ[Uݒ uu>wagn{ۻPVbVszVVwN +endstream +endobj +85 0 obj +<< +/Type /Page +/Parent 98 0 R +/Resources 86 0 R +/Contents 87 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +86 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 108 0 R /TT4 104 0 R >> +/ExtGState << /GS1 112 0 R >> +/ColorSpace << /Cs5 105 0 R >> +>> +endobj +87 0 obj +<< /Length 4717 /Filter /FlateDecode >> +stream +HWrG+4Q}`>J4֘p0 \5D/ՕW/g,D1 YpT䶨~vz1rCKxYNߟz3;,^>_Vgզ<R(Oj9Sx$}(%7g剪 {WrXR۰rA67­-9O9,2i>m1sN@rTRvz5YJ:c|h///#|$[`6m+ +e,:`VO`3(+m( +<`2>ti*YD֥J[ vw{Kp]Cnq,|zwTmU .Q>=O%0KJBWqsΊL6@`/ND% b3H/pWZ/󟼸9Bapzkچ. 75+6u@Y5l}R(Y;Ԁ+alƬ҅ѨMIo'f%c~`3Z +3D9ݮ*[!sz XU/} +VǐK| 6wIC{Z +EZBNcMaj2S"cVݖH}}Kk >]n?`o6)mZ)RU{` +7w<;m4xhk#y/h!l+ +#? +٘z]Dp驷 +RxupЏ+G%$b2@𪩸+/ $ +?\{/ >~pYu~342(X1[\lWT&-pe RV#{8KJF龎h,QhM`.8(Pe7G> lK餩,>~&Nf$8HZCnb + +QzK7Z#t!.͠$U!PfG,;M˾]/HiVX,a%Be}?%q?ʭ $- +0eP絋6AȗKE3 +$.(U!Yinv +ϗO4NVO7L>ESSiZ +)(ɶrԯP5T히YD-Ր$LQMQpB\7[XU2#**8QFej't`*)SbL?I@l ;IBl "{::`ޣ<e2jZe~N rXk~S.Btu ĶaMwRL@N6(1 +юilt'3e=qL( VЦɺ+XbXA +嵲E+ϧ[:5{B‹4'^]7;>Mɛ~+e?^R3{Ɂ:H7Bf?AsH@F)J3N +,4'&hmMr^#qYc=sD? DNFw=$LL7= בA*kd(k/ؤDbo/ԃ}|ސ $b@gF}xLO|E|=RE<4z&4 @e& +H)x V}3R2HaҔ|v`JꥁT㺞4%;L+5M3o -#OܫM\qӧe|RJ~[W,w{˞W =wKd +7.E_<8Gǥ䊆seC?(& +H=2( +$o8iRZge:@r`! +3Mm Gv a/$p"23ʡHM +mvqcaQ=P*l)'qut73f&34xC3fKPDw?}o"i|#!^ʻ +9ޝOzchN]AcKd)1Av +M+$ Q)x~xBLV'N~MkD~0qD8xдS0>q4_70V_;skРv +H$K?Ѭ$e {k[.11ܟgPRVeZ> 6Qv3pD^f ,͕oA+{@c c@… X"uSJKLv4%*c[u$н+%k}>suZr 9؟^*-L t[gҫ#+t*6v>wXrG~n+_Gr3ծFh䐏쌂q3.KcxSP G$ 0 z$ +R +U"-4H Ŗu0\'wOvO斡|5HbJ{O2Tq;y uin {ViqڎQhmѾ0q*)gthǯݖ$7w=Q<6NE43͔lbʮyɩ.q'R{^iIY_ 9,T`N +Rb5X0i]O)B +a}-l\ $i/m02w1/F^(n/ZhmPx9hu9Uf(՝2C_,_v,Cq#CyGd^c T.&~.&!2Cq W(ڗB|A`9: 2U0{.P2)ͯi`nwce30:27b}-H-p<4!L~Yg|L|9 + =ⷷΒv#)8ckٿ#{wl(TBIHf{o()d}NuJ$.m6@..2a߃+S@$7ΣP}:!.*g/ [9\}Cp967i6~%0{^i=b}3Lqi0vqD:/>.P*9G.Y10x+tah԰*F##tYR~)Xܟ4zzKwb%2~%C<|2AzG G]`rAkصe^UJ뺎_PM\]"nWEOdO7raD!&J8X"IhԮ=xP;7x",ڐ,G;ЧɕnoqĿO:CA1Ret*͢*iyY: +]c4e6nLˁZyPz'[Ι-wA?sl@ 5@w#M{oii, Bi-q(]fv+NN'=mmS +V Q#X*:6 17ݍ//ky۟  ~mӯv+Ȉ](_fAJRrI 5)K{A>)YHO ie#-!L/{كhG@0\(Bm9{$vܾH(Yv:jUn q> +endobj +89 0 obj +<< +/Type /Font +/Subtype /Type1 +/FirstChar 32 +/LastChar 32 +/Widths [ 250 ] +/Encoding /WinAnsiEncoding +/BaseFont /LHHIIE+TT1413o00 +/FontDescriptor 90 0 R +>> +endobj +90 0 obj +<< +/Type /FontDescriptor +/Ascent 0 +/CapHeight 0 +/Descent 0 +/Flags 32 +/FontBBox [ 0 0 0 0 ] +/FontName /LHHIIE+TT1413o00 +/ItalicAngle 0 +/StemV 0 +/CharSet (/space) +/FontFile3 91 0 R +>> +endobj +91 0 obj +<< /Filter /FlateDecode /Length 82 /Subtype /Type1C >> +stream +Hbd`ad`ddt 141470 *V M ۂτ@ʸٺ~L0 +endstream +endobj +92 0 obj +<< +/Type /Encoding +/Differences [ 1 /bullet ] +>> +endobj +93 0 obj +<< /Filter /FlateDecode /Length 208 >> +stream +HT0 >GCUX:# +iVз')Kӝ-͡!@\ة4&V(AnJ"< +*(gشsoAY#`s+8h'hPנ(IZ.b5tG/ʋ]!Ve^u[M1ֲ(e0ߋxezKCi? +endstream +endobj +94 0 obj +<< +/Producer (Acrobat Distiller 4.0 for Windows) +/Creator (Microsoft Word 9.0) +/ModDate (D:20010715102149+02'00') +/Author (Richard Herveille) +/Subject (OpenCores VGA/LCD Core Specifications) +/Title (VGA/LCD Core Datasheet) +/CreationDate (D:20010715102129) +>> +endobj +95 0 obj +<< +/Type /Pages +/Kids [ 101 0 R 1 0 R 4 0 R 7 0 R 10 0 R 13 0 R 16 0 R 19 0 R 22 0 R 25 0 R +] +/Count 10 +/Parent 96 0 R +>> +endobj +96 0 obj +<< +/Type /Pages +/Kids [ 95 0 R 97 0 R 98 0 R ] +/Count 29 +>> +endobj +97 0 obj +<< +/Type /Pages +/Kids [ 28 0 R 31 0 R 34 0 R 37 0 R 40 0 R 43 0 R 47 0 R 50 0 R 53 0 R 58 0 R +] +/Count 10 +/Parent 96 0 R +>> +endobj +98 0 obj +<< +/Type /Pages +/Kids [ 61 0 R 64 0 R 67 0 R 70 0 R 73 0 R 76 0 R 79 0 R 82 0 R 85 0 R ] +/Count 9 +/Parent 96 0 R +>> +endobj +xref +0 99 +0000000000 65535 f +0000006503 00000 n +0000006654 00000 n +0000006791 00000 n +0000007021 00000 n +0000007172 00000 n +0000007335 00000 n +0000009497 00000 n +0000009648 00000 n +0000009820 00000 n +0000011203 00000 n +0000011357 00000 n +0000011508 00000 n +0000014651 00000 n +0000014805 00000 n +0000014956 00000 n +0000017181 00000 n +0000017335 00000 n +0000017486 00000 n +0000020526 00000 n +0000020680 00000 n +0000020831 00000 n +0000023589 00000 n +0000023743 00000 n +0000023881 00000 n +0000024436 00000 n +0000024590 00000 n +0000024754 00000 n +0000028196 00000 n +0000028350 00000 n +0000028514 00000 n +0000031333 00000 n +0000031487 00000 n +0000031638 00000 n +0000034223 00000 n +0000034377 00000 n +0000034541 00000 n +0000037657 00000 n +0000037811 00000 n +0000037962 00000 n +0000040638 00000 n +0000040792 00000 n +0000040956 00000 n +0000043464 00000 n +0000043618 00000 n +0000043818 00000 n +0000045579 00000 n +0000047198 00000 n +0000047352 00000 n +0000047503 00000 n +0000049409 00000 n +0000049563 00000 n +0000049714 00000 n +0000051416 00000 n +0000051570 00000 n +0000051769 00000 n +0000054101 00000 n +0000074886 00000 n +0000076834 00000 n +0000076988 00000 n +0000077139 00000 n +0000079849 00000 n +0000080003 00000 n +0000080154 00000 n +0000082774 00000 n +0000082928 00000 n +0000083066 00000 n +0000086283 00000 n +0000086437 00000 n +0000086588 00000 n +0000087896 00000 n +0000088050 00000 n +0000088201 00000 n +0000090961 00000 n +0000091115 00000 n +0000091266 00000 n +0000092576 00000 n +0000092730 00000 n +0000092903 00000 n +0000098874 00000 n +0000099028 00000 n +0000099179 00000 n +0000100349 00000 n +0000100503 00000 n +0000100654 00000 n +0000104851 00000 n +0000105005 00000 n +0000105156 00000 n +0000109948 00000 n +0000110058 00000 n +0000110239 00000 n +0000110449 00000 n +0000110621 00000 n +0000110690 00000 n +0000110972 00000 n +0000111249 00000 n +0000111394 00000 n +0000111475 00000 n +0000111622 00000 n +trailer +<< +/Size 99 +/ID[<7c1dd44fd11f33ac61b5815724d6851a><7c1dd44fd11f33ac61b5815724d6851a>] +>> +startxref +173 +%%EOF

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.