OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 65 to Rev 66
    Reverse comparison

Rev 65 → Rev 66

/pltbutils/branches/dev0007/sim/example_sim/bin/comp.do File deleted \ No newline at end of file
/pltbutils/branches/dev0007/sim/example_sim/bin/tc1.do File deleted \ No newline at end of file
/pltbutils/branches/dev0007/sim/modelsim_tb_example2/bin/comp.do
0,0 → 1,14
# comp.do
# ModelSim do-script for compiling design and testbench
vlib work
vcom -novopt -work work \
../../../examples/vhdl/rtl_example/dut_example.vhd \
../../../src/vhdl/txt_util.vhd \
../../../src/vhdl/pltbutils_user_cfg_pkg.vhd \
../../../src/vhdl/pltbutils_func_pkg.vhd \
../../../src/vhdl/pltbutils_comp.vhd \
../../../src/vhdl/pltbutils_comp_pkg.vhd \
../../../examples/vhdl/tb_example2/tc_example2.vhd \
$1 \
../../../examples/vhdl/tb_example2/tb_example2.vhd
/pltbutils/branches/dev0007/sim/modelsim_tb_example2/bin/wave.do
0,0 → 1,55
onerror {resume}
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.test_num } Test_number
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.test_name } Test_name
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.info } Info
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.chk_cnt } Checks
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.err_cnt } Errors
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.stop_sim } StopSim
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.test_num } TestNumber
quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.test_name } TestName
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider {Simulation info}
add wave -noupdate /tb_example2/TestNumber
add wave -noupdate /tb_example2/TestName
add wave -noupdate /tb_example2/Info
add wave -noupdate /tb_example2/Checks
add wave -noupdate /tb_example2/Errors
add wave -noupdate /tb_example2/StopSim
add wave -noupdate -divider Tb
add wave -noupdate /tb_example2/clk
add wave -noupdate /tb_example2/rst
add wave -noupdate /tb_example2/carry_in
add wave -noupdate /tb_example2/x
add wave -noupdate /tb_example2/y
add wave -noupdate /tb_example2/sum
add wave -noupdate /tb_example2/carry_out
add wave -noupdate -divider DUT
add wave -noupdate /tb_example2/dut0/clk_i
add wave -noupdate /tb_example2/dut0/rst_i
add wave -noupdate /tb_example2/dut0/carry_i
add wave -noupdate /tb_example2/dut0/x_i
add wave -noupdate /tb_example2/dut0/y_i
add wave -noupdate /tb_example2/dut0/sum_o
add wave -noupdate /tb_example2/dut0/carry_o
add wave -noupdate /tb_example2/dut0/x
add wave -noupdate /tb_example2/dut0/y
add wave -noupdate /tb_example2/dut0/c
add wave -noupdate /tb_example2/dut0/sum
add wave -noupdate -divider End
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {{Cursor 1} {0 ps} 0}
configure wave -namecolwidth 133
configure wave -valuecolwidth 40
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ps
update
WaveRestoreZoom {0 ps} {131072 ps}
/pltbutils/branches/dev0007/sim/modelsim_tb_example2/bin/tc1.do
0,0 → 1,15
# tc1.do
# ModelSim do script for compiling and running simulation
 
set vsim_arg ""
if {$argc >= 1} {
set vsim_arg $1
}
 
do comp.do ../../../examples/vhdl/tb_example2/tc1.vhd
vsim -l ../log/tc1.log $vsim_arg tb_example2
#do log.do
do ../bin/wave.do
run 1 ms
 
/pltbutils/branches/dev0007/sim/modelsim_tb_example2/run/run_tc1.bat
0,0 → 1,15
vsim -do ../bin_modelsim/tc1.do
/pltbutils/branches/dev0007/sim/modelsim_tb_example2/run/run_tc1_bugfixed.do
0,0 → 1,15
do ../bin/tc1.do -GG_DISABLE_BUGS=1

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.