OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 68 to Rev 69
    Reverse comparison

Rev 68 → Rev 69

/mkjpeg/trunk/tb/COMPILE.do
35,11 → 35,17
vcom vhdl/DCT_TROM.vhd
 
# buffifo
vcom ../design/buffifo/SUB_RAMZ.vhd
vcom ../design/buffifo/SUB_FIFO.vhd
vcom ../design/buffifo/Buf_Fifo.vhd
vcom ../design/buffifo/multiplier.vhd
vcom ../design/buffifo/SUB_RAMZ_LUT.vhd
vcom ../design/buffifo/BUF_FIFO.vhd
 
#vcom ../design/buffifo/SUB_RAMZ.vhd
#vcom ../design/buffifo/BUF_FIFO_oldest.vhd
#vcom ../design/buffifo/BUF_FIFO_new.vhd
 
 
# fdct
vlog ../design/mdct/FinitePrecRndNrst.v
vcom ../design/mdct/MDCT_PKG.vhd
vcom ../design/mdct/ROMO.vhd
vcom ../design/mdct/ROME.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.