OpenCores
URL https://opencores.org/ocsvn/avuc/avuc/trunk

Subversion Repositories avuc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 7 to Rev 8
    Reverse comparison

Rev 7 → Rev 8

/avuc/trunk/example/max_mem.vhd
11,7 → 11,7
use ieee.std_logic_unsigned.all;
 
library work;
use work.usm_pkg.all;
use work.avuc_pkg.all;
 
 
entity max_mem is
/avuc/trunk/example/max_mem.usm
14,7 → 14,7
use ieee.std_logic_unsigned.all;
 
library work;
use work.usm_pkg.all;
use work.avuc_pkg.all;
 
&$generic
&$port

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.