OpenCores
URL https://opencores.org/ocsvn/jpegencode/jpegencode/trunk

Subversion Repositories jpegencode

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 7 to Rev 8
    Reverse comparison

Rev 7 → Rev 8

/jpegencode/trunk/jpeg_top_TB.v
203,7 → 203,7
#10000;
data_in <= 24'b000010100001111000110111;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
335,7 → 335,7
#10000;
data_in <= 24'b001101000100010101011010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
467,7 → 467,7
#10000;
data_in <= 24'b001101010100001101011111;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
599,7 → 599,7
#10000;
data_in <= 24'b100000101001111111001011;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
731,7 → 731,7
#10000;
data_in <= 24'b100000011010101011011011;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
863,7 → 863,7
#10000;
data_in <= 24'b011001101000111111000000;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
995,7 → 995,7
#10000;
data_in <= 24'b010110000111111110101100;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
1127,7 → 1127,7
#10000;
data_in <= 24'b001111000101100110000000;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
1259,7 → 1259,7
#10000;
data_in <= 24'b000101000001111000100101;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
1391,7 → 1391,7
#10000;
data_in <= 24'b000101100001101000011111;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
1523,7 → 1523,7
#10000;
data_in <= 24'b001010010010111000110001;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
1655,7 → 1655,7
#10000;
data_in <= 24'b001100010011001000110000;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
1787,7 → 1787,7
#10000;
data_in <= 24'b001000000011010101010000;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
1919,7 → 1919,7
#10000;
data_in <= 24'b001111100101000101110100;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
2051,7 → 2051,7
#10000;
data_in <= 24'b010100100110000110000001;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
2183,7 → 2183,7
#10000;
data_in <= 24'b101101011101000111101111;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
2315,7 → 2315,7
#10000;
data_in <= 24'b100111001100000011100110;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
2447,7 → 2447,7
#10000;
data_in <= 24'b100110101100000011101010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
2579,7 → 2579,7
#10000;
data_in <= 24'b010101100111011110100101;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
2711,7 → 2711,7
#10000;
data_in <= 24'b010011100110110010011011;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
2843,7 → 2843,7
#10000;
data_in <= 24'b000111010011000001000101;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
2975,7 → 2975,7
#10000;
data_in <= 24'b000000000000100000001111;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
3107,7 → 3107,7
#10000;
data_in <= 24'b000110000001111100100010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
3239,7 → 3239,7
#10000;
data_in <= 24'b001010000010100100100111;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
3371,7 → 3371,7
#10000;
data_in <= 24'b011001010111111010100000;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
3503,7 → 3503,7
#10000;
data_in <= 24'b010010100101110010000001;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
3635,7 → 3635,7
#10000;
data_in <= 24'b011001110111110010010111;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
3767,7 → 3767,7
#10000;
data_in <= 24'b110101011110100111111010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
3899,7 → 3899,7
#10000;
data_in <= 24'b100111011011011111010101;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
4031,7 → 4031,7
#10000;
data_in <= 24'b011001111000010010110000;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
4163,7 → 4163,7
#10000;
data_in <= 24'b011011000111111110100100;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
4295,7 → 4295,7
#10000;
data_in <= 24'b010010010110000010000110;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
4427,7 → 4427,7
#10000;
data_in <= 24'b011000000111111110100110;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
4559,7 → 4559,7
#10000;
data_in <= 24'b000000010000110000011010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
4691,7 → 4691,7
#10000;
data_in <= 24'b001010000010111100111000;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
4823,7 → 4823,7
#10000;
data_in <= 24'b001000110010100100110000;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
4955,7 → 4955,7
#10000;
data_in <= 24'b010110110111100110100010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
5087,7 → 5087,7
#10000;
data_in <= 24'b010011110101101101111111;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
5219,7 → 5219,7
#10000;
data_in <= 24'b100101011010100111001100;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
5351,7 → 5351,7
#10000;
data_in <= 24'b100111001011100111100000;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
5483,7 → 5483,7
#10000;
data_in <= 24'b100011101011000011011011;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
5615,7 → 5615,7
#10000;
data_in <= 24'b011001110111111010011110;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
5747,7 → 5747,7
#10000;
data_in <= 24'b000000000000100000010101;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
5879,7 → 5879,7
#10000;
data_in <= 24'b001111000100111001100101;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
6011,7 → 6011,7
#10000;
data_in <= 24'b100000101010011111011001;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
6143,7 → 6143,7
#10000;
data_in <= 24'b010101000110100110000101;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
6275,7 → 6275,7
#10000;
data_in <= 24'b000100100010010000111011;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
6407,7 → 6407,7
#10000;
data_in <= 24'b010000000101010001100110;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
6539,7 → 6539,7
#10000;
data_in <= 24'b011000111000000010100101;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
6671,7 → 6671,7
#10000;
data_in <= 24'b010001100101100101111010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
6803,7 → 6803,7
#10000;
data_in <= 24'b010001100101010101110101;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
6935,7 → 6935,7
#10000;
data_in <= 24'b011000000111100110100001;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
7067,7 → 7067,7
#10000;
data_in <= 24'b101001111100110111101111;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
7199,7 → 7199,7
#10000;
data_in <= 24'b100001101011000011010101;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
7331,7 → 7331,7
#10000;
data_in <= 24'b101011011101001011110100;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
7463,7 → 7463,7
#10000;
data_in <= 24'b101110011101100011111001;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
7595,7 → 7595,7
#10000;
data_in <= 24'b100011101010110111100000;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
7727,7 → 7727,7
#10000;
data_in <= 24'b010111010111100010100100;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
7859,7 → 7859,7
#10000;
data_in <= 24'b010100110111011010100010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
7991,7 → 7991,7
#10000;
data_in <= 24'b000000000001001000110100;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
8123,7 → 8123,7
#10000;
data_in <= 24'b001111010101011001111000;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
8255,7 → 8255,7
#10000;
data_in <= 24'b010000000101000001101101;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
8387,7 → 8387,7
#10000;
data_in <= 24'b010000000100101101010011;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
8519,7 → 8519,7
#10000;
data_in <= 24'b011101101000010110100101;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
8651,7 → 8651,7
#10000;
data_in <= 24'b011111011010010111001111;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
8783,7 → 8783,7
#10000;
data_in <= 24'b101100011100111011110101;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
8915,7 → 8915,7
#10000;
data_in <= 24'b100000111010010011010001;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
9047,7 → 9047,7
#10000;
data_in <= 24'b101100001100111011101001;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
9179,7 → 9179,7
#10000;
data_in <= 24'b100001111010011011011011;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
9311,7 → 9311,7
#10000;
data_in <= 24'b011000011000001010110011;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
9443,7 → 9443,7
#10000;
data_in <= 24'b100000001001011110110111;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
9575,7 → 9575,7
#10000;
data_in <= 24'b000000000000001100010010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
9707,7 → 9707,7
#10000;
data_in <= 24'b000101000010000000110010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
9839,7 → 9839,7
#10000;
data_in <= 24'b010000000101010101110001;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
9971,7 → 9971,7
#10000;
data_in <= 24'b001010110011101101010010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
10103,7 → 10103,7
#10000;
data_in <= 24'b101110011101011111111010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
10235,7 → 10235,7
#10000;
data_in <= 24'b100010001010111111010110;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
10367,7 → 10367,7
#10000;
data_in <= 24'b001000010011101001100010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
10499,7 → 10499,7
#10000;
data_in <= 24'b011101101001100011000110;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
10631,7 → 10631,7
#10000;
data_in <= 24'b100101101100001011101011;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
10763,7 → 10763,7
#10000;
data_in <= 24'b100001111010101011010110;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
10895,7 → 10895,7
#10000;
data_in <= 24'b011001111000011110110010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
11027,7 → 11027,7
#10000;
data_in <= 24'b010101110111000010010000;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
11159,7 → 11159,7
#10000;
data_in <= 24'b000100010001001000001110;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
11291,7 → 11291,7
#10000;
data_in <= 24'b000100000001011100100000;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
11423,7 → 11423,7
#10000;
data_in <= 24'b001100010100011101100000;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
11555,7 → 11555,7
#10000;
data_in <= 24'b010110110111011010100010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
11687,7 → 11687,7
#10000;
data_in <= 24'b101000101100011011101100;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
11819,7 → 11819,7
#10000;
data_in <= 24'b101011001101000011110100;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
11951,7 → 11951,7
#10000;
data_in <= 24'b100011111011000011100010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
12083,7 → 12083,7
#10000;
data_in <= 24'b011010010111110010111111;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
12215,7 → 12215,7
#10000;
data_in <= 24'b010000000101000110010100;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
12347,7 → 12347,7
#10000;
data_in <= 24'b100001101010011011010111;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
12479,7 → 12479,7
#10000;
data_in <= 24'b011000011000100110110011;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
12611,7 → 12611,7
#10000;
data_in <= 24'b010010110110011010000001;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
12743,7 → 12743,7
#10000;
data_in <= 24'b000011010000100000001001;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
12875,7 → 12875,7
#10000;
data_in <= 24'b001101100100000101010101;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
13007,7 → 13007,7
#10000;
data_in <= 24'b000000000000011100011010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
13139,7 → 13139,7
#10000;
data_in <= 24'b010001100101110110000011;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
13271,7 → 13271,7
#10000;
data_in <= 24'b100000011010100111011001;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
13403,7 → 13403,7
#10000;
data_in <= 24'b100011111011001011011110;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
13535,7 → 13535,7
#10000;
data_in <= 24'b010001000101011010010101;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
13667,7 → 13667,7
#10000;
data_in <= 24'b101001011011001111100011;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
13799,7 → 13799,7
#10000;
data_in <= 24'b011101001000010011010000;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
13931,7 → 13931,7
#10000;
data_in <= 24'b011011001000111111000001;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
14063,7 → 14063,7
#10000;
data_in <= 24'b011001101000100010110011;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
14195,7 → 14195,7
#10000;
data_in <= 24'b000111100011000001000111;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
14327,7 → 14327,7
#10000;
data_in <= 24'b000010100000110000000110;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
14459,7 → 14459,7
#10000;
data_in <= 24'b010011110110100010001000;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
14591,7 → 14591,7
#10000;
data_in <= 24'b001111010101011001101010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
14723,7 → 14723,7
#10000;
data_in <= 24'b010101110110101010010000;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
14855,7 → 14855,7
#10000;
data_in <= 24'b011001111000000010101000;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
14987,7 → 14987,7
#10000;
data_in <= 24'b011110001001011111000100;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
15119,7 → 15119,7
#10000;
data_in <= 24'b011101011001011011000100;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
15251,7 → 15251,7
#10000;
data_in <= 24'b010010010101111010011011;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
15383,7 → 15383,7
#10000;
data_in <= 24'b101011011101001011101100;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
15515,7 → 15515,7
#10000;
data_in <= 24'b100010101011001011011100;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
15647,7 → 15647,7
#10000;
data_in <= 24'b010011110110111110010010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
15779,7 → 15779,7
#10000;
data_in <= 24'b000011000001010000100101;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
15911,7 → 15911,7
#10000;
data_in <= 24'b000001010000010100010101;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
16043,7 → 16043,7
#10000;
data_in <= 24'b000100100001100100101100;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
16175,7 → 16175,7
#10000;
data_in <= 24'b000100000001101000101011;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
16307,7 → 16307,7
#10000;
data_in <= 24'b001110000100110101100011;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
16439,7 → 16439,7
#10000;
data_in <= 24'b000110000010011100111010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
16571,7 → 16571,7
#10000;
data_in <= 24'b011000110111110110100010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
16703,7 → 16703,7
#10000;
data_in <= 24'b011010101000101110111000;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
16835,7 → 16835,7
#10000;
data_in <= 24'b011111111010011011010010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
16967,7 → 16967,7
#10000;
data_in <= 24'b101001001100011011101010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
17099,7 → 17099,7
#10000;
data_in <= 24'b010110010111011110100110;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
17231,7 → 17231,7
#10000;
data_in <= 24'b000011010010000100111010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
17363,7 → 17363,7
#10000;
data_in <= 24'b000100110001111100101011;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
17495,7 → 17495,7
#10000;
data_in <= 24'b000011110010001100101110;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
17627,7 → 17627,7
#10000;
data_in <= 24'b001100010100011001100001;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
17759,7 → 17759,7
#10000;
data_in <= 24'b010001000101100101101111;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
17891,7 → 17891,7
#10000;
data_in <= 24'b000110100010101000111011;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
18023,7 → 18023,7
#10000;
data_in <= 24'b000011000001010000010100;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
18155,7 → 18155,7
#10000;
data_in <= 24'b000000000000100000001111;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
18287,7 → 18287,7
#10000;
data_in <= 24'b000111010010111001000011;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
18419,7 → 18419,7
#10000;
data_in <= 24'b001010010100100101101101;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
18551,7 → 18551,7
#10000;
data_in <= 24'b001010010100001101110010;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
18683,7 → 18683,7
#10000;
data_in <= 24'b000111110011010101011111;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
18815,7 → 18815,7
#10000;
data_in <= 24'b000110100011001001010000;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
18947,7 → 18947,7
#10000;
data_in <= 24'b000101110010010100111000;
#10000;
#330000;
#130000;
enable <= 1'b0;
#10000;
enable <= 1'b1;
19080,7 → 19080,7
#10000;
data_in <= 24'b001100110011110001010111;
#10000;
#330000;
#130000;
enable <= 1'b0;
 
#2000000;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.