OpenCores
URL https://opencores.org/ocsvn/v586/v586/trunk

Subversion Repositories v586

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 71 to Rev 72
    Reverse comparison

Rev 71 → Rev 72

/v586/trunk/rtl/extrom.v
17,10 → 17,10
 
initial
begin
$readmemh("/home/leo/cpu/svn/v586/v586//trunk/boot/boot-1.mem" , Mem1 , 0,255);
$readmemh("/home/leo/cpu/svn/v586/v586//trunk/boot/boot-2.mem" , Mem2 , 0,255);
$readmemh("/home/leo/cpu/svn/v586/v586//trunk/boot/boot-3.mem" , Mem3 , 0,255);
$readmemh("/home/leo/cpu/svn/v586/v586//trunk/boot/boot-4.mem" , Mem4 , 0,255);
$readmemh("../boot/boot-1.mem" , Mem1 , 0,255);
$readmemh("../boot/boot-2.mem" , Mem2 , 0,255);
$readmemh("../boot/boot-3.mem" , Mem3 , 0,255);
$readmemh("../boot/boot-4.mem" , Mem4 , 0,255);
end
 
// Read process

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.