OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 77 to Rev 78
    Reverse comparison

Rev 77 → Rev 78

/jart/branches/ver0branch/zu.vhd
34,14 → 34,15
entity zu is
generic
(
VALSTART : integer := 9
VALSTART : integer := 9
TOP : integer := 1024;
);
port (
clk, rst, ena : in std_logic; -- The usual control signals
clr : in std_logic;
zpos : out integer range -1024 to 1023;
zneg : out integer range -1024 to 1023
zpos : out integer range -TOP to TOP-1;
zneg : out integer range -TOP to TOP-1;
);
 
end entity;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.