OpenCores
URL https://opencores.org/ocsvn/encore/encore/trunk

Subversion Repositories encore

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 8 to Rev 9
    Reverse comparison

Rev 8 → Rev 9

/encore/trunk/fpmult/simulation/modelsim/work/fpmult_comp/_primary.dat Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
encore/trunk/fpmult/simulation/modelsim/work/fpmult_comp/_primary.dat Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_comp/_primary.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_comp/_primary.dbs =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_comp/_primary.dbs (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_comp/_primary.dbs (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_comp/_primary.dbs Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_comp/_vhdl.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_comp/_vhdl.psm =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_comp/_vhdl.psm (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_comp/_vhdl.psm (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_comp/_vhdl.psm Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_comp/_vhdl.prw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_comp/_vhdl.prw =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_comp/_vhdl.prw (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_comp/_vhdl.prw (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_comp/_vhdl.prw Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/testbench.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/testbench.dbs =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/testbench.dbs (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/testbench.dbs (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/testbench.dbs Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/testbench.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/testbench.dat =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/testbench.dat (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/testbench.dat (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/testbench.dat Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/testbench.prw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/testbench.prw =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/testbench.prw (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/testbench.prw (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/testbench.prw Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/_primary.dat =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/_primary.dat (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/_primary.dat (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/_primary.dat Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/_primary.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/_primary.dbs =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/_primary.dbs (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/_primary.dbs (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/_primary.dbs Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/testbench.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/testbench.psm =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/testbench.psm (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/testbench.psm (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/test_fpmult/testbench.psm Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen_comp/_vhdl.prw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen_comp/_vhdl.prw =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen_comp/_vhdl.prw (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen_comp/_vhdl.prw (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen_comp/_vhdl.prw Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen_comp/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen_comp/_primary.dat =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen_comp/_primary.dat (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen_comp/_primary.dat (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen_comp/_primary.dat Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen_comp/_primary.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen_comp/_primary.dbs =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen_comp/_primary.dbs (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen_comp/_primary.dbs (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen_comp/_primary.dbs Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen_comp/_vhdl.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen_comp/_vhdl.psm =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen_comp/_vhdl.psm (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen_comp/_vhdl.psm (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen_comp/_vhdl.psm Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult/structural.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult/structural.dat =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult/structural.dat (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult/structural.dat (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult/structural.dat Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult/structural.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult/structural.dbs =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult/structural.dbs (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult/structural.dbs (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult/structural.dbs Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult/structural.prw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult/structural.prw =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult/structural.prw (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult/structural.prw (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult/structural.prw Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult/structural.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult/structural.psm =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult/structural.psm (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult/structural.psm (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult/structural.psm Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult/_primary.dat =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult/_primary.dat (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult/_primary.dat (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult/_primary.dat Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult/_primary.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult/_primary.dbs =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult/_primary.dbs (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult/_primary.dbs (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult/_primary.dbs Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0_comp/_vhdl.prw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0_comp/_vhdl.prw =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0_comp/_vhdl.prw (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0_comp/_vhdl.prw (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0_comp/_vhdl.prw Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0_comp/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0_comp/_primary.dat =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0_comp/_primary.dat (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0_comp/_primary.dat (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0_comp/_primary.dat Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0_comp/_primary.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0_comp/_primary.dbs =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0_comp/_primary.dbs (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0_comp/_primary.dbs (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0_comp/_primary.dbs Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0_comp/_vhdl.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0_comp/_vhdl.psm =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0_comp/_vhdl.psm (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0_comp/_vhdl.psm (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0_comp/_vhdl.psm Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/twoproc.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/twoproc.dat =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/twoproc.dat (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/twoproc.dat (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/twoproc.dat Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/twoproc.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/twoproc.dbs =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/twoproc.dbs (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/twoproc.dbs (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/twoproc.dbs Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/twoproc.prw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/twoproc.prw =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/twoproc.prw (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/twoproc.prw (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/twoproc.prw Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/_primary.dat =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/_primary.dat (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/_primary.dat (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/_primary.dat Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/_primary.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/_primary.dbs =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/_primary.dbs (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/_primary.dbs (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/_primary.dbs Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/twoproc.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/twoproc.psm =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/twoproc.psm (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/twoproc.psm (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stagen/twoproc.psm Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/_info =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/_info (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/_info (nonexistent) @@ -1,375 +0,0 @@ -m255 -K3 -13 -cModel Technology -Z0 dD:\Work\VHDL\encore\fpmult\simulation\modelsim -Pfp_generic -Z1 DPx4 ieee 11 numeric_std 0 22 =NSdli^?T5OD8;4FU6IdOMPlbCZ5283 -R7 -32 -R8 -R9 -R10 -R11 -nbody -!s100 3JFahPFcnKd0j]hL5:gOeUL5UY5P7oNm;Lak>a0 -Z14 DPx4 work 18 fpmult_stagen_comp 0 22 XEeJY3OH<`T5HG0=TD@in1 -Z15 DPx4 work 18 fpmult_stage0_comp 0 22 ?R^WWoo?63HSBKU9LzPi00>FW11 -R1 -Z17 DPx4 work 10 fp_generic 0 22 9^S90T?Q`8T4KAa9WVb_R2 -Z18 DPx4 work 11 fpmult_comp 0 22 FZj;T54B?36HIEUM3YbeM1 -R2 -R4 -Z19 8D:/Work/VHDL/encore/fpmult/src/fpmult.vhdl -Z20 FD:/Work/VHDL/encore/fpmult/src/fpmult.vhdl -l0 -L9 -VKVn]JNPU7=Rf1[Cz0T5gd3 -R7 -32 -R10 -R11 -!s100 `6UeC>^_IRzEoczK3mB973 -Astructural -R13 -R14 -R15 -R16 -R1 -R17 -R18 -R2 -DEx4 work 6 fpmult 0 22 KVn]JNPU7=Rf1[Cz0T5gd3 -l28 -L17 -Vlob56>kC184;=Gm2Xd1@GZO^[01 -R7 -32 -Z27 Mx5 4 ieee 14 std_logic_1164 -Z28 Mx4 4 ieee 11 numeric_std -Z29 Mx3 4 work 10 fp_generic -Z30 Mx2 4 work 18 fpmult_stage0_comp -Z31 Mx1 4 work 18 fpmult_stagen_comp -R10 -R11 -!s100 >VaD`=lKTd[@WDMh05R4Y1 -Pfpmult_stage0_comp -R14 -R17 -R1 -R2 -w1298622844 -R4 -8D:/Work/VHDL/encore/fpmult/src/fpmult_stage0_comp.vhdl -FD:/Work/VHDL/encore/fpmult/src/fpmult_stage0_comp.vhdl -l0 -L7 -V?R^WWoo?6OeUL5UY5P7oNm;Lak>a0 -R7 -32 -R32 -R33 -R23 -R31 -R10 -R11 -!s100 85_ZfHLd;b4QSZeob;kmm0 -Efpmult_stage_pre -Z37 w1298802793 -R14 -R15 -R16 -R17 -R1 -R2 -R4 -Z38 8D:/Work/VHDL/encore/fpmult/src/fpmult_stage_pre.vhdl -Z39 FD:/Work/VHDL/encore/fpmult/src/fpmult_stage_pre.vhdl -l0 -L7 -VAJRYGQPL9nHZgXzcn`;RE0 -R7 -32 -R10 -R11 -!s100 J3HSBKU9LzPi00>FW11 -R7 -32 -R27 -R28 -R29 -R30 -R31 -R10 -R11 -!s100 @=kLJR?zzQX6_D7mAI;IJ2 -Efpmult_stagen -Z40 w1298116579 -R14 -R17 -R1 -R2 -R4 -Z41 8D:/Work/VHDL/encore/fpmult/src/fpmult_stageN.vhdl -Z42 FD:/Work/VHDL/encore/fpmult/src/fpmult_stageN.vhdl -l0 -L7 -V0>mbz2jf_`ZdK_?nJb7dz2 -R7 -32 -R10 -R11 -!s100 g7;zFEZEAMHIMN9V6eC[]2 -Atwoproc -R14 -R17 -R1 -R2 -DEx4 work 13 fpmult_stagen 0 22 0>mbz2jf_`ZdK_?nJb7dz2 -l28 -L18 -VLihPPOY0@A4YAeZn5>^jX3 -R7 -32 -R32 -R33 -R23 -R31 -R10 -R11 -!s100 [`h:MEoJ=eCjF:7giPjih1 -Pfpmult_stagen_comp -R17 -R1 -R2 -w1298622905 -R4 -8D:/Work/VHDL/encore/fpmult/src/fpmult_stageN_comp.vhdl -FD:/Work/VHDL/encore/fpmult/src/fpmult_stageN_comp.vhdl -l0 -L6 -VXEeJY3OH<`T5HG0=TD@in1 -R7 -32 -R22 -Z43 Mx2 4 ieee 11 numeric_std -Mx1 4 work 10 fp_generic -R10 -R11 -!s100 3RgfO?mR^8D^8?0PEJ`RX3 -Etest_fpmult -Z44 w1298825279 -R18 -R1 -R17 -R2 -R4 -Z45 8D:/Work/VHDL/encore/fpmult/src/test_fpmult.vhdl -Z46 FD:/Work/VHDL/encore/fpmult/src/test_fpmult.vhdl -l0 -L6 -VQ7G_fPm1DPBAWY:afUiVV3 -!s100 SC:maQ@BYF_[>52g]YRlL3 -R7 -32 -R10 -R11 -Atestbench -R18 -R1 -R17 -R2 -Z47 DEx4 work 11 test_fpmult 0 22 Q7G_fPm1DPBAWY:afUiVV3 -l406 -L9 -V69aEY]@WQbN[CWYV5RP_V1 -!s100 ;?7K7YE7fh:Em]9BNnKFQ2 -R7 -32 -R32 -R29 -R43 -Z48 Mx1 4 work 11 fpmult_comp -R10 -R11 Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/twoproc.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/twoproc.dat =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/twoproc.dat (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/twoproc.dat (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/twoproc.dat Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/twoproc.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/twoproc.dbs =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/twoproc.dbs (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/twoproc.dbs (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/twoproc.dbs Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/twoproc.prw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/twoproc.prw =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/twoproc.prw (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/twoproc.prw (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/twoproc.prw Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/_primary.dat =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/_primary.dat (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/_primary.dat (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/_primary.dat Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/_primary.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/_primary.dbs =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/_primary.dbs (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/_primary.dbs (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/_primary.dbs Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/twoproc.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/twoproc.psm =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/twoproc.psm (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/twoproc.psm (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage0/twoproc.psm Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23_comp/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23_comp/_primary.dat =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23_comp/_primary.dat (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23_comp/_primary.dat (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23_comp/_primary.dat Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23_comp/_primary.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23_comp/_primary.dbs =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23_comp/_primary.dbs (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23_comp/_primary.dbs (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23_comp/_primary.dbs Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23_comp/_vhdl.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23_comp/_vhdl.psm =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23_comp/_vhdl.psm (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23_comp/_vhdl.psm (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23_comp/_vhdl.psm Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23_comp/_vhdl.prw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23_comp/_vhdl.prw =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23_comp/_vhdl.prw (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23_comp/_vhdl.prw (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23_comp/_vhdl.prw Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/_primary.dat =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/_primary.dat (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/_primary.dat (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/_primary.dat Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/_primary.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/_primary.dbs =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/_primary.dbs (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/_primary.dbs (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/_primary.dbs Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/twoproc.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/twoproc.psm =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/twoproc.psm (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/twoproc.psm (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/twoproc.psm Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/twoproc.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/twoproc.dat =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/twoproc.dat (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/twoproc.dat (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/twoproc.dat Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/twoproc.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/twoproc.dbs =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/twoproc.dbs (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/twoproc.dbs (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/twoproc.dbs Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/twoproc.prw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/twoproc.prw =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/twoproc.prw (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/twoproc.prw (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fpmult_stage23/twoproc.prw Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fp_generic/_vhdl.prw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fp_generic/_vhdl.prw =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fp_generic/_vhdl.prw (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fp_generic/_vhdl.prw (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fp_generic/_vhdl.prw Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fp_generic/body.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fp_generic/body.dat =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fp_generic/body.dat (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fp_generic/body.dat (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fp_generic/body.dat Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fp_generic/body.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fp_generic/body.dbs =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fp_generic/body.dbs (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fp_generic/body.dbs (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fp_generic/body.dbs Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fp_generic/body.prw =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fp_generic/body.prw =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fp_generic/body.prw (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fp_generic/body.prw (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fp_generic/body.prw Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fp_generic/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fp_generic/_primary.dat =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fp_generic/_primary.dat (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fp_generic/_primary.dat (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fp_generic/_primary.dat Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fp_generic/_primary.dbs =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fp_generic/_primary.dbs =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fp_generic/_primary.dbs (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fp_generic/_primary.dbs (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fp_generic/_primary.dbs Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fp_generic/_vhdl.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fp_generic/_vhdl.psm =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fp_generic/_vhdl.psm (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fp_generic/_vhdl.psm (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fp_generic/_vhdl.psm Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/fp_generic/body.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/work/fp_generic/body.psm =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/fp_generic/body.psm (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/fp_generic/body.psm (nonexistent)
encore/trunk/fpmult/simulation/modelsim/work/fp_generic/body.psm Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/work/_vmake =================================================================== --- encore/trunk/fpmult/simulation/modelsim/work/_vmake (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/work/_vmake (nonexistent) @@ -1,3 +0,0 @@ -m255 -K3 -cModel Technology Index: encore/trunk/fpmult/simulation/modelsim/vsim.wlf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: encore/trunk/fpmult/simulation/modelsim/vsim.wlf =================================================================== --- encore/trunk/fpmult/simulation/modelsim/vsim.wlf (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/vsim.wlf (nonexistent)
encore/trunk/fpmult/simulation/modelsim/vsim.wlf Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: encore/trunk/fpmult/simulation/modelsim/modelsim.ini =================================================================== --- encore/trunk/fpmult/simulation/modelsim/modelsim.ini (revision 8) +++ encore/trunk/fpmult/simulation/modelsim/modelsim.ini (nonexistent) @@ -1,324 +0,0 @@ -; Copyright 1991-2009 Mentor Graphics Corporation -; -; All Rights Reserved. -; -; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF -; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. -; - -[Library] -others = $MODEL_TECH/../modelsim.ini - -; Altera Primitive libraries -; -; VHDL Section -; -; -; Verilog Section -; - -work = work -[vcom] -; VHDL93 variable selects language version as the default. -; Default is VHDL-2002. -; Value of 0 or 1987 for VHDL-1987. -; Value of 1 or 1993 for VHDL-1993. -; Default or value of 2 or 2002 for VHDL-2002. -; Default or value of 3 or 2008 for VHDL-2008. -VHDL93 = 2002 - -; Show source line containing error. Default is off. -; Show_source = 1 - -; Turn off unbound-component warnings. Default is on. -; Show_Warning1 = 0 - -; Turn off process-without-a-wait-statement warnings. Default is on. -; Show_Warning2 = 0 - -; Turn off null-range warnings. Default is on. -; Show_Warning3 = 0 - -; Turn off no-space-in-time-literal warnings. Default is on. -; Show_Warning4 = 0 - -; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. -; Show_Warning5 = 0 - -; Turn off optimization for IEEE std_logic_1164 package. Default is on. -; Optimize_1164 = 0 - -; Turn on resolving of ambiguous function overloading in favor of the -; "explicit" function declaration (not the one automatically created by -; the compiler for each type declaration). Default is off. -; The .ini file has Explicit enabled so that std_logic_signed/unsigned -; will match the behavior of synthesis tools. -Explicit = 1 - -; Turn off acceleration of the VITAL packages. Default is to accelerate. -; NoVital = 1 - -; Turn off VITAL compliance checking. Default is checking on. -; NoVitalCheck = 1 - -; Ignore VITAL compliance checking errors. Default is to not ignore. -; IgnoreVitalErrors = 1 - -; Turn off VITAL compliance checking warnings. Default is to show warnings. -; Show_VitalChecksWarnings = 0 - -; Keep silent about case statement static warnings. -; Default is to give a warning. -; NoCaseStaticError = 1 - -; Keep silent about warnings caused by aggregates that are not locally static. -; Default is to give a warning. -; NoOthersStaticError = 1 - -; Turn off inclusion of debugging info within design units. -; Default is to include debugging info. -; NoDebug = 1 - -; Turn off "Loading..." messages. Default is messages on. -; Quiet = 1 - -; Turn on some limited synthesis rule compliance checking. Checks only: -; -- signals used (read) by a process must be in the sensitivity list -; CheckSynthesis = 1 - -; Activate optimizations on expressions that do not involve signals, -; waits, or function/procedure/task invocations. Default is off. -; ScalarOpts = 1 - -; Require the user to specify a configuration for all bindings, -; and do not generate a compile time default binding for the -; component. This will result in an elaboration error of -; 'component not bound' if the user fails to do so. Avoids the rare -; issue of a false dependency upon the unused default binding. -; RequireConfigForAllDefaultBinding = 1 - -; Inhibit range checking on subscripts of arrays. Range checking on -; scalars defined with subtypes is inhibited by default. -; NoIndexCheck = 1 - -; Inhibit range checks on all (implicit and explicit) assignments to -; scalar objects defined with subtypes. -; NoRangeCheck = 1 - -[vlog] - -; Turn off inclusion of debugging info within design units. -; Default is to include debugging info. -; NoDebug = 1 - -; Turn off "loading..." messages. Default is messages on. -; Quiet = 1 - -; Turn on Verilog hazard checking (order-dependent accessing of global vars). -; Default is off. -; Hazard = 1 - -; Turn on converting regular Verilog identifiers to uppercase. Allows case -; insensitivity for module names. Default is no conversion. -; UpCase = 1 - -; Turn on incremental compilation of modules. Default is off. -; Incremental = 1 - -; Turns on lint-style checking. -; Show_Lint = 1 - -[vsim] -; Simulator resolution -; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. -Resolution = ps - -; User time unit for run commands -; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the -; unit specified for Resolution. For example, if Resolution is 100ps, -; then UserTimeUnit defaults to ps. -; Should generally be set to default. -UserTimeUnit = default - -; Default run length -RunLength = 100 - -; Maximum iterations that can be run without advancing simulation time -IterationLimit = 5000 - -; Directive to license manager: -; vhdl Immediately reserve a VHDL license -; vlog Immediately reserve a Verilog license -; plus Immediately reserve a VHDL and Verilog license -; nomgc Do not look for Mentor Graphics Licenses -; nomti Do not look for Model Technology Licenses -; noqueue Do not wait in the license queue when a license isn't available -; viewsim Try for viewer license but accept simulator license(s) instead -; of queuing for viewer license -; License = plus - -; Stop the simulator after a VHDL/Verilog assertion message -; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal -BreakOnAssertion = 3 - -; Assertion Message Format -; %S - Severity Level -; %R - Report Message -; %T - Time of assertion -; %D - Delta -; %I - Instance or Region pathname (if available) -; %% - print '%' character -; AssertionFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" - -; Assertion File - alternate file for storing VHDL/Verilog assertion messages -; AssertFile = assert.log - -; Default radix for all windows and commands... -; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned -DefaultRadix = hexadecimal - -; VSIM Startup command -; Startup = do startup.do - -; File for saving command transcript -TranscriptFile = transcript - -; File for saving command history -; CommandHistory = cmdhist.log - -; Specify whether paths in simulator commands should be described -; in VHDL or Verilog format. -; For VHDL, PathSeparator = / -; For Verilog, PathSeparator = . -; Must not be the same character as DatasetSeparator. -PathSeparator = / - -; Specify the dataset separator for fully rooted contexts. -; The default is ':'. For example, sim:/top -; Must not be the same character as PathSeparator. -DatasetSeparator = : - -; Disable VHDL assertion messages -; IgnoreNote = 1 -; IgnoreWarning = 1 -; IgnoreError = 1 -; IgnoreFailure = 1 - -; Default force kind. May be freeze, drive, deposit, or default -; or in other terms, fixed, wired, or charged. -; A value of "default" will use the signal kind to determine the -; force kind, drive for resolved signals, freeze for unresolved signals -; DefaultForceKind = freeze - -; If zero, open files when elaborated; otherwise, open files on -; first read or write. Default is 0. -; DelayFileOpen = 1 - -; Control VHDL files opened for write. -; 0 = Buffered, 1 = Unbuffered -UnbufferedOutput = 0 - -; Control the number of VHDL files open concurrently. -; This number should always be less than the current ulimit -; setting for max file descriptors. -; 0 = unlimited -ConcurrentFileLimit = 40 - -; Control the number of hierarchical regions displayed as -; part of a signal name shown in the Wave window. -; A value of zero tells VSIM to display the full name. -; The default is 0. -; WaveSignalNameWidth = 0 - -; Turn off warnings from the std_logic_arith, std_logic_unsigned -; and std_logic_signed packages. -; StdArithNoWarnings = 1 - -; Turn off warnings from the IEEE numeric_std and numeric_bit packages. -; NumericStdNoWarnings = 1 - -; Control the format of the (VHDL) FOR generate statement label -; for each iteration. Do not quote it. -; The format string here must contain the conversion codes %s and %d, -; in that order, and no other conversion codes. The %s represents -; the generate_label; the %d represents the generate parameter value -; at a particular generate iteration (this is the position number if -; the generate parameter is of an enumeration type). Embedded whitespace -; is allowed (but discouraged); leading and trailing whitespace is ignored. -; Application of the format must result in a unique scope name over all -; such names in the design so that name lookup can function properly. -; GenerateFormat = %s__%d - -; Specify whether checkpoint files should be compressed. -; The default is 1 (compressed). -; CheckpointCompressMode = 0 - -; List of dynamically loaded objects for Verilog PLI applications -; Veriuser = veriuser.sl - -; Specify default options for the restart command. Options can be one -; or more of: -force -nobreakpoint -nolist -nolog -nowave -; DefaultRestartOptions = -force - -; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs -; (> 500 megabyte memory footprint). Default is disabled. -; Specify number of megabytes to lock. -; LockedMemory = 1000 - -; Turn on (1) or off (0) WLF file compression. -; The default is 1 (compress WLF file). -; WLFCompress = 0 - -; Specify whether to save all design hierarchy (1) in the WLF file -; or only regions containing logged signals (0). -; The default is 0 (save only regions with logged signals). -; WLFSaveAllRegions = 1 - -; WLF file time limit. Limit WLF file by time, as closely as possible, -; to the specified amount of simulation time. When the limit is exceeded -; the earliest times get truncated from the file. -; If both time and size limits are specified the most restrictive is used. -; UserTimeUnits are used if time units are not specified. -; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} -; WLFTimeLimit = 0 - -; WLF file size limit. Limit WLF file size, as closely as possible, -; to the specified number of megabytes. If both time and size limits -; are specified then the most restrictive is used. -; The default is 0 (no limit). -; WLFSizeLimit = 1000 - -; Specify whether or not a WLF file should be deleted when the -; simulation ends. A value of 1 will cause the WLF file to be deleted. -; The default is 0 (do not delete WLF file when simulation ends). -; WLFDeleteOnQuit = 1 - -; Automatic SDF compilation -; Disables automatic compilation of SDF files in flows that support it. -; Default is on, uncomment to turn off. -; NoAutoSDFCompile = 1 - -[lmc] - -[msg_system] -; Change a message severity or suppress a message. -; The format is: = [,...] -; Examples: -; note = 3009 -; warning = 3033 -; error = 3010,3016 -; fatal = 3016,3033 -; suppress = 3009,3016,3043 -; The command verror can be used to get the complete -; description of a message. - -; Control transcripting of elaboration/runtime messages. -; The default is to have messages appear in the transcript and -; recorded in the wlf file (messages that are recorded in the -; wlf file can be viewed in the MsgViewer). The other settings -; are to send messages only to the transcript or only to the -; wlf file. The valid values are -; both {default} -; tran {transcript only} -; wlf {wlf file only} -; msgmode = both

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.