URL
https://opencores.org/ocsvn/light52/light52/trunk
Subversion Repositories light52
Compare Revisions
- This comparison shows the changes necessary to convert path
/
- from Rev 8 to Rev 9
- ↔ Reverse comparison
Rev 8 → Rev 9
/light52/trunk/boards/terasic_de1/syn/light52_de1.qsf
48,7 → 48,7
set_global_assignment -name USE_CONFIGURATION_DEVICE ON |
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO" |
set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "USE AS REGULAR IO" |
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY "C:/dev/projects/fpga/OpenCores/light52/boards/terasic_de1/syn/output" |
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY ./output |
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" |
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" |
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top |
221,8 → 221,10
set_location_assignment PIN_L22 -to switches[0] |
set_location_assignment PIN_G12 -to txd |
set_location_assignment PIN_L1 -to clk_50MHz |
set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON |
set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL OFF |
set_global_assignment -name VHDL_FILE ../../../test/dhrystone/obj_code_pkg.vhdl |
set_global_assignment -name SDC_FILE light52_de1.sdc |
set_global_assignment -name VHDL_FILE ../../../test/dhrystone/obj_code_pkg.vhdl |
set_global_assignment -name VHDL_FILE ../vhdl/c2sb_soc.vhdl |
set_global_assignment -name VHDL_FILE ../../../vhdl/light52_ucode_pkg.vhdl |
set_global_assignment -name VHDL_FILE ../../../vhdl/light52_uart.vhdl |
232,6 → 234,4
set_global_assignment -name VHDL_FILE ../../../vhdl/light52_mcu.vhdl |
set_global_assignment -name VHDL_FILE ../../../vhdl/light52_cpu.vhdl |
set_global_assignment -name VHDL_FILE ../../../vhdl/light52_alu.vhdl |
set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON |
set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL OFF |
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top |