OpenCores
URL https://opencores.org/ocsvn/product_code_iterative_decoder/product_code_iterative_decoder/trunk

Subversion Repositories product_code_iterative_decoder

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 8 to Rev 9
    Reverse comparison

Rev 8 → Rev 9

/trunk/xilinx/analyze.vhdl
0,0 → 1,121
-- $Id: analyze.vhdl,v 1.1 2006-01-16 03:40:22 arif_endro Exp $
-------------------------------------------------------------------------------
-- Title :
-- Project :
-------------------------------------------------------------------------------
-- File :
-- Author : "Arif E. Nugroho" <arif_endro@yahoo.com>
-- Created : 2005/12/19
-- Last update :
-- Simulators :
-- Synthesizers: ISE Xilinx 6.3i
-- Target :
-------------------------------------------------------------------------------
-- Description :
-------------------------------------------------------------------------------
-- Copyright (C) 2005 Arif E. Nugroho
-- This VHDL design file is an open design; you can redistribute it and/or
-- modify it and/or implement it after contacting the author
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
-- ASSOCIATED DISCLAIMER.
--
-------------------------------------------------------------------------------
--
-- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
 
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
 
entity analyze is
port (
clear : in bit;
start : in bit;
match : in bit_vector (3 downto 0);
col_0 : out integer;
col_1 : out integer;
col_2 : out integer;
col_3 : out integer;
result : out integer
);
end analyze;
 
architecture analyzer of analyze is
 
signal col_0_int : integer range 0 to 19999;
signal col_1_int : integer range 0 to 19999;
signal col_2_int : integer range 0 to 19999;
signal col_3_int : integer range 0 to 19999;
signal result_int : integer range 0 to 19999;
 
begin
 
process (start,clear)
begin
 
if (clear = '1') then
col_0_int <= 0;
col_1_int <= 0;
col_2_int <= 0;
col_3_int <= 0;
result_int <= 0;
-- sample at rising edge then show the result at falling edge.
elsif (start = '1' and start'event) then
if (match(0) = '0') then
if (col_0_int < 19999) then
col_0_int <= col_0_int + 1;
else
col_0_int <= 0;
end if;
end if;
if (match(1) = '0') then
if (col_1_int < 19999) then
col_1_int <= col_1_int + 1;
else
col_1_int <= 0;
end if;
end if;
if (match(2) = '0') then
if (col_2_int < 19999) then
col_2_int <= col_2_int + 1;
else
col_2_int <= 0;
end if;
end if;
if (match(3) = '0') then
if (col_3_int < 19999) then
col_3_int <= col_3_int + 1;
else
col_3_int <= 0;
end if;
end if;
end if;
 
result_int <= col_0_int + col_1_int + col_2_int + col_3_int;
 
end process;
 
result <= result_int;
col_0 <= col_0_int;
col_1 <= col_1_int;
col_2 <= col_2_int;
col_3 <= col_3_int;
 
end analyzer;
/trunk/xilinx/senddata.vhdl
0,0 → 1,676
library ieee;
use ieee.std_logic_1164.all;
 
entity reference is
port (
clear : in bit;
start : in bit;
y0 : in bit;
y1 : in bit;
y2 : in bit;
y3 : in bit;
senddata : out bit_vector (3 downto 0);
match : out bit_vector (3 downto 0)
);
end reference;
 
architecture verify of reference is
 
type senddata_rom is array (000 to 2499) of bit_vector (3 downto 0);
constant senddata_tbl : senddata_rom :=
(
 
B"0010", B"0001", B"1101", B"1011",
B"1000", B"1011", B"1101", B"1100",
B"0010", B"0011", B"1100", B"1010",
B"1001", B"1111", B"0110", B"0010",
B"1100", B"1000", B"0001", B"1010",
B"0110", B"1011", B"0101", B"0001",
B"1100", B"1001", B"1100", B"1000",
B"0110", B"1101", B"0000", B"0100",
B"0000", B"0110", B"1101", B"1011",
B"0000", B"1001", B"1001", B"1000",
B"0110", B"1100", B"0110", B"1101",
B"1101", B"1011", B"0101", B"1101",
B"1111", B"0110", B"1000", B"0010",
B"1111", B"0110", B"0000", B"1110",
B"0001", B"0101", B"0111", B"1111",
B"0100", B"1010", B"1011", B"0111",
B"0011", B"1101", B"1000", B"1000",
B"0001", B"1000", B"1110", B"0110",
B"1111", B"1101", B"0000", B"0000",
B"1111", B"1010", B"1001", B"0011",
B"1110", B"0010", B"0010", B"0011",
B"1000", B"1101", B"1101", B"0000",
B"0000", B"1001", B"1010", B"1010",
B"0000", B"0111", B"0001", B"1111",
B"1010", B"0110", B"0100", B"0000",
B"1011", B"1100", B"0000", B"0011",
B"1111", B"0001", B"0000", B"0000",
B"1011", B"0100", B"1101", B"0011",
B"0001", B"1000", B"0101", B"0000",
B"0100", B"1111", B"0100", B"0010",
B"0101", B"0000", B"0001", B"0100",
B"1000", B"0000", B"1101", B"1100",
B"1110", B"1100", B"1001", B"0010",
B"0101", B"0001", B"0101", B"1001",
B"0011", B"1010", B"0111", B"1101",
B"0010", B"1111", B"1010", B"0011",
B"0011", B"0010", B"0111", B"0010",
B"0000", B"0001", B"0110", B"1100",
B"0001", B"0110", B"1110", B"0110",
B"1101", B"0001", B"1101", B"0001",
B"0011", B"1000", B"1001", B"1010",
B"0110", B"1101", B"0110", B"1010",
B"0011", B"0010", B"1110", B"1101",
B"1011", B"0010", B"1110", B"1000",
B"0010", B"1111", B"0010", B"0110",
B"1110", B"1100", B"0000", B"0000",
B"0000", B"0110", B"1110", B"0101",
B"1010", B"1000", B"0100", B"0001",
B"0101", B"0110", B"1101", B"1011",
B"1000", B"1111", B"1111", B"1101",
B"0001", B"1011", B"1000", B"0011",
B"0010", B"0100", B"0010", B"0010",
B"0010", B"0101", B"0111", B"1101",
B"1000", B"1101", B"1001", B"1001",
B"0101", B"0011", B"0100", B"0000",
B"1100", B"1100", B"1000", B"1001",
B"0000", B"1110", B"0110", B"1101",
B"0010", B"0000", B"1111", B"1010",
B"1010", B"0100", B"1101", B"0010",
B"1001", B"1001", B"1011", B"1000",
B"0000", B"1001", B"1110", B"0000",
B"0110", B"1000", B"0101", B"0010",
B"1100", B"0100", B"1000", B"0110",
B"0100", B"1111", B"0011", B"0101",
B"0000", B"1100", B"0110", B"1110",
B"1111", B"1000", B"1000", B"0110",
B"1000", B"1000", B"1100", B"1000",
B"1100", B"0011", B"0110", B"1001",
B"0101", B"0011", B"0111", B"0100",
B"0010", B"1011", B"1001", B"0111",
B"1110", B"0001", B"1001", B"0110",
B"1001", B"0111", B"1111", B"0010",
B"1010", B"0011", B"0101", B"1010",
B"0000", B"0000", B"0001", B"0110",
B"1111", B"0010", B"0100", B"1100",
B"0100", B"0001", B"0000", B"1010",
B"1001", B"1011", B"0101", B"1101",
B"1100", B"1010", B"0010", B"1101",
B"1111", B"1000", B"0111", B"0101",
B"0010", B"1101", B"0111", B"1110",
B"1011", B"0110", B"0101", B"1010",
B"1001", B"0001", B"0001", B"1000",
B"0100", B"1010", B"1111", B"1100",
B"1000", B"0101", B"1010", B"0011",
B"0010", B"1010", B"1010", B"0110",
B"0101", B"0000", B"0011", B"0011",
B"0110", B"0011", B"1100", B"1111",
B"0001", B"1101", B"1011", B"1111",
B"1100", B"1000", B"0010", B"1100",
B"0010", B"1100", B"0001", B"1101",
B"0111", B"0001", B"1110", B"1010",
B"0010", B"1111", B"0101", B"1011",
B"1110", B"0001", B"0001", B"1000",
B"1000", B"1000", B"1011", B"0101",
B"1000", B"1011", B"0011", B"0001",
B"1110", B"1111", B"0111", B"0010",
B"0101", B"0110", B"0000", B"1110",
B"0000", B"0110", B"1011", B"0101",
B"0001", B"0001", B"1111", B"1100",
B"1110", B"0000", B"0101", B"1011",
B"1011", B"0000", B"0110", B"0111",
B"0111", B"1110", B"0011", B"0000",
B"1100", B"0110", B"0000", B"1000",
B"0010", B"0100", B"1101", B"0000",
B"0000", B"0001", B"0100", B"1101",
B"0011", B"1001", B"0110", B"1001",
B"0000", B"0000", B"0001", B"1011",
B"1000", B"1001", B"0000", B"1000",
B"1101", B"0111", B"0110", B"0100",
B"1011", B"0010", B"0000", B"0100",
B"1010", B"1101", B"1011", B"1100",
B"0111", B"1111", B"0110", B"0011",
B"0001", B"0100", B"0001", B"1010",
B"1011", B"0110", B"1111", B"1010",
B"1110", B"0010", B"1000", B"0100",
B"0010", B"1010", B"1010", B"1010",
B"0001", B"1010", B"0000", B"1010",
B"0101", B"1011", B"0000", B"0100",
B"1000", B"1111", B"0100", B"1010",
B"1111", B"1000", B"1110", B"0111",
B"1110", B"1011", B"0000", B"1100",
B"0011", B"0000", B"1011", B"1100",
B"0010", B"1000", B"1011", B"0110",
B"1000", B"1111", B"1000", B"0110",
B"1100", B"1001", B"1101", B"1001",
B"0010", B"0100", B"0101", B"0101",
B"1010", B"0000", B"1000", B"1010",
B"1100", B"0110", B"0110", B"1100",
B"0011", B"0010", B"0000", B"0100",
B"0010", B"1010", B"1010", B"0101",
B"0110", B"1000", B"0001", B"0100",
B"1111", B"0011", B"0111", B"1001",
B"0111", B"0110", B"1100", B"1010",
B"1001", B"0011", B"0000", B"0010",
B"0110", B"0000", B"1100", B"1101",
B"0100", B"0010", B"1110", B"0011",
B"0001", B"1011", B"0000", B"1010",
B"0011", B"0011", B"0111", B"0100",
B"0001", B"1100", B"1000", B"1101",
B"0100", B"1101", B"0010", B"1011",
B"1010", B"1011", B"0011", B"1000",
B"1000", B"0010", B"1010", B"0010",
B"0110", B"1100", B"1110", B"0010",
B"0110", B"0001", B"0111", B"1101",
B"1101", B"1111", B"0010", B"0001",
B"0011", B"0110", B"0100", B"0101",
B"1001", B"0011", B"0000", B"0101",
B"1111", B"0010", B"1110", B"1010",
B"1111", B"0011", B"1101", B"0011",
B"0000", B"0101", B"0011", B"0100",
B"0101", B"0111", B"1100", B"0101",
B"0010", B"1101", B"0010", B"1100",
B"1110", B"1110", B"0011", B"0101",
B"1001", B"0111", B"0001", B"0011",
B"1000", B"0010", B"0001", B"1000",
B"1010", B"0110", B"0101", B"0010",
B"0101", B"0010", B"1011", B"1111",
B"1110", B"0100", B"0110", B"1001",
B"0110", B"0111", B"0111", B"1000",
B"0001", B"0111", B"1101", B"1110",
B"1011", B"1111", B"0010", B"0100",
B"1110", B"0111", B"0000", B"1011",
B"0001", B"0010", B"0000", B"1011",
B"0000", B"0101", B"0001", B"1111",
B"0000", B"1101", B"1101", B"0010",
B"1011", B"1110", B"1110", B"0001",
B"0010", B"1011", B"0011", B"1101",
B"0101", B"1011", B"1100", B"1100",
B"1001", B"0101", B"0000", B"0111",
B"1111", B"1000", B"0010", B"0100",
B"1100", B"1001", B"0111", B"1001",
B"0101", B"0000", B"1110", B"1000",
B"1011", B"0111", B"0101", B"1001",
B"0101", B"0000", B"1100", B"0111",
B"1001", B"1110", B"1001", B"1011",
B"1010", B"0011", B"1101", B"1101",
B"0101", B"0101", B"1110", B"1011",
B"1011", B"1101", B"0111", B"0101",
B"1010", B"1101", B"1101", B"1000",
B"1101", B"0001", B"1110", B"0101",
B"0100", B"0001", B"0010", B"1011",
B"1001", B"1001", B"1110", B"1101",
B"0101", B"0110", B"0001", B"1101",
B"0011", B"0111", B"0110", B"0001",
B"0011", B"1111", B"0101", B"1101",
B"1111", B"0000", B"1000", B"1001",
B"1111", B"1100", B"0110", B"0001",
B"1100", B"1010", B"1111", B"0011",
B"1000", B"0100", B"1101", B"0100",
B"0100", B"0111", B"1011", B"1110",
B"0101", B"0101", B"1011", B"1001",
B"0001", B"0110", B"0110", B"1111",
B"1110", B"1100", B"1100", B"1011",
B"1000", B"1010", B"1100", B"1001",
B"0101", B"0010", B"0010", B"0011",
B"1001", B"0010", B"0010", B"0111",
B"1111", B"0100", B"0001", B"0000",
B"1001", B"1100", B"0010", B"1010",
B"0100", B"0110", B"0010", B"0001",
B"1111", B"0100", B"0001", B"0101",
B"0001", B"0010", B"0111", B"0010",
B"1110", B"0100", B"0001", B"1100",
B"0111", B"1100", B"1010", B"0011",
B"1010", B"1110", B"0100", B"0101",
B"0001", B"0000", B"0100", B"1000",
B"1001", B"0010", B"0100", B"0000",
B"0011", B"1111", B"1010", B"0010",
B"0110", B"0111", B"1110", B"0101",
B"1111", B"0110", B"0010", B"0011",
B"1101", B"0001", B"1000", B"1101",
B"0001", B"1111", B"1110", B"1111",
B"1011", B"1100", B"0001", B"0001",
B"1111", B"0000", B"1000", B"0000",
B"1111", B"1110", B"0011", B"0110",
B"1000", B"0101", B"0100", B"1000",
B"0001", B"0001", B"1001", B"0100",
B"1000", B"1101", B"1100", B"0000",
B"1101", B"1111", B"1011", B"1011",
B"1110", B"1100", B"0010", B"0111",
B"0000", B"0000", B"1101", B"1101",
B"0010", B"0000", B"1000", B"0100",
B"1001", B"1001", B"1111", B"1001",
B"0001", B"1110", B"1110", B"1111",
B"0111", B"1010", B"0000", B"1100",
B"0111", B"1110", B"1010", B"0100",
B"0100", B"0010", B"1101", B"0000",
B"1100", B"0011", B"1100", B"0011",
B"0010", B"1001", B"0010", B"1011",
B"0110", B"1010", B"1011", B"1110",
B"0001", B"0110", B"1001", B"0000",
B"1111", B"0100", B"0100", B"0011",
B"1101", B"0110", B"1101", B"0101",
B"0001", B"1100", B"1101", B"0100",
B"0001", B"1110", B"0011", B"1101",
B"1101", B"0000", B"1110", B"0010",
B"1001", B"0110", B"1000", B"0000",
B"1000", B"1010", B"1011", B"1000",
B"0110", B"0010", B"1010", B"1111",
B"1000", B"0011", B"1010", B"0000",
B"0010", B"1011", B"1110", B"1000",
B"0011", B"0100", B"0101", B"1001",
B"0100", B"0111", B"1110", B"0111",
B"0011", B"0111", B"0100", B"1100",
B"1010", B"0110", B"1011", B"1111",
B"1110", B"1011", B"0111", B"1110",
B"0011", B"0011", B"0011", B"0001",
B"0110", B"1110", B"1000", B"0000",
B"1100", B"0001", B"0100", B"0001",
B"0010", B"1001", B"0011", B"0100",
B"0011", B"0011", B"0111", B"1001",
B"1111", B"1101", B"0000", B"0000",
B"1010", B"0000", B"0110", B"0110",
B"0001", B"1100", B"1111", B"1100",
B"0000", B"1001", B"1101", B"0001",
B"1001", B"0101", B"0101", B"0101",
B"1000", B"0101", B"0001", B"1100",
B"1111", B"1111", B"1000", B"1110",
B"1000", B"0101", B"0011", B"1111",
B"0110", B"1001", B"1010", B"0011",
B"1101", B"1011", B"0010", B"1100",
B"0000", B"0101", B"0000", B"0011",
B"1110", B"1001", B"0111", B"0110",
B"0110", B"0011", B"1010", B"0000",
B"1000", B"0111", B"0111", B"1101",
B"1001", B"0001", B"1011", B"1100",
B"1101", B"0110", B"1101", B"0010",
B"1010", B"0001", B"0001", B"0111",
B"0001", B"1011", B"1100", B"1101",
B"0010", B"0001", B"0110", B"1101",
B"1111", B"0001", B"0011", B"0001",
B"1010", B"0100", B"0001", B"1111",
B"0011", B"1010", B"1011", B"1000",
B"1101", B"0011", B"0010", B"0001",
B"1110", B"0101", B"1111", B"0101",
B"1000", B"1001", B"0101", B"1011",
B"0111", B"1111", B"0001", B"0100",
B"1111", B"1000", B"1000", B"0111",
B"0101", B"0011", B"0001", B"0000",
B"0101", B"1101", B"1110", B"0001",
B"0110", B"1011", B"0110", B"0000",
B"1100", B"0001", B"1100", B"1101",
B"1111", B"0111", B"0001", B"0100",
B"0100", B"1100", B"1100", B"1101",
B"1010", B"1011", B"1010", B"1011",
B"1101", B"0100", B"0100", B"0011",
B"1111", B"1010", B"0110", B"1001",
B"1111", B"0110", B"0110", B"0000",
B"1010", B"0111", B"0111", B"0011",
B"0101", B"1001", B"0000", B"0111",
B"0110", B"1100", B"0001", B"1100",
B"1010", B"0101", B"0000", B"0010",
B"1111", B"0011", B"1001", B"1000",
B"0101", B"0110", B"1001", B"1110",
B"0111", B"1011", B"1000", B"0001",
B"1001", B"1110", B"1011", B"0101",
B"0001", B"1111", B"1111", B"0010",
B"1101", B"0010", B"0010", B"0001",
B"0100", B"0100", B"1101", B"1001",
B"1001", B"1100", B"1000", B"1001",
B"0010", B"0111", B"1010", B"0011",
B"0010", B"1011", B"0011", B"0110",
B"1010", B"0111", B"0010", B"0111",
B"0011", B"0011", B"0100", B"1110",
B"1110", B"1100", B"0001", B"0011",
B"0000", B"1010", B"1110", B"0010",
B"1001", B"1000", B"1100", B"1000",
B"0010", B"0001", B"0110", B"0100",
B"1011", B"1000", B"1111", B"1001",
B"0001", B"1101", B"0001", B"0000",
B"0101", B"0111", B"1001", B"1011",
B"1000", B"0111", B"1001", B"1000",
B"0011", B"1100", B"1000", B"1111",
B"1010", B"0111", B"0001", B"0101",
B"1001", B"1111", B"1101", B"1010",
B"0100", B"0010", B"0101", B"1010",
B"1011", B"0100", B"1000", B"0110",
B"1001", B"1100", B"1000", B"1100",
B"0011", B"1001", B"0011", B"1101",
B"1100", B"0000", B"1010", B"1000",
B"1001", B"0111", B"1001", B"1100",
B"0000", B"0101", B"0101", B"0010",
B"1011", B"0101", B"1100", B"0101",
B"1001", B"1010", B"1000", B"1100",
B"1000", B"1101", B"1101", B"0001",
B"0110", B"0110", B"1011", B"1010",
B"0010", B"1100", B"0111", B"0010",
B"0110", B"0001", B"0010", B"1111",
B"0110", B"0101", B"1111", B"0011",
B"1110", B"0000", B"1010", B"0110",
B"1101", B"0011", B"1010", B"0111",
B"0000", B"0110", B"0010", B"0001",
B"0100", B"1011", B"0011", B"0111",
B"0100", B"1010", B"0111", B"1110",
B"1100", B"1110", B"1101", B"0011",
B"0111", B"0010", B"0000", B"1111",
B"0010", B"1100", B"0110", B"0110",
B"0000", B"0000", B"1010", B"1101",
B"0100", B"0111", B"0001", B"1010",
B"0000", B"0011", B"1011", B"1010",
B"1100", B"1000", B"0101", B"1110",
B"1101", B"1001", B"1110", B"1111",
B"1110", B"0111", B"1101", B"1010",
B"1001", B"1010", B"1111", B"0111",
B"0110", B"1000", B"1010", B"0101",
B"1110", B"1010", B"0000", B"0111",
B"0110", B"1111", B"1000", B"1001",
B"0110", B"0101", B"0010", B"1011",
B"1111", B"0000", B"1100", B"0101",
B"0101", B"1101", B"0111", B"0111",
B"0101", B"0011", B"0101", B"0011",
B"0011", B"1000", B"1101", B"1011",
B"1000", B"0111", B"1010", B"1100",
B"1110", B"0100", B"0011", B"1110",
B"1001", B"1011", B"0100", B"1010",
B"1010", B"0101", B"1011", B"0101",
B"1011", B"0100", B"0110", B"0110",
B"1000", B"0010", B"1111", B"0010",
B"1111", B"1011", B"1000", B"0000",
B"0100", B"0110", B"0001", B"0010",
B"0001", B"0110", B"0100", B"1111",
B"0110", B"0001", B"0010", B"1001",
B"0011", B"0011", B"0100", B"0111",
B"0100", B"1111", B"0100", B"0101",
B"0100", B"1000", B"0011", B"1000",
B"0011", B"0110", B"1001", B"0101",
B"1001", B"1100", B"1011", B"0010",
B"0000", B"0010", B"0010", B"1110",
B"0100", B"0010", B"0100", B"0110",
B"1001", B"1101", B"1010", B"1111",
B"1101", B"0110", B"0100", B"0111",
B"0111", B"1000", B"0111", B"1000",
B"0010", B"0110", B"1000", B"1001",
B"0011", B"1100", B"0010", B"0010",
B"1111", B"0011", B"0000", B"1111",
B"1100", B"0001", B"1000", B"0001",
B"1000", B"0110", B"1010", B"1111",
B"0100", B"0111", B"0010", B"0111",
B"1001", B"0100", B"0001", B"1000",
B"0100", B"1110", B"0010", B"1110",
B"0110", B"1000", B"0110", B"0111",
B"0110", B"0001", B"0110", B"0001",
B"1101", B"1000", B"1111", B"1001",
B"0011", B"0011", B"1011", B"1111",
B"1100", B"1001", B"1101", B"1111",
B"0010", B"1101", B"0010", B"1010",
B"0100", B"1000", B"0000", B"0000",
B"1100", B"1011", B"0100", B"0011",
B"0100", B"1001", B"1001", B"0011",
B"1001", B"0110", B"1111", B"1011",
B"0001", B"1011", B"0000", B"0110",
B"0101", B"0111", B"0101", B"1101",
B"0011", B"0110", B"1100", B"1001",
B"1110", B"1111", B"1101", B"0110",
B"1010", B"0101", B"1000", B"1010",
B"0000", B"1011", B"1100", B"1000",
B"1111", B"0101", B"0111", B"1011",
B"0101", B"1101", B"1110", B"0101",
B"1000", B"0011", B"1101", B"1110",
B"0110", B"1100", B"1110", B"0000",
B"1011", B"1011", B"0000", B"0001",
B"0011", B"1101", B"0011", B"1111",
B"1000", B"1101", B"1001", B"1110",
B"1100", B"0100", B"0111", B"0010",
B"0010", B"1001", B"0111", B"1101",
B"0101", B"0100", B"0010", B"1000",
B"0000", B"1001", B"1011", B"0110",
B"1110", B"0100", B"0011", B"1100",
B"0001", B"0111", B"0000", B"1001",
B"1101", B"0000", B"1111", B"0011",
B"1000", B"1011", B"0001", B"0101",
B"0001", B"1110", B"1100", B"0101",
B"1110", B"0110", B"0011", B"0100",
B"0101", B"1111", B"0110", B"0101",
B"0011", B"1011", B"0100", B"1110",
B"0110", B"1100", B"1010", B"0111",
B"1111", B"1100", B"0011", B"1011",
B"1101", B"1101", B"1011", B"1011",
B"1100", B"0101", B"0001", B"1000",
B"1101", B"1100", B"1100", B"0001",
B"1101", B"1110", B"0101", B"0110",
B"0010", B"1000", B"1100", B"1101",
B"0101", B"1001", B"0110", B"1000",
B"1011", B"0100", B"0001", B"0100",
B"1111", B"1110", B"1000", B"1000",
B"0000", B"0001", B"1100", B"1100",
B"0101", B"1001", B"1001", B"1101",
B"0000", B"0010", B"1001", B"0001",
B"1001", B"0111", B"1111", B"1101",
B"0001", B"0010", B"0011", B"0010",
B"0001", B"0110", B"1111", B"0010",
B"0001", B"1000", B"1011", B"1010",
B"0100", B"1001", B"0100", B"1110",
B"1010", B"1000", B"1100", B"0010",
B"1100", B"0101", B"1100", B"1111",
B"0100", B"1011", B"0000", B"0101",
B"0111", B"0001", B"0110", B"0101",
B"0110", B"1011", B"1111", B"1101",
B"0111", B"1010", B"0110", B"0011",
B"0000", B"0001", B"0101", B"1001",
B"1001", B"1001", B"0001", B"1100",
B"1010", B"0110", B"0011", B"1111",
B"0010", B"1001", B"1110", B"1111",
B"0000", B"1011", B"0110", B"1001",
B"1101", B"0011", B"0010", B"1100",
B"1101", B"1000", B"0001", B"0011",
B"0101", B"0110", B"1001", B"0111",
B"1010", B"0010", B"1000", B"0100",
B"1111", B"0010", B"0000", B"0011",
B"1010", B"1010", B"1101", B"0111",
B"1011", B"0011", B"0100", B"1111",
B"1110", B"0111", B"0111", B"0010",
B"0011", B"0111", B"1100", B"0010",
B"0101", B"1010", B"0110", B"1111",
B"1101", B"0101", B"1100", B"1011",
B"1011", B"1011", B"1110", B"0100",
B"0111", B"0010", B"1100", B"1101",
B"1000", B"0000", B"0110", B"1011",
B"0011", B"0001", B"1111", B"0010",
B"1101", B"0001", B"0101", B"0111",
B"1000", B"1010", B"0000", B"1110",
B"1111", B"1111", B"1111", B"1011",
B"1110", B"1011", B"1111", B"0001",
B"1001", B"0101", B"0011", B"0001",
B"0110", B"1001", B"0010", B"0111",
B"1111", B"1101", B"1110", B"0110",
B"1010", B"1110", B"1100", B"1100",
B"1110", B"1111", B"0001", B"1010",
B"0111", B"0111", B"1011", B"1000",
B"1011", B"0000", B"0011", B"0100",
B"1001", B"0110", B"0010", B"1000",
B"0001", B"1101", B"1101", B"1010",
B"0001", B"1100", B"0000", B"1101",
B"0010", B"1111", B"1001", B"1000",
B"0100", B"1111", B"0111", B"1011",
B"0110", B"0000", B"0001", B"0010",
B"1101", B"0110", B"0110", B"0101",
B"1111", B"0011", B"1110", B"0101",
B"1010", B"1100", B"0101", B"1100",
B"0110", B"0010", B"0001", B"0000",
B"1101", B"1001", B"0001", B"0100",
B"1110", B"1000", B"0110", B"1100",
B"1000", B"1100", B"0111", B"1001",
B"1001", B"0110", B"1010", B"0001",
B"0110", B"0001", B"0101", B"1000",
B"0101", B"0101", B"0111", B"0000",
B"0111", B"1101", B"0010", B"0001",
B"0100", B"0011", B"1110", B"0100",
B"1110", B"1000", B"0110", B"1001",
B"1101", B"0100", B"0111", B"1000",
B"1011", B"0010", B"0111", B"0010",
B"1000", B"0110", B"0000", B"1000",
B"0101", B"1101", B"0010", B"1100",
B"1010", B"0000", B"1111", B"1001",
B"0000", B"1001", B"0101", B"0111",
B"1000", B"1100", B"0010", B"0001",
B"0010", B"1011", B"1000", B"0010",
B"0000", B"0100", B"0010", B"0001",
B"0100", B"1011", B"0001", B"1100",
B"1100", B"0110", B"1001", B"0100",
B"0101", B"1110", B"1010", B"0001",
B"0110", B"0100", B"1110", B"0111",
B"1111", B"1110", B"0001", B"1100",
B"0101", B"0000", B"1100", B"0011",
B"1001", B"0101", B"0101", B"1100",
B"0000", B"0100", B"1011", B"1011",
B"0001", B"1011", B"1001", B"1111",
B"0100", B"1001", B"0011", B"0000",
B"1010", B"1000", B"0110", B"1000",
B"0000", B"1101", B"0100", B"1100",
B"1110", B"0001", B"0111", B"0001",
B"0101", B"0001", B"0101", B"1000",
B"1111", B"1000", B"0111", B"1100",
B"0111", B"1010", B"0011", B"0010",
B"1101", B"0011", B"1011", B"0100",
B"1111", B"1101", B"1100", B"1000",
B"0100", B"1001", B"0011", B"1110",
B"0101", B"0101", B"1100", B"1111",
B"1100", B"1100", B"0001", B"1110",
B"1000", B"0101", B"1111", B"0101",
B"1011", B"1101", B"1001", B"1011",
B"1111", B"0001", B"0101", B"0001",
B"1000", B"1100", B"0110", B"1010",
B"1000", B"0011", B"1111", B"0011",
B"1100", B"1101", B"1101", B"1011",
B"0000", B"0011", B"1000", B"0001",
B"0011", B"1010", B"1000", B"0000",
B"0000", B"0111", B"1101", B"1100",
B"1110", B"1111", B"0010", B"0100",
B"1101", B"1000", B"1010", B"1011",
B"1001", B"0010", B"1111", B"1011",
B"0111", B"1010", B"1001", B"1110",
B"1110", B"0110", B"1101", B"1001",
B"0101", B"0101", B"1101", B"1001",
B"0101", B"0011", B"1001", B"0101",
B"0110", B"1111", B"1101", B"0100",
B"1111", B"1011", B"1001", B"1001",
B"0111", B"1010", B"0101", B"0010",
B"1000", B"1011", B"0100", B"0111",
B"0011", B"1101", B"1110", B"0001",
B"0101", B"0011", B"1000", B"1101",
B"0001", B"0000", B"0111", B"1010",
B"1000", B"0010", B"0000", B"0011",
B"0100", B"0100", B"0000", B"0111",
B"1000", B"0101", B"1001", B"1111",
B"1110", B"0010", B"0010", B"0000",
B"1100", B"0010", B"0111", B"0000",
B"1011", B"1110", B"1101", B"1110",
B"0000", B"0010", B"1001", B"0011",
B"1111", B"1100", B"0000", B"0101",
B"1101", B"0001", B"1110", B"0001",
B"1101", B"0000", B"0010", B"0110",
B"0000", B"0010", B"0011", B"0100",
B"1000", B"1011", B"0001", B"1101",
B"1110", B"1000", B"0001", B"0011",
B"0100", B"1001", B"0101", B"1001",
B"0010", B"1010", B"0001", B"0000",
B"1010", B"1110", B"0111", B"1101",
B"1111", B"1111", B"0100", B"1111",
B"1101", B"1110", B"0101", B"0111",
B"0011", B"1101", B"1100", B"1011",
B"1110", B"1110", B"0001", B"0101",
B"1110", B"1000", B"0111", B"0010",
B"0110", B"0111", B"1011", B"0100",
B"1110", B"0010", B"0001", B"1110",
B"0110", B"1010", B"0101", B"1000",
B"0110", B"1010", B"0101", B"1100",
B"0001", B"1001", B"1110", B"1000",
B"1000", B"1110", B"0101", B"0000",
B"1000", B"1101", B"1101", B"0101",
B"0001", B"1100", B"1101", B"1110",
B"0100", B"1101", B"0100", B"1011",
B"0010", B"1110", B"1100", B"0110",
B"1101", B"0001", B"0111", B"0001",
B"0100", B"0110", B"0100", B"0110",
B"1110", B"1011", B"1010", B"0100",
B"1011", B"0110", B"1010", B"0010",
B"1100", B"1110", B"0011", B"0010",
B"1100", B"0110", B"0011", B"1110",
B"1010", B"0111", B"0111", B"0010",
B"1101", B"1000", B"1111", B"1010",
B"1101", B"1001", B"1101", B"0110",
B"1010", B"0011", B"0010", B"1001",
B"0000", B"0101", B"0100", B"1010",
B"1110", B"1001", B"0110", B"1011",
B"1000", B"1101", B"1010", B"0010",
B"1111", B"1000", B"1011", B"0110",
B"1000", B"1010", B"0010", B"1100",
B"0000", B"1001", B"0110", B"1111",
B"1000", B"0100", B"1111", B"1101",
B"1011", B"0000", B"1010", B"0001",
B"0011", B"1011", B"1011", B"0010",
B"0110", B"1010", B"1000", B"1110",
B"0101", B"1010", B"1100", B"1010",
B"1110", B"1101", B"0001", B"0101",
B"0001", B"0110", B"1101", B"0111",
B"1100", B"1111", B"1111", B"0011",
B"0111", B"0000", B"0110", B"0100",
B"1001", B"1001", B"0100", B"0010",
B"1011", B"0010", B"1101", B"0000",
B"1110", B"0110", B"1111", B"1101",
B"0011", B"0111", B"1100", B"1110",
B"1011", B"1101", B"0001", B"1101",
B"0011", B"0010", B"1011", B"0011",
B"0001", B"1100", B"0001", B"1011",
B"0111", B"0101", B"1010", B"1010",
B"0001", B"0011", B"1110", B"0000",
B"1100", B"0111", B"0001", B"1000",
B"0010", B"0000", B"1111", B"0010",
B"1110", B"0111", B"1101", B"0011",
B"1101", B"1001", B"0001", B"0111",
B"1011", B"0101", B"0111", B"0010",
B"0100", B"0011", B"1011", B"1110",
B"1110", B"1111", B"1101", B"1110",
B"1001", B"1011", B"0100", B"0011",
B"1001", B"0011", B"1111", B"0100",
B"1000", B"1110", B"1001", B"1111",
B"1110", B"1100", B"1011", B"0111",
B"1001", B"0000", B"0100", B"1010",
B"0101", B"0010", B"1001", B"0110",
B"0111", B"1111", B"0111", B"0110",
B"1000", B"1101", B"0011", B"0111",
B"1101", B"1011", B"0000", B"0000",
B"1101", B"0100", B"1110", B"0110",
B"1010", B"0100", B"1111", B"1010",
B"1101", B"1101", B"0110", B"1100"
 
);
 
signal data_in : bit_vector (3 downto 0);
signal reference_data : bit_vector (3 downto 0);
signal senddata_counter : integer range 0 to 2499 := 2497;
 
begin
 
data_in <= (y0 & y1 & y2 & y3);
senddata <= senddata_tbl(senddata_counter);
reference_data <= senddata_tbl(senddata_counter);
 
process (start, clear)
begin
if (clear = '1') then
senddata_counter <= 2497;
elsif (start = '0' and start'event) then
if (senddata_counter < 2499) then
senddata_counter <= senddata_counter + 1;
else
senddata_counter <= 0;
end if;
end if;
end process;
 
match <= not(data_in xor reference_data);
 
end verify;
/trunk/xilinx/input0DB.vhdl
0,0 → 1,4109
-- $Id: input0DB.vhdl,v 1.1 2006-01-16 03:40:22 arif_endro Exp $
-------------------------------------------------------------------------------
-- Title :
-- Project :
-------------------------------------------------------------------------------
-- File :
-- Author : "Arif E. Nugroho" <arif_endro@yahoo.com>
-- Created : 2005/12/18
-- Last update :
-- Simulators :
-- Synthesizers: ISE Xilinx 6.3i
-- Target :
-------------------------------------------------------------------------------
-- Description :
-------------------------------------------------------------------------------
-- Copyright (C) 2005 Arif E. Nugroho
-- This VHDL design file is an open design; you can redistribute it and/or
-- modify it and/or implement it after contacting the author
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
-- ASSOCIATED DISCLAIMER.
--
-------------------------------------------------------------------------------
--
-- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
 
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
 
entity input is
port (
clock : in bit;
clear : in bit;
start : out bit;
rom_pos : out integer;
rxin : out bit_vector (07 downto 00)
);
end input;
 
architecture test_bench of input is
 
type rom_bank is array ( 00000 to 19999 ) of bit_vector (7 downto 0);
 
constant input_bank : rom_bank :=
(
 
B"01001110", B"00101100", B"11100110", B"00111100", B"00101010",
B"11101110", B"00000101", B"00011100", B"00111000", B"00100010",
B"00001110", B"11001011", B"01000100", B"11101010", B"11100010",
B"11001100", B"11011100", B"11011010", B"00000111", B"11000110",
B"11110011", B"11011100", B"10000000", B"00000111", B"11001011",
B"00011101", B"11010111", B"11110010", B"11111000", B"01001110",
B"00101001", B"11001101", B"11111011", B"00001111", B"00010001",
B"00000100", B"11101100", B"00100101", B"11110010", B"00111001",
B"00010000", B"00110000", B"11001011", B"11101011", B"11001101",
B"00000010", B"00010010", B"00110011", B"10101101", B"00000000",
B"00011100", B"10111001", B"00100110", B"10110101", B"11101011",
B"11110110", B"11000111", B"00010010", B"00111101", B"11110101",
B"00101111", B"00000100", B"11110000", B"11100000", B"00101001",
B"00010011", B"11100111", B"00000100", B"00101011", B"11100001",
B"11001111", B"00101101", B"00100011", B"00001010", B"11110110",
B"11111011", B"01010101", B"11101100", B"00000100", B"11111000",
B"11011111", B"00101010", B"11111100", B"11101001", B"00101011",
B"00010100", B"00000110", B"00010010", B"10101111", B"01010100",
B"11110000", B"00010101", B"11101010", B"11111010", B"00010110",
B"11111001", B"11111010", B"11110110", B"01000000", B"11111101",
B"10111100", B"11011000", B"11010000", B"10101011", B"11101110",
B"11000100", B"10110111", B"11011011", B"00011000", B"00001101",
B"11111100", B"00000001", B"00011101", B"11100110", B"10110100",
B"00001100", B"11101111", B"11000111", B"11110100", B"00011101",
B"11100101", B"01000000", B"11101001", B"00001001", B"00101010",
B"11101111", B"11000100", B"00011000", B"11101001", B"11100001",
B"00110111", B"00010001", B"01000100", B"11110100", B"11011011",
B"11001101", B"11111000", B"00101101", B"00001100", B"00000111",
B"00010010", B"00010001", B"00010000", B"11101111", B"00001011",
B"11111101", B"00100110", B"11111110", B"00000011", B"11010010",
B"00111001", B"10111010", B"11011110", B"00010100", B"10110101",
B"01110110", B"11011000", B"11010001", B"00100001", B"00100111",
B"00001101", B"11011011", B"11101110", B"00010001", B"11001100",
B"10110101", B"00001011", B"00001000", B"11001101", B"11111010",
B"11011000", B"00100011", B"11111110", B"11110100", B"11110100",
B"11011100", B"01100100", B"11001010", B"01000111", B"11000110",
B"11111110", B"10111001", B"00011100", B"00000010", B"00110001",
B"00001001", B"00111101", B"11010001", B"00001111", B"11100110",
B"01000101", B"00000100", B"11111111", B"11101100", B"01010001",
B"01001110", B"00101011", B"00001000", B"11100100", B"11100100",
B"10110100", B"00100111", B"00101111", B"11010001", B"11010100",
B"11010111", B"00000001", B"11110001", B"11001010", B"00001111",
B"11110000", B"11111010", B"00001100", B"01110101", B"11010010",
B"00010110", B"11010001", B"00011100", B"00001010", B"00100011",
B"11001100", B"00001100", B"10101001", B"11111010", B"01000011",
B"11001100", B"11001100", B"00010010", B"11101000", B"11011000",
B"11100110", B"11010011", B"11111011", B"11111001", B"00010000",
B"11011011", B"01000100", B"11011010", B"11011000", B"00001111",
B"00000101", B"00100110", B"00101100", B"01001110", B"00011010",
B"00010111", B"00100011", B"00000010", B"00001000", B"11010001",
B"00011010", B"01001000", B"11001001", B"01000100", B"01000010",
B"11011011", B"00101110", B"11100011", B"11110010", B"00101011",
B"00010011", B"00100100", B"00100101", B"11100011", B"00001110",
B"10101101", B"11001100", B"00000011", B"11110001", B"11001100",
B"11111100", B"11010010", B"10111100", B"11101011", B"00001000",
B"00010010", B"00000101", B"00001110", B"11100100", B"11111011",
B"00010010", B"00010011", B"00011011", B"11101001", B"11001001",
B"00110110", B"01011010", B"11111010", B"00010010", B"00001111",
B"00011111", B"00000000", B"00000010", B"00011001", B"11111001",
B"11110111", B"10101011", B"00010111", B"00111111", B"11011011",
B"10111111", B"00000001", B"11101010", B"11001110", B"11000010",
B"00000101", B"00111000", B"11101000", B"11100001", B"11001000",
B"11100001", B"00010001", B"11010110", B"00111000", B"00010010",
B"01000101", B"11101011", B"00000011", B"11000110", B"00010111",
B"00110001", B"11110011", B"11000010", B"00101110", B"11010101",
B"00011010", B"11101000", B"00000010", B"00001101", B"11011010",
B"00101000", B"00001011", B"00101101", B"11110101", B"11010111",
B"11001111", B"00011110", B"11001010", B"11110010", B"00101001",
B"00001100", B"11111111", B"10110111", B"11000110", B"00000000",
B"11000100", B"00011111", B"00010101", B"00011011", B"11101010",
B"00000001", B"00101011", B"00001111", B"11110010", B"11110000",
B"11001101", B"11110011", B"00000011", B"11000010", B"00001110",
B"11001010", B"11111000", B"11101111", B"10111100", B"11001001",
B"00110000", B"00010010", B"00000000", B"00000000", B"11011001",
B"00100101", B"11011100", B"11010110", B"11110101", B"01000001",
B"00011010", B"11100001", B"11011100", B"00100111", B"10111111",
B"00100110", B"11010100", B"11111100", B"00110110", B"11100110",
B"11011101", B"11001010", B"11010101", B"00001011", B"00110101",
B"00110100", B"00011011", B"00001111", B"00000110", B"11110000",
B"01011111", B"00000100", B"11100101", B"11100000", B"00001110",
B"11101010", B"00001000", B"00010110", B"00101011", B"11000011",
B"01000011", B"11110011", B"00011010", B"01000010", B"01000001",
B"11001010", B"00110010", B"00101110", B"11111111", B"10110011",
B"11001000", B"00100101", B"11010000", B"00011000", B"00001011",
B"00110101", B"00001010", B"00101100", B"01000000", B"01001011",
B"11100100", B"10110011", B"00111001", B"11111111", B"11101110",
B"10100111", B"10111010", B"00010111", B"00001011", B"00100000",
B"00000001", B"00110111", B"00100001", B"01010010", B"00101011",
B"11111101", B"11111101", B"11010111", B"00011001", B"00101111",
B"00001110", B"00100101", B"11000001", B"00000100", B"01000001",
B"01000111", B"00001111", B"00010001", B"11111110", B"00100000",
B"00010011", B"00111101", B"11000010", B"01010010", B"10110111",
B"11000100", B"11011000", B"00010001", B"00110100", B"01001100",
B"11001000", B"11000101", B"11010001", B"10111111", B"00100111",
B"00001111", B"01000111", B"11010011", B"10011001", B"11011110",
B"11011011", B"00011011", B"00011111", B"00010100", B"00111001",
B"00100100", B"00100100", B"00001011", B"00010000", B"11111000",
B"00101110", B"00011101", B"11000110", B"10101110", B"00000001",
B"11010010", B"00110011", B"11001010", B"10100100", B"00011100",
B"01000101", B"11111000", B"01010101", B"11110110", B"11000111",
B"10011001", B"11110100", B"00000100", B"11011000", B"00111110",
B"11111001", B"11000000", B"11110110", B"11010011", B"01011100",
B"11100011", B"00111111", B"00001111", B"00111000", B"11010000",
B"11100000", B"00100111", B"00111101", B"10101101", B"11101101",
B"11101000", B"00001001", B"00100100", B"11011111", B"00111001",
B"11011011", B"10110000", B"00100011", B"11001011", B"00111110",
B"01010100", B"01000010", B"11001011", B"00100001", B"11000101",
B"00001000", B"11111000", B"00001010", B"00101001", B"00101110",
B"11101011", B"00101000", B"00000110", B"00011110", B"01010001",
B"11111001", B"00000111", B"10011110", B"00010011", B"00000111",
B"11111110", B"11000100", B"11110001", B"01100001", B"01011111",
B"11011001", B"11101100", B"01001000", B"10111111", B"00010101",
B"11101011", B"10110010", B"10110111", B"00101111", B"01100100",
B"10110000", B"00110010", B"11000101", B"00011000", B"11001111",
B"11100010", B"01001111", B"10110001", B"11101010", B"10101011",
B"11000110", B"11000100", B"11000100", B"11000011", B"11100001",
B"01100110", B"11110001", B"00111001", B"00000101", B"00000110",
B"11001000", B"00110010", B"11010110", B"00010000", B"00010001",
B"11000100", B"00011011", B"01001010", B"11111111", B"00101010",
B"00011010", B"00100111", B"11011111", B"00010011", B"00100001",
B"01001110", B"01001000", B"00010110", B"11110010", B"00100111",
B"00111010", B"00110101", B"00101001", B"11101011", B"11001100",
B"00100111", B"11000001", B"00111000", B"00100011", B"00111001",
B"01000000", B"11101100", B"00001101", B"11100000", B"10111011",
B"11000100", B"11110001", B"00110101", B"00101111", B"11010000",
B"00000110", B"00010101", B"10101111", B"11001001", B"11011011",
B"11110101", B"10100001", B"01101110", B"00101011", B"00110101",
B"11101100", B"00101101", B"00101110", B"11011101", B"01000000",
B"00001000", B"11011001", B"11101110", B"00101010", B"00100011",
B"00101101", B"11101001", B"11110010", B"00111101", B"00011011",
B"11111000", B"11111011", B"00101001", B"11001111", B"11101100",
B"01001110", B"11111100", B"11110111", B"10101101", B"11110011",
B"00001101", B"10101111", B"11010110", B"00111001", B"00101001",
B"00001110", B"11001001", B"11111111", B"00100000", B"00110001",
B"11010100", B"11011101", B"00101010", B"00000011", B"00100101",
B"00001011", B"10110000", B"00100011", B"00000110", B"00010010",
B"11011001", B"11100000", B"00110101", B"11100011", B"00100001",
B"10101110", B"11110011", B"01000110", B"11100010", B"10101011",
B"00001100", B"00010111", B"00001100", B"11101100", B"11101111",
B"00111110", B"00101000", B"00110101", B"00000111", B"00100101",
B"00110101", B"01000111", B"00010111", B"01001100", B"00010110",
B"11111101", B"00010101", B"11110111", B"00100011", B"00001000",
B"00111000", B"00010111", B"11000111", B"00110010", B"00001011",
B"11101110", B"11110010", B"11101110", B"00001001", B"11111110",
B"10110010", B"00101011", B"11000111", B"11110100", B"11110000",
B"00111110", B"00100001", B"00110101", B"00001000", B"00100111",
B"11101001", B"00111001", B"00000101", B"11011001", B"00100100",
B"00110000", B"00101110", B"00100111", B"01000011", B"00100110",
B"00001111", B"01000000", B"00010010", B"00101100", B"00101111",
B"11111011", B"10111111", B"11100011", B"11010010", B"00101101",
B"11000000", B"00110101", B"00010001", B"01000010", B"00010000",
B"10111011", B"00100111", B"11011101", B"00001110", B"11011101",
B"11001111", B"11011110", B"11010101", B"11110101", B"01000000",
B"00111100", B"00111001", B"00000000", B"11101000", B"11110001",
B"10101110", B"01000011", B"10101001", B"11000101", B"01101100",
B"00111011", B"01001010", B"10001000", B"11101000", B"11101110",
B"11111111", B"11100010", B"11101001", B"11011000", B"00011010",
B"11101111", B"11100010", B"01010011", B"00011111", B"11010111",
B"00101011", B"00011111", B"00100101", B"11110011", B"00010001",
B"00110000", B"00010000", B"00010011", B"11011100", B"11111100",
B"11111011", B"00001100", B"11011010", B"00000000", B"10110001",
B"00100111", B"00010000", B"10110100", B"11110111", B"11111111",
B"00011001", B"01011100", B"01000000", B"11110010", B"11000101",
B"10111000", B"00010011", B"00110101", B"00000110", B"00011111",
B"01010001", B"00010110", B"00010111", B"00110111", B"00000001",
B"00001010", B"11000100", B"11000111", B"01000111", B"11111011",
B"11100011", B"11110110", B"00000011", B"11101000", B"10001011",
B"11100111", B"00010100", B"00000110", B"00010101", B"00101000",
B"11101000", B"01011001", B"00000010", B"11101001", B"11110010",
B"11100011", B"00011100", B"11011111", B"00111001", B"00110001",
B"11111110", B"00101111", B"11110011", B"00010011", B"00000000",
B"00001111", B"00011101", B"00010110", B"00111001", B"00001100",
B"11110101", B"11111000", B"00110011", B"00100110", B"11100110",
B"11111110", B"00011101", B"10011100", B"00000011", B"00111110",
B"00110010", B"00011101", B"00100001", B"00000011", B"00100001",
B"00100111", B"00000000", B"00010100", B"00101011", B"11011100",
B"11010110", B"11111011", B"00011111", B"10110000", B"00001110",
B"11111100", B"11010010", B"11001110", B"01000101", B"00000001",
B"11001000", B"10000110", B"00100100", B"11011100", B"11110110",
B"11000010", B"11011010", B"11110000", B"00110001", B"11000100",
B"01001011", B"11001010", B"11101001", B"10111011", B"11001100",
B"00111011", B"01100010", B"00111011", B"11110101", B"00101111",
B"10110011", B"00100011", B"00011011", B"11000110", B"01000011",
B"11100111", B"11110010", B"11111110", B"00001011", B"00101101",
B"00010110", B"00100000", B"00100110", B"00111101", B"00100001",
B"00011011", B"01001110", B"11111111", B"01010101", B"00001011",
B"00010011", B"00101110", B"11001010", B"00011100", B"11101011",
B"10101111", B"11011010", B"10111010", B"11001000", B"11011111",
B"00011010", B"00011000", B"00110011", B"00110101", B"00101110",
B"11111011", B"00001011", B"00101010", B"11101000", B"00011110",
B"00111100", B"11101101", B"00101000", B"00111110", B"11111010",
B"01001001", B"00101010", B"11101101", B"11010001", B"00010100",
B"00111000", B"00000100", B"00110111", B"11000001", B"11111111",
B"11010111", B"00100110", B"11111000", B"00100011", B"00001111",
B"01100100", B"00100101", B"00100000", B"00010010", B"11000110",
B"00100110", B"00110100", B"00010101", B"00110010", B"00001110",
B"00011111", B"11110000", B"00010010", B"00001011", B"01101110",
B"11100011", B"00100010", B"01000101", B"11010000", B"11110110",
B"00001100", B"11100101", B"11010010", B"00001101", B"11101011",
B"00110000", B"11101101", B"00100001", B"00000101", B"00011011",
B"01110110", B"11101100", B"11011111", B"11110000", B"00011111",
B"00100100", B"00001111", B"00011111", B"00010010", B"11100000",
B"00111101", B"11011110", B"00000100", B"11101000", B"11000000",
B"00001110", B"11110000", B"11101100", B"01000110", B"00101110",
B"00110101", B"00101001", B"11000100", B"11101011", B"10010010",
B"11011000", B"00100101", B"00111110", B"00110010", B"00000011",
B"00000111", B"11110100", B"11111100", B"00001000", B"01000001",
B"00011001", B"11011111", B"00101010", B"11100010", B"00001110",
B"11011010", B"00011111", B"00110111", B"11100100", B"11011011",
B"11100011", B"00010101", B"11001110", B"00011000", B"00000110",
B"11100001", B"00100010", B"11110100", B"10111111", B"00011111",
B"00101100", B"11111001", B"00000010", B"11011101", B"11111111",
B"11101001", B"11010010", B"00100010", B"00100100", B"00110111",
B"00010100", B"00010010", B"11010100", B"11111001", B"11110011",
B"00011000", B"11110010", B"00100110", B"00000110", B"11111010",
B"11010110", B"11101110", B"11000110", B"00001001", B"00011001",
B"10110101", B"11111000", B"00001111", B"10111001", B"11111100",
B"11001110", B"11111011", B"10011100", B"00100001", B"00111101",
B"11010000", B"11100011", B"00100111", B"11101100", B"11110010",
B"11000001", B"11010001", B"00011001", B"11010100", B"01000110",
B"11100011", B"00001001", B"00001111", B"11111110", B"00011010",
B"11000100", B"11110000", B"10111101", B"10111110", B"00110110",
B"11011111", B"11110011", B"00000100", B"11101011", B"00010011",
B"11111101", B"01001100", B"10001000", B"10011011", B"00100100",
B"00111010", B"00001100", B"10000111", B"00000100", B"00110000",
B"10110110", B"00000000", B"00001110", B"10110111", B"00000000",
B"11011110", B"11001011", B"11011100", B"01101000", B"00001011",
B"00100111", B"11000110", B"00011111", B"11111010", B"00000000",
B"10110110", B"11100110", B"00010000", B"00000011", B"00000100",
B"00010101", B"00000001", B"11101111", B"01101011", B"00000111",
B"10101001", B"11100011", B"00100000", B"00010110", B"11100011",
B"11100001", B"01001000", B"00001101", B"11011110", B"10111000",
B"00100001", B"00100011", B"11001000", B"11101100", B"00100101",
B"00000011", B"11101110", B"11110111", B"00101000", B"10111000",
B"11101110", B"00010111", B"10111000", B"00110000", B"00001101",
B"00000011", B"00010111", B"11101001", B"11111101", B"01000001",
B"11110110", B"11100100", B"11100110", B"00110111", B"00010101",
B"00011000", B"11101010", B"00000100", B"00001101", B"00100010",
B"01000001", B"00000111", B"00110010", B"00110111", B"11110101",
B"11011111", B"00100001", B"00000101", B"00100101", B"10110010",
B"11100010", B"11111111", B"11101101", B"11111100", B"11100110",
B"11010010", B"11011110", B"11010100", B"11101001", B"11010011",
B"00111101", B"00011000", B"00110100", B"11101111", B"11110111",
B"00110011", B"11101100", B"11111111", B"00000110", B"11000111",
B"00101001", B"11110000", B"00101100", B"11011000", B"00011011",
B"00101101", B"11110100", B"00101000", B"11100000", B"11111111",
B"11000010", B"10101010", B"11100101", B"00000010", B"00001010",
B"00011011", B"00101111", B"11101000", B"11111000", B"10101110",
B"00010100", B"11011100", B"11101010", B"11011001", B"11000011",
B"00010001", B"11111001", B"10101001", B"11100011", B"10110110",
B"00110110", B"11100110", B"00110100", B"11110110", B"11010000",
B"11111101", B"00110011", B"00011001", B"11101101", B"11010110",
B"00011011", B"11111010", B"11100011", B"10111110", B"11110011",
B"11010001", B"00101100", B"00100000", B"00010100", B"11001111",
B"10110101", B"00110100", B"00110011", B"00100010", B"00111101",
B"11010101", B"11110011", B"11000111", B"00011011", B"00000000",
B"01000100", B"01100010", B"00111001", B"11110110", B"01110110",
B"01001100", B"10000001", B"11111000", B"11011111", B"00110000",
B"01000000", B"00000001", B"10110111", B"01011101", B"11001101",
B"11101010", B"11011000", B"00010010", B"11111101", B"11011111",
B"11011111", B"11000100", B"10100100", B"10110011", B"11101001",
B"01000010", B"10111001", B"00000010", B"11111000", B"11011101",
B"00111100", B"00000000", B"00100100", B"11011000", B"11100100",
B"01100110", B"11110101", B"11010000", B"11001101", B"10111010",
B"11111100", B"11001101", B"11110111", B"11110101", B"01011111",
B"11110000", B"10111011", B"11100011", B"01000001", B"11010011",
B"11001100", B"11101101", B"11000111", B"11010110", B"00001011",
B"00000000", B"11011000", B"11111111", B"10101100", B"01000011",
B"11010111", B"10110110", B"11001111", B"00010110", B"01000110",
B"00101010", B"00011111", B"11011110", B"01100111", B"00101100",
B"11010000", B"11011110", B"00001001", B"00011111", B"00011010",
B"00011100", B"00001001", B"11111000", B"11011011", B"00010001",
B"00011010", B"11110110", B"00010000", B"00100110", B"00110100",
B"11011001", B"00001100", B"11110010", B"11001110", B"00001011",
B"01000000", B"10110101", B"11111111", B"00000100", B"00000111",
B"00110001", B"11111011", B"00011010", B"11110110", B"11010100",
B"11011101", B"00001101", B"00100010", B"11011100", B"01011100",
B"01000101", B"11100011", B"00100011", B"01101001", B"11100101",
B"11101111", B"01000000", B"11101100", B"11111000", B"11100101",
B"01000100", B"00100011", B"11100010", B"01000000", B"11100010",
B"11110000", B"11000101", B"01000110", B"00101010", B"11000101",
B"00000110", B"11011000", B"00100001", B"00011000", B"00100111",
B"11011101", B"00000100", B"01110111", B"11100111", B"11100011",
B"00110100", B"11011100", B"11100011", B"00010000", B"11111000",
B"01010001", B"00111110", B"00010001", B"11011110", B"01001011",
B"00001100", B"11011000", B"00110010", B"00011100", B"11010100",
B"11010000", B"00011111", B"00100011", B"11000010", B"10101110",
B"01000100", B"10100110", B"00001001", B"11010110", B"11100111",
B"11000110", B"11011101", B"00100110", B"00111001", B"11011000",
B"11100101", B"01000000", B"11101011", B"11101101", B"11100010",
B"00000010", B"00001100", B"01101101", B"00001011", B"11101011",
B"10001001", B"00111011", B"00001110", B"00101100", B"11110010",
B"11110100", B"11111101", B"11011011", B"11110111", B"00111100",
B"11110101", B"11010011", B"00111101", B"00001100", B"00101111",
B"00001101", B"11011111", B"11010110", B"00001110", B"00011110",
B"01001100", B"00001100", B"00010111", B"00101101", B"00111110",
B"01001000", B"11111001", B"11000110", B"11111000", B"10001100",
B"10110111", B"11111011", B"11110100", B"00001100", B"11111111",
B"10111001", B"11101010", B"00001010", B"11110111", B"00010010",
B"11110011", B"00100010", B"11010110", B"00111101", B"11001100",
B"11100110", B"11100101", B"11111010", B"01010010", B"00000001",
B"00001111", B"11011001", B"00000011", B"11101000", B"00001010",
B"00010001", B"00110011", B"00001001", B"00010010", B"00010001",
B"00111000", B"11001110", B"00101010", B"10100011", B"00010110",
B"00001100", B"11011000", B"01000110", B"00000011", B"11110010",
B"01001100", B"00000101", B"11111001", B"01011101", B"00000110",
B"00000000", B"00001011", B"00000101", B"11101110", B"01000100",
B"10101010", B"00101000", B"00110000", B"00110000", B"11010101",
B"11100011", B"11100000", B"00101100", B"00010011", B"11111000",
B"11000110", B"11000111", B"00001001", B"11101101", B"00011100",
B"11111000", B"11011110", B"11010111", B"11001101", B"00111011",
B"00001101", B"11111110", B"10111100", B"10100000", B"00101101",
B"00000111", B"00101111", B"11101000", B"11010011", B"11111110",
B"00011111", B"00101001", B"11101100", B"11010111", B"00100101",
B"01000011", B"00100101", B"11011011", B"01001101", B"10111010",
B"00110111", B"11110000", B"11101100", B"11010110", B"00000111",
B"00100000", B"00001010", B"00101100", B"00110110", B"11011110",
B"11101111", B"11000010", B"00010110", B"00101110", B"00110000",
B"00110011", B"01101010", B"00000100", B"11000011", B"00011011",
B"10111110", B"00000001", B"11001001", B"11101111", B"11110111",
B"00101111", B"11111101", B"00010100", B"11111001", B"00101001",
B"11011001", B"00100001", B"11100111", B"11011010", B"00110011",
B"10111011", B"11110010", B"11010110", B"11111100", B"11101111",
B"11100101", B"11011010", B"01010001", B"00010000", B"00001100",
B"11001110", B"00110111", B"10101000", B"01001110", B"01010101",
B"00100110", B"11000101", B"10111001", B"01111111", B"00101101",
B"11001010", B"00001011", B"00111010", B"11100100", B"11101100",
B"01011110", B"00011110", B"00010110", B"11010111", B"00101101",
B"01000000", B"11111010", B"00001111", B"00000110", B"11010000",
B"00101110", B"00001000", B"11101011", B"00010000", B"00110101",
B"10110101", B"11110110", B"00101000", B"10100000", B"10111010",
B"00100100", B"00110010", B"00111100", B"00101000", B"01011111",
B"00101011", B"11111000", B"11001001", B"00011011", B"00110001",
B"01111011", B"00000000", B"01010010", B"00100001", B"00000000",
B"10111111", B"00010100", B"00011111", B"00000110", B"01010011",
B"10111111", B"10111010", B"11010000", B"00011000", B"01000101",
B"00011000", B"11010010", B"11011001", B"10011101", B"00001011",
B"00000110", B"00000101", B"01000101", B"11100000", B"11101110",
B"11110100", B"11111001", B"11111000", B"11010101", B"11101111",
B"00001110", B"11011111", B"11010111", B"11110010", B"01000110",
B"00010011", B"00001100", B"11110011", B"11101100", B"10101100",
B"10101100", B"00110101", B"10110111", B"00110110", B"10111001",
B"10111010", B"00000100", B"11011101", B"00001001", B"00011110",
B"10111010", B"11111110", B"11110110", B"11001010", B"10110011",
B"11011001", B"11111111", B"00111001", B"11100000", B"00010110",
B"10110100", B"00000111", B"11111011", B"00010100", B"10111000",
B"00101110", B"11010000", B"11111010", B"11101011", B"00100010",
B"00000110", B"00010101", B"00001000", B"00000101", B"11000010",
B"00000000", B"00010100", B"00000111", B"11111001", B"00110010",
B"11111111", B"11101100", B"11111111", B"11101010", B"00110001",
B"00100100", B"11011001", B"00011000", B"00011011", B"00000110",
B"00001011", B"00011111", B"00111010", B"00010001", B"00100110",
B"10101101", B"11001001", B"00011100", B"00001111", B"00100010",
B"00001011", B"11010001", B"11011000", B"11011111", B"00000101",
B"00110010", B"00100010", B"00111011", B"00101001", B"11001101",
B"11110110", B"10110100", B"11011001", B"00001110", B"00010010",
B"11011110", B"00101001", B"11011101", B"00011111", B"11011011",
B"00110001", B"00100001", B"11110100", B"11100111", B"11100010",
B"11111101", B"11011110", B"00011100", B"11110110", B"11111110",
B"11110001", B"00110011", B"00000111", B"11110001", B"01000011",
B"11101100", B"11011111", B"00001101", B"11110101", B"00111101",
B"11010100", B"11100101", B"11110011", B"11110010", B"11101011",
B"11001010", B"11110000", B"11111001", B"11001101", B"11100011",
B"11101001", B"11011011", B"11011010", B"00001100", B"10110100",
B"00010010", B"00001010", B"11011100", B"01010111", B"00110111",
B"00100011", B"11110011", B"00010110", B"00011110", B"11011000",
B"11010001", B"00101110", B"00011000", B"01011100", B"01100010",
B"00011001", B"00011010", B"00000101", B"01011100", B"00101011",
B"00000100", B"11111011", B"11101111", B"10001110", B"00111010",
B"01000011", B"01000100", B"00111011", B"10110010", B"11101100",
B"11101001", B"01001001", B"11010101", B"10011010", B"00010110",
B"00100100", B"11000110", B"01000011", B"11110100", B"00110000",
B"11011100", B"11111000", B"11011100", B"01010011", B"01010101",
B"11110001", B"11010100", B"00101100", B"10110100", B"01100001",
B"00110100", B"11100010", B"11100101", B"10110110", B"00100001",
B"11010101", B"00001001", B"11100100", B"11100101", B"00111101",
B"11111110", B"00011110", B"11111011", B"00111001", B"00100100",
B"00000100", B"10111011", B"00101100", B"11111111", B"11100000",
B"01001101", B"11001110", B"00000011", B"11011101", B"11101101",
B"11001111", B"10101000", B"01001100", B"11110010", B"11010111",
B"00001100", B"11110110", B"10111011", B"11111111", B"11101000",
B"11111010", B"11010111", B"11101000", B"11011110", B"00110111",
B"00110000", B"11100001", B"11010001", B"00010011", B"01000101",
B"00000100", B"11111011", B"00000011", B"10101010", B"11011010",
B"11111001", B"11111110", B"00110110", B"00001110", B"00010110",
B"11001111", B"01000011", B"00010101", B"11100100", B"00101110",
B"00011100", B"00001111", B"11001111", B"10111110", B"00001001",
B"11001011", B"10101101", B"11101000", B"00000010", B"00111000",
B"11110100", B"00011100", B"00101000", B"11111000", B"00000110",
B"01001000", B"01000010", B"00110100", B"00100011", B"11110010",
B"00100010", B"11111101", B"00101110", B"00010100", B"11000110",
B"00100101", B"10111101", B"11010000", B"11011101", B"11111101",
B"00010001", B"00100010", B"11110010", B"00011011", B"11100111",
B"00100101", B"00111111", B"01001110", B"00110011", B"11010011",
B"11111110", B"10100110", B"00001010", B"10111010", B"00110001",
B"11101110", B"11001101", B"00100000", B"11010001", B"00000111",
B"01101100", B"10110110", B"11010010", B"11111100", B"11010110",
B"11100101", B"00110011", B"00100101", B"00100011", B"00110000",
B"11010100", B"11111010", B"01101100", B"11011000", B"00101011",
B"00001101", B"10110000", B"00100100", B"00111101", B"10111111",
B"11101100", B"00110101", B"11111001", B"01001010", B"11111010",
B"11110101", B"11001100", B"00010011", B"00011110", B"11010000",
B"10110001", B"00001100", B"11101000", B"11011101", B"00010101",
B"11101010", B"00010001", B"11011000", B"00010010", B"00011101",
B"11111000", B"01010000", B"00010111", B"11010110", B"11000111",
B"11100010", B"00001001", B"00000011", B"00101001", B"00010100",
B"00010001", B"00101000", B"11010000", B"00100101", B"10110001",
B"11010011", B"01000001", B"00101110", B"11000100", B"11100100",
B"00101111", B"11111101", B"00000100", B"11111000", B"11100010",
B"10110100", B"11100010", B"00011011", B"00010101", B"00100011",
B"01001000", B"00001100", B"00010001", B"00100000", B"01001011",
B"00110011", B"11001100", B"11010111", B"00011001", B"01100111",
B"00111000", B"00011011", B"11001011", B"11011000", B"01000101",
B"10110101", B"11110101", B"00100101", B"00011011", B"11011011",
B"11001000", B"00010000", B"11011110", B"11111101", B"11001011",
B"00001100", B"00011000", B"11001110", B"00000111", B"11110011",
B"11110001", B"11100101", B"11001011", B"11100011", B"00000000",
B"00101000", B"00101111", B"00001110", B"10101010", B"01011000",
B"00011011", B"00010101", B"00100001", B"00100101", B"11111011",
B"00010100", B"11100101", B"00000110", B"00100100", B"00011000",
B"11010110", B"00000011", B"10110101", B"00000100", B"00001100",
B"11100000", B"11001111", B"11111111", B"11010010", B"11011010",
B"11011110", B"11011000", B"11001001", B"00101010", B"00010101",
B"00010110", B"11111101", B"00011010", B"11101010", B"00110001",
B"11100111", B"00001010", B"11101000", B"00111011", B"11001111",
B"11110111", B"11100110", B"01011011", B"11100000", B"11010101",
B"01000010", B"00110011", B"00010110", B"00100011", B"11001110",
B"00011010", B"11100011", B"00100010", B"11110000", B"00011000",
B"11101011", B"00010011", B"10100010", B"00001011", B"10101000",
B"00001000", B"00101101", B"11111101", B"00100010", B"11101011",
B"00100101", B"11100101", B"01001001", B"00011001", B"00000000",
B"11100011", B"00011010", B"00111001", B"11001001", B"11011110",
B"00001111", B"10111001", B"11101110", B"00011110", B"11111000",
B"11101110", B"11010110", B"11111100", B"11001000", B"11110001",
B"00011000", B"00000111", B"11001001", B"11101101", B"11111000",
B"11001001", B"00111101", B"11111000", B"00011000", B"11100100",
B"11001100", B"00000011", B"00010110", B"00100100", B"01101111",
B"00101111", B"11111110", B"11100111", B"01000011", B"00111011",
B"11010100", B"11110001", B"01011101", B"11010101", B"11110110",
B"00010100", B"10101101", B"01100110", B"11111000", B"01010100",
B"00010111", B"11110110", B"00000101", B"11110000", B"11011010",
B"00101000", B"00001011", B"10110000", B"00000000", B"00011011",
B"11000001", B"00010100", B"11110111", B"11001110", B"11101011",
B"00101011", B"11100100", B"00010110", B"00101010", B"11101000",
B"00000000", B"00010010", B"00010010", B"11101110", B"11001100",
B"00000100", B"01010011", B"00010011", B"11001001", B"10111001",
B"11110010", B"00010010", B"00110000", B"11010100", B"10100011",
B"11010001", B"11111001", B"01100111", B"11000100", B"11111111",
B"11000100", B"11011111", B"11011101", B"00101001", B"00100111",
B"11110110", B"00111001", B"11000101", B"01011000", B"01010110",
B"01000011", B"11111010", B"00101000", B"11100011", B"00001000",
B"11000000", B"11111001", B"11111001", B"00001111", B"11001000",
B"11010100", B"11100101", B"00011100", B"10101110", B"00001011",
B"11110111", B"11101100", B"00001111", B"11110011", B"00000101",
B"00000001", B"11110110", B"00100100", B"01000001", B"00110000",
B"00010111", B"00001011", B"00000101", B"11101001", B"10011001",
B"11001101", B"10111001", B"11000111", B"11001101", B"00010100",
B"00010000", B"00001001", B"00101100", B"10111110", B"00000101",
B"11011010", B"11000011", B"00101011", B"00110100", B"00011111",
B"00111011", B"11110010", B"00010101", B"00001101", B"01001011",
B"11110010", B"11011110", B"11001011", B"00011010", B"11010110",
B"00101100", B"00010011", B"11111110", B"00001010", B"00011101",
B"00101010", B"00011111", B"00001001", B"00010101", B"11001111",
B"11110011", B"11110001", B"00110101", B"11100101", B"11110100",
B"01001001", B"11001101", B"00110001", B"11100000", B"11000111",
B"11011100", B"01000011", B"00000000", B"11010000", B"01001110",
B"11011011", B"00110001", B"11111001", B"00000011", B"01000100",
B"00111110", B"00101011", B"00001000", B"00111110", B"00100000",
B"00010100", B"10111110", B"11011101", B"00101010", B"00010101",
B"00000111", B"01011001", B"11100011", B"00011111", B"00111011",
B"00100111", B"00100110", B"01000001", B"00111010", B"00101011",
B"11100110", B"00000110", B"10111111", B"11010100", B"11000010",
B"01011000", B"11010000", B"11111101", B"00011001", B"11101010",
B"00001101", B"10111000", B"11011110", B"11001111", B"10011000",
B"10111001", B"11001000", B"11100101", B"11111010", B"00001100",
B"00101111", B"00001100", B"01000100", B"11101111", B"00010011",
B"11011110", B"11110100", B"10011111", B"01010010", B"00100001",
B"11010101", B"01000100", B"00011000", B"11000111", B"00100110",
B"00010110", B"11011100", B"11001101", B"11101110", B"00111100",
B"00110010", B"00001111", B"00110010", B"11000101", B"00110110",
B"00001101", B"11000100", B"00110011", B"00110101", B"11010001",
B"00010010", B"00100000", B"11001000", B"00001001", B"00011011",
B"01001110", B"00100111", B"00100011", B"11100010", B"00001000",
B"11110000", B"00110101", B"00101001", B"00110010", B"00001110",
B"00011011", B"01000010", B"01010111", B"00011101", B"11001100",
B"11111000", B"11110110", B"00000000", B"11100110", B"11010111",
B"00001100", B"00001110", B"11110010", B"00101011", B"00100100",
B"10110111", B"11011010", B"00010001", B"11000110", B"11010000",
B"11100001", B"00010011", B"11011100", B"11001100", B"11001111",
B"01011010", B"00001000", B"11100000", B"11111001", B"11011110",
B"00001100", B"11110111", B"11011000", B"10011000", B"11110111",
B"00101000", B"00000110", B"11111010", B"00010001", B"00000100",
B"00100110", B"11111010", B"11011011", B"00100011", B"11010001",
B"10101110", B"00010011", B"00100100", B"00100001", B"00100000",
B"11010000", B"11000000", B"00101011", B"00000010", B"11111010",
B"00111011", B"11111111", B"11110010", B"00000001", B"00011011",
B"00100111", B"00110101", B"11000000", B"00010100", B"11011010",
B"11101100", B"11000111", B"00011111", B"11111001", B"11111011",
B"00010011", B"11011000", B"00110101", B"11101010", B"11001100",
B"00010011", B"00001110", B"10111100", B"11001011", B"11101101",
B"00100110", B"11100001", B"00111101", B"00000110", B"11100101",
B"00011111", B"00010010", B"00001100", B"11101111", B"11110111",
B"00000100", B"00001011", B"00000110", B"11011111", B"00110101",
B"11100110", B"11001110", B"01110101", B"10111111", B"00000101",
B"00011101", B"11101110", B"01001110", B"00010010", B"11101010",
B"10100111", B"00111001", B"00111000", B"00011111", B"00001101",
B"11111000", B"11100110", B"11101111", B"11101100", B"11110101",
B"01000111", B"11010110", B"11011001", B"11110111", B"11000111",
B"01010100", B"11100010", B"11010110", B"00001000", B"11101110",
B"11010000", B"00000110", B"11110011", B"11111000", B"11101111",
B"00001010", B"00101100", B"11111000", B"11001111", B"11000101",
B"11101111", B"00110000", B"00000010", B"00101100", B"11110111",
B"11100110", B"00110010", B"11110100", B"11100110", B"11101010",
B"00100100", B"01110011", B"10111000", B"00110000", B"11101110",
B"10011100", B"11111111", B"00100010", B"11101001", B"00010100",
B"10110101", B"11101000", B"11110000", B"00001011", B"11010101",
B"11101010", B"11000100", B"00101101", B"00110001", B"11010100",
B"00100000", B"00010001", B"11101110", B"11100001", B"11001001",
B"00011100", B"00010110", B"11000110", B"00000011", B"00101011",
B"11100111", B"11011001", B"11101000", B"11111101", B"11010011",
B"00111100", B"01011010", B"00001111", B"11111100", B"00101011",
B"11001010", B"00011101", B"11100000", B"00011110", B"00000111",
B"00011101", B"10111001", B"00100111", B"11011011", B"00001001",
B"11101000", B"11101011", B"00001010", B"00000010", B"11111010",
B"11011000", B"00011111", B"10110011", B"00011101", B"00011011",
B"10111111", B"01011000", B"00001110", B"11100101", B"00001110",
B"01010110", B"11101010", B"11001101", B"00010100", B"10111111",
B"01001101", B"11100010", B"11111010", B"00001101", B"01011111",
B"11011011", B"11100100", B"11100100", B"11010110", B"11110101",
B"11111001", B"01000101", B"01000101", B"11011111", B"11101111",
B"01101100", B"00100100", B"00110110", B"00001101", B"10110000",
B"11011001", B"11100111", B"00111101", B"00110011", B"00011100",
B"11100101", B"00101101", B"11011110", B"00000000", B"01000110",
B"11101000", B"00001101", B"11001110", B"11010101", B"00000110",
B"00101111", B"00011001", B"11011011", B"11110101", B"11101010",
B"00100000", B"00001101", B"00001001", B"00110101", B"00001111",
B"00100001", B"00111111", B"10101100", B"11100100", B"00001111",
B"00010111", B"11100010", B"11111011", B"00111100", B"00001101",
B"11001111", B"00001110", B"00101010", B"11100010", B"11110101",
B"00101101", B"00001100", B"00110110", B"11111000", B"00100000",
B"00011001", B"11000010", B"01000100", B"00001011", B"10110000",
B"00011111", B"11100001", B"00101110", B"11110101", B"11101001",
B"01000111", B"00100100", B"00011011", B"11100110", B"11110001",
B"00111001", B"11100110", B"11010101", B"10111111", B"11100101",
B"11111100", B"00000110", B"00110001", B"11101111", B"11010010",
B"11111111", B"00011100", B"00110110", B"00011110", B"00000010",
B"00010100", B"00011111", B"00010110", B"01100001", B"00011101",
B"00010111", B"11111000", B"00001010", B"10100100", B"11100110",
B"00001110", B"00101011", B"00001110", B"11100001", B"11110111",
B"00000111", B"00010001", B"11101010", B"00011001", B"00001001",
B"11110101", B"10011000", B"00100100", B"10100110", B"11110100",
B"00010110", B"11010100", B"11011111", B"11010000", B"11101111",
B"11100011", B"00110010", B"11110110", B"11010000", B"00000100",
B"01010010", B"11000000", B"10011101", B"10111011", B"11110110",
B"00101010", B"00010000", B"00010011", B"00100010", B"10111001",
B"11000001", B"00000101", B"11001110", B"11111111", B"11111001",
B"11010010", B"00101100", B"00011100", B"01000001", B"01100111",
B"01001010", B"00010110", B"11100001", B"01111111", B"11001010",
B"00110011", B"10010110", B"11110111", B"11111111", B"00000011",
B"11001011", B"00011101", B"10111000", B"11000101", B"00000001",
B"11010011", B"00000100", B"11000110", B"11101011", B"11001111",
B"00110010", B"00001110", B"11001100", B"11100000", B"11111000",
B"10110110", B"11100111", B"00000110", B"11110010", B"11101011",
B"11101110", B"10111010", B"10110001", B"00011001", B"11110100",
B"00010101", B"00111001", B"11011110", B"11111110", B"00100110",
B"00011010", B"00000000", B"00101111", B"11011000", B"00101101",
B"10111110", B"00011011", B"11001001", B"01000110", B"11011000",
B"11100111", B"00010110", B"11010101", B"11110111", B"00011010",
B"10111100", B"10110000", B"11111100", B"00011100", B"00011111",
B"11110101", B"11101101", B"00100110", B"00010111", B"00011000",
B"11001011", B"00100100", B"00011111", B"11100000", B"00000000",
B"00100001", B"11110011", B"11100110", B"00100101", B"00000001",
B"00101000", B"00001101", B"11100010", B"00010101", B"00110001",
B"00010111", B"00100010", B"11011110", B"00101110", B"11000001",
B"01000001", B"00001110", B"11100111", B"10110111", B"11110001",
B"11110001", B"00101010", B"10111111", B"00101101", B"11110011",
B"00101010", B"11111110", B"11110100", B"11110110", B"11100100",
B"00001101", B"11000101", B"00100011", B"00010100", B"00101100",
B"00010110", B"11000101", B"11110100", B"11000000", B"00000000",
B"11010000", B"11101010", B"11011000", B"11111100", B"00111001",
B"01000100", B"00011001", B"00100110", B"11110010", B"11110110",
B"00101110", B"11110100", B"11111101", B"11010111", B"11100000",
B"01000110", B"00111101", B"11110110", B"00010000", B"10111111",
B"00010011", B"00101011", B"10111101", B"11110010", B"00101101",
B"00001001", B"11011001", B"11111100", B"10101101", B"11100101",
B"00110111", B"00101010", B"00101101", B"01011110", B"11001110",
B"00010010", B"11011100", B"11011111", B"11001110", B"00111000",
B"00101110", B"00001101", B"00011101", B"00010100", B"11111110",
B"00000001", B"00100001", B"00011010", B"11010100", B"00010001",
B"11101101", B"11001001", B"00010111", B"00100001", B"11110100",
B"00010110", B"11011111", B"00101100", B"00001011", B"00110010",
B"00001001", B"01100011", B"11110001", B"00110000", B"00011100",
B"00001110", B"00010010", B"11110111", B"11111000", B"00011000",
B"00010000", B"11111111", B"11001100", B"11100110", B"00000110",
B"01001101", B"00011001", B"00011001", B"01001000", B"11011110",
B"01011110", B"11110010", B"00100001", B"00000001", B"00100010",
B"11101100", B"00101100", B"00110101", B"00010010", B"11000100",
B"00011001", B"01000011", B"00001001", B"00010011", B"01000001",
B"00001110", B"01010100", B"11110000", B"00000011", B"11101010",
B"11101101", B"11011111", B"00100111", B"11100010", B"10101010",
B"00010101", B"11101111", B"01011111", B"11111111", B"11101000",
B"11000000", B"11101100", B"00101100", B"11001001", B"00110110",
B"00010000", B"00011001", B"11011110", B"11110111", B"10110110",
B"11110110", B"00000101", B"01000101", B"11000100", B"11101011",
B"11010111", B"00001100", B"00110100", B"11011101", B"01000001",
B"00011100", B"00001001", B"11010100", B"00011000", B"00100011",
B"10110011", B"00000101", B"11101110", B"00110011", B"01001111",
B"00000000", B"00110100", B"10101011", B"00110001", B"00001011",
B"11011001", B"11010101", B"10100100", B"00101000", B"01011000",
B"11001101", B"01011110", B"11001011", B"11101111", B"00001111",
B"00000001", B"11100111", B"11010010", B"01000111", B"00001011",
B"00110010", B"11110010", B"10110101", B"11101111", B"11001110",
B"00000100", B"00111100", B"11000101", B"11110110", B"00000000",
B"00100001", B"11000000", B"11111010", B"11110011", B"11101100",
B"11000000", B"00100111", B"11111100", B"11101001", B"01001001",
B"10110110", B"11001011", B"11111011", B"11101001", B"00100110",
B"01010010", B"11001010", B"10010001", B"00000011", B"11010011",
B"11110001", B"11011110", B"11111101", B"01001000", B"00010000",
B"00010110", B"01000111", B"00110001", B"00000010", B"00010111",
B"11101110", B"11100110", B"11111111", B"00000110", B"00011011",
B"00010111", B"11101101", B"00011001", B"11100110", B"00111110",
B"00011001", B"00101101", B"01011000", B"00110100", B"00000000",
B"00101110", B"00100101", B"00001001", B"11100100", B"11101101",
B"10110110", B"11001110", B"11101111", B"11010000", B"11100001",
B"11001111", B"00011100", B"11100111", B"11110011", B"00011010",
B"00110110", B"00110101", B"00000001", B"11111001", B"11011100",
B"11111000", B"00001100", B"11010010", B"11001101", B"11101000",
B"00001000", B"00010011", B"00011101", B"01001101", B"11001100",
B"00100101", B"00010011", B"01101100", B"00011000", B"00110011",
B"00010010", B"00101000", B"10111110", B"11010010", B"10110011",
B"01100101", B"11100001", B"11101000", B"11011110", B"11111010",
B"10101111", B"00000011", B"00110100", B"00000010", B"01010011",
B"11010100", B"00000100", B"00001011", B"00010011", B"11001001",
B"01001110", B"11111110", B"11110101", B"11000110", B"11011110",
B"00001101", B"00001001", B"00110111", B"00000001", B"00100110",
B"11010111", B"00000111", B"00110101", B"00100111", B"01000101",
B"01011001", B"00111100", B"01100111", B"11111101", B"00111011",
B"11010111", B"00110010", B"10101001", B"00001010", B"10111001",
B"00101110", B"00010010", B"10111111", B"00101110", B"11000100",
B"11010111", B"11001011", B"01010110", B"00110011", B"11100111",
B"00011101", B"00100101", B"11111000", B"11110001", B"00001101",
B"11111011", B"01110010", B"00001000", B"11100011", B"01001000",
B"00100001", B"00001101", B"00001010", B"00111010", B"00100011",
B"00101010", B"00111101", B"11111011", B"10111110", B"00001010",
B"11101011", B"11011101", B"00001011", B"11100011", B"00000010",
B"11010101", B"11011101", B"10111010", B"11001110", B"01111100",
B"11101101", B"00111101", B"00010010", B"11101100", B"00000011",
B"11011001", B"10101100", B"00001101", B"11001100", B"00001101",
B"11101010", B"10000010", B"11101001", B"00010101", B"00100101",
B"10100000", B"00011000", B"11110101", B"00100101", B"00110001",
B"00001011", B"11110110", B"00011010", B"00000010", B"11110000",
B"11101001", B"11101110", B"11001011", B"00001010", B"00101011",
B"01100001", B"00010010", B"00001100", B"00001110", B"10110010",
B"00101001", B"00010000", B"00101010", B"01010001", B"11011111",
B"10111101", B"11001110", B"00011100", B"11011000", B"10111001",
B"01000101", B"00000110", B"11101011", B"11100000", B"11001010",
B"00100111", B"00011000", B"00010101", B"00111110", B"00000111",
B"11111101", B"00101110", B"00010001", B"11010110", B"00010100",
B"00010000", B"00110100", B"10000100", B"00101011", B"11010101",
B"01010110", B"00100000", B"00000100", B"11100111", B"00101110",
B"01001101", B"11111000", B"11001011", B"00101001", B"00010100",
B"11110101", B"00101111", B"00000000", B"11010101", B"01000011",
B"11111111", B"11111011", B"11001011", B"11110010", B"00010001",
B"00000100", B"00110111", B"11011010", B"11000111", B"11011010",
B"11110110", B"00001010", B"11101001", B"01010011", B"00110111",
B"11111110", B"01000100", B"00111011", B"00111000", B"00001100",
B"00111001", B"00011100", B"00011100", B"00010011", B"00011000",
B"00111001", B"00011011", B"11111111", B"11100111", B"11001110",
B"11101000", B"10111000", B"00000111", B"11111100", B"00101110",
B"11001101", B"00101010", B"11101011", B"11100101", B"00101111",
B"00011100", B"11111010", B"11101101", B"11111000", B"00001110",
B"11011101", B"01000101", B"11010000", B"00101100", B"01001000",
B"00011110", B"00100110", B"00011000", B"11000000", B"00010011",
B"00101001", B"11110011", B"11001011", B"10111101", B"01000101",
B"00100011", B"11010000", B"11110011", B"11110100", B"11011110",
B"10101101", B"00011111", B"11100000", B"11100111", B"11110100",
B"11101011", B"11101110", B"00000101", B"11000001", B"11011010",
B"01001010", B"00101111", B"00010110", B"10101010", B"11011010",
B"11100101", B"11110101", B"01100100", B"00110101", B"11000001",
B"11111001", B"00001110", B"00101011", B"00110111", B"00110101",
B"00101010", B"00101000", B"00001110", B"00011010", B"00111000",
B"01000010", B"00010001", B"01000100", B"00010001", B"00101100",
B"00001110", B"11111101", B"00110100", B"00001100", B"01001110",
B"11011111", B"11011111", B"11100110", B"11101100", B"11010001",
B"00000000", B"01001101", B"00011101", B"11101000", B"11011110",
B"00010101", B"11111101", B"00000101", B"10011100", B"00000101",
B"11001011", B"00001101", B"11000101", B"00001001", B"00000000",
B"00000101", B"11010110", B"11011010", B"11000000", B"11111010",
B"00010000", B"11010000", B"00000110", B"00000111", B"00100111",
B"00010100", B"00001101", B"00101011", B"11100000", B"00100000",
B"11111111", B"00111001", B"00010110", B"00100111", B"10100100",
B"00111101", B"11110111", B"11111101", B"00011000", B"11111101",
B"00010101", B"11001110", B"00000100", B"00011110", B"00010011",
B"00011100", B"11101000", B"11010111", B"11011010", B"00011011",
B"11011010", B"00001010", B"00100111", B"11110100", B"00001100",
B"11011000", B"11100001", B"00101000", B"00010100", B"11110110",
B"01001110", B"11011100", B"00100001", B"11111111", B"10101011",
B"00101010", B"01110110", B"00010001", B"11110011", B"00010101",
B"11011100", B"11111110", B"11110011", B"01000110", B"00100110",
B"10111100", B"00110010", B"00111111", B"00010011", B"00101010",
B"00011000", B"00000001", B"11101111", B"00010111", B"00101101",
B"00110110", B"00000110", B"01010011", B"11100101", B"01100011",
B"00000010", B"00011110", B"01100111", B"10110001", B"00101101",
B"00110110", B"11001001", B"00001100", B"11110110", B"10010110",
B"00001110", B"00010000", B"11000111", B"00110100", B"11101000",
B"00001010", B"01001100", B"00010100", B"10100000", B"10110000",
B"00000001", B"11101000", B"11111011", B"10110000", B"11101001",
B"01000011", B"11100101", B"00001111", B"00001100", B"00001000",
B"00000100", B"00010001", B"00100111", B"11111010", B"00000110",
B"10010001", B"00010011", B"00001101", B"00010011", B"00101001",
B"10101000", B"11001011", B"11111111", B"11001000", B"11001010",
B"00000010", B"11001001", B"00011010", B"10101110", B"00101100",
B"11010110", B"10110101", B"00000011", B"11011011", B"00111100",
B"00011100", B"11111111", B"11111011", B"00100101", B"10101001",
B"11100100", B"00000010", B"00000111", B"11011001", B"11101010",
B"11010001", B"01100010", B"00001110", B"11000110", B"10111010",
B"00100001", B"00011101", B"00000101", B"11101111", B"00110101",
B"11101010", B"00011110", B"11000111", B"00111000", B"11000001",
B"11111100", B"11011010", B"00001001", B"11001111", B"00001001",
B"00100111", B"10111111", B"00011010", B"00001011", B"10111011",
B"11101101", B"00010111", B"00100111", B"11011001", B"01000100",
B"00000001", B"00010001", B"10110010", B"11100010", B"00100000",
B"00000111", B"01000100", B"10100010", B"11111110", B"00000100",
B"11101101", B"11000101", B"00110100", B"11010101", B"11111001",
B"11010000", B"01000010", B"00100111", B"11100111", B"00010101",
B"00110011", B"10110101", B"11110011", B"10101110", B"00001101",
B"11101101", B"11100001", B"11011010", B"11011100", B"11111001",
B"10101110", B"00110011", B"11101101", B"00110000", B"00111010",
B"00110001", B"01010101", B"11110010", B"00001010", B"11110011",
B"11100110", B"00100110", B"00011001", B"11010001", B"10110011",
B"11001001", B"01001000", B"00101000", B"11100100", B"00110101",
B"00000110", B"11111111", B"01000110", B"10111111", B"00111000",
B"00011001", B"11110000", B"11001010", B"00110011", B"11111111",
B"00000110", B"00101111", B"00111001", B"11101001", B"00111110",
B"10101111", B"00000001", B"00011001", B"11010100", B"00010001",
B"00111011", B"10101111", B"00101000", B"00001110", B"00000010",
B"00011101", B"01100010", B"11010001", B"01000010", B"01000111",
B"11110101", B"11001111", B"11100110", B"10011000", B"01011101",
B"11110011", B"01000111", B"11100100", B"10110011", B"00110010",
B"01000010", B"10110000", B"00100010", B"00001100", B"00001100",
B"10111110", B"10111000", B"00010110", B"00000000", B"11010101",
B"00001110", B"00001100", B"00000110", B"11001001", B"11001001",
B"01000001", B"00001111", B"00011100", B"00001000", B"00101100",
B"11011100", B"11100111", B"11101010", B"00010001", B"11001110",
B"00101001", B"01010110", B"11010010", B"01011010", B"00010100",
B"11101101", B"01011100", B"00110100", B"01000101", B"00110010",
B"00010110", B"00110000", B"00010001", B"00011000", B"11111110",
B"00111100", B"11000101", B"00110111", B"11110111", B"01011010",
B"00011000", B"00110000", B"00111110", B"11111101", B"00001100",
B"10011000", B"00000011", B"11011101", B"11100001", B"10100101",
B"01000011", B"00110101", B"11001101", B"01101011", B"11101001",
B"11000000", B"11001010", B"00101100", B"11111011", B"11010101",
B"00111010", B"01111001", B"01010110", B"00101111", B"00000000",
B"01001000", B"00100000", B"00011001", B"00101011", B"11101101",
B"00110100", B"00101000", B"11000011", B"11110100", B"00111111",
B"11101110", B"11000111", B"11111011", B"11111010", B"00000000",
B"00001110", B"00110100", B"11100000", B"00101000", B"11111000",
B"11100011", B"11010111", B"11101111", B"00111000", B"00111100",
B"00001101", B"00011110", B"01000110", B"11011110", B"00100001",
B"00111101", B"00000010", B"00100101", B"00010010", B"11001100",
B"00001010", B"00011100", B"00000001", B"00010101", B"11101011",
B"10110001", B"01000001", B"10111101", B"11011010", B"11001000",
B"10011010", B"10100111", B"00100001", B"01011011", B"00000100",
B"00110011", B"11011111", B"00001100", B"00000010", B"00110011",
B"00000100", B"00001111", B"11101001", B"00110110", B"11010001",
B"10111001", B"11000100", B"01000001", B"00111111", B"11100010",
B"01001001", B"11101010", B"00010001", B"00110101", B"10101001",
B"00001100", B"11100110", B"00111100", B"00101110", B"11111111",
B"11011000", B"10010011", B"11000011", B"11101100", B"00011000",
B"11011010", B"01000010", B"11010110", B"10100001", B"01000100",
B"10111101", B"00011001", B"10110001", B"00110001", B"01000101",
B"11100111", B"00110001", B"01010101", B"11100101", B"00000011",
B"01100100", B"00001001", B"00010101", B"00000000", B"11000111",
B"11010111", B"01001000", B"00011011", B"00101100", B"00001111",
B"00000100", B"10111100", B"11111110", B"00111100", B"11001001",
B"10110000", B"01001000", B"00001110", B"11010100", B"11010100",
B"00011111", B"00010010", B"00101110", B"00100111", B"01000010",
B"00100000", B"11110011", B"00001001", B"10111111", B"00011000",
B"00010001", B"11011011", B"11001101", B"00100100", B"01100111",
B"11111011", B"11001000", B"11011001", B"00001111", B"00101110",
B"01001000", B"11100011", B"11001011", B"11001101", B"00100000",
B"00001011", B"11011101", B"00110010", B"00111101", B"11011101",
B"11001011", B"00001110", B"00011000", B"00100001", B"00111101",
B"01000010", B"11110100", B"00010011", B"11111000", B"00010010",
B"11001101", B"00011001", B"11011011", B"11100110", B"11010111",
B"00000111", B"00011100", B"11110110", B"00110011", B"11101110",
B"11111001", B"00011010", B"10101011", B"11111000", B"10110111",
B"10111011", B"10101001", B"00010011", B"00010000", B"00111101",
B"11101110", B"00100111", B"10110001", B"01001011", B"00000111",
B"11001100", B"10100001", B"11100101", B"00110110", B"00001000",
B"00001100", B"00101011", B"11101111", B"11110101", B"11010110",
B"01000010", B"11000101", B"00101000", B"00000110", B"00100101",
B"00101010", B"11100111", B"11100000", B"00011011", B"11010111",
B"11101011", B"00000101", B"11100000", B"11101001", B"11010000",
B"00010001", B"00001011", B"01100110", B"00100011", B"11011011",
B"00001011", B"11111100", B"00001001", B"11110100", B"10111100",
B"11101000", B"11010001", B"00010100", B"11001100", B"11011000",
B"10010110", B"01010110", B"11001000", B"01000100", B"10111000",
B"11011000", B"01010001", B"11011100", B"00011011", B"00100110",
B"11011101", B"11100101", B"11110000", B"11001101", B"11011011",
B"11111100", B"00101001", B"11011101", B"01000000", B"00011111",
B"11010000", B"10110100", B"01010101", B"00011101", B"11110001",
B"00011110", B"11011110", B"11010101", B"01000101", B"01100111",
B"11101111", B"11110011", B"11110111", B"00010000", B"11010010",
B"11011110", B"11101101", B"00000110", B"00110001", B"01101000",
B"11110011", B"00101011", B"11000010", B"11011010", B"00000001",
B"00001011", B"00001111", B"11001000", B"01001001", B"11111001",
B"11111011", B"11110000", B"11101010", B"01010010", B"11101101",
B"00100011", B"00001101", B"00111010", B"01000010", B"00000100",
B"00001100", B"11101001", B"00100000", B"11111110", B"11110001",
B"01010010", B"00001011", B"00111000", B"00000110", B"00001111",
B"00011101", B"00000001", B"00000101", B"00010000", B"01000001",
B"11110101", B"11101111", B"11011011", B"00100110", B"11111011",
B"11100110", B"00001100", B"01001110", B"00110110", B"11111010",
B"11001011", B"11101100", B"01000011", B"11110001", B"00000101",
B"00110101", B"11111010", B"11100011", B"11011010", B"11011101",
B"00110000", B"11111101", B"11001011", B"00111001", B"11111111",
B"11111111", B"11000001", B"11001110", B"11001011", B"11111010",
B"00010100", B"00010111", B"00010010", B"00011010", B"11011101",
B"10110110", B"00101101", B"01010111", B"11100010", B"11001111",
B"11011000", B"01000110", B"11001100", B"11100001", B"00110110",
B"11110000", B"11000110", B"11110100", B"00010000", B"11110000",
B"10100101", B"00100001", B"00010001", B"00110110", B"00100010",
B"11011011", B"00101100", B"00001000", B"01010000", B"00011100",
B"00010101", B"10110100", B"01111111", B"00110001", B"11010100",
B"00100010", B"00010011", B"11110100", B"11111011", B"00001010",
B"10111101", B"11100000", B"01000001", B"11110111", B"00001000",
B"01001111", B"11101001", B"11110110", B"11101101", B"00100111",
B"11010111", B"10111000", B"00110100", B"00111000", B"00001010",
B"00100100", B"11100011", B"01000011", B"11111000", B"10011101",
B"11110111", B"00110011", B"11110111", B"11001110", B"01010101",
B"11001011", B"11101010", B"11110010", B"00010001", B"00110111",
B"00101101", B"11001111", B"11101000", B"00111010", B"11100100",
B"11111011", B"11110101", B"11001111", B"11111010", B"11111001",
B"00100101", B"01001000", B"11100111", B"00110000", B"11111101",
B"11111111", B"01011011", B"00010000", B"00101011", B"11101101",
B"00100101", B"11010100", B"00001000", B"00100000", B"00101001",
B"10101011", B"00000110", B"00001111", B"00000001", B"01100100",
B"11001100", B"11011010", B"11001011", B"11000001", B"11110100",
B"11010101", B"00011010", B"00010101", B"00100000", B"00000111",
B"00110011", B"00010111", B"10011001", B"10110110", B"00010010",
B"01000111", B"00001110", B"11111111", B"11110001", B"11111000",
B"11111011", B"11011110", B"11101101", B"00010011", B"11010111",
B"00000000", B"11010101", B"00101000", B"00100100", B"11110101",
B"11001100", B"10100111", B"00000011", B"00000011", B"00101000",
B"00000011", B"10111000", B"11011010", B"11000110", B"01011100",
B"11110111", B"11111010", B"00000101", B"10111010", B"11110001",
B"00100000", B"10001011", B"11011100", B"10111010", B"11000000",
B"11100000", B"11011101", B"00100001", B"00011100", B"00110110",
B"00001001", B"11010111", B"11101101", B"11110011", B"00000101",
B"11010100", B"00100001", B"00001101", B"00011101", B"00110010",
B"00010010", B"11101001", B"11111110", B"00010000", B"11000100",
B"11001100", B"00000111", B"11101011", B"10010000", B"00001011",
B"01000001", B"11100101", B"11100001", B"00100011", B"00000110",
B"11001110", B"10111100", B"11111110", B"00001111", B"11111111",
B"00100111", B"00101001", B"01010100", B"01000101", B"11110101",
B"00010111", B"01001111", B"11101011", B"00010001", B"11111011",
B"11110011", B"11110101", B"01011010", B"00010000", B"11111010",
B"11011101", B"00011011", B"11011010", B"11101110", B"11110110",
B"00000110", B"11110000", B"11111001", B"00101010", B"01000001",
B"00110010", B"00100100", B"11110000", B"00001001", B"11001010",
B"11101110", B"11101111", B"00111010", B"00100010", B"00001110",
B"11101110", B"11001111", B"11010110", B"11110010", B"00111101",
B"10111101", B"00101011", B"11010010", B"11010001", B"00100101",
B"00111000", B"10011111", B"00110100", B"01000110", B"11011111",
B"00111100", B"00011011", B"11100101", B"00111000", B"00001001",
B"11100101", B"11011010", B"00110111", B"11010010", B"11100000",
B"00111010", B"11011001", B"00000001", B"10111010", B"00100000",
B"00101011", B"11100101", B"00110100", B"10100001", B"11110101",
B"01000010", B"11101110", B"11011111", B"11110011", B"00100000",
B"11111111", B"00010101", B"00100111", B"01000111", B"00100100",
B"00001110", B"00111010", B"00011001", B"01000000", B"00001001",
B"10011011", B"00001000", B"11010111", B"11101000", B"11010001",
B"00101101", B"01001110", B"11100110", B"00111111", B"01001011",
B"00111111", B"00000001", B"11100110", B"00010101", B"00100100",
B"11101010", B"11001011", B"00000011", B"00001001", B"00111110",
B"00010101", B"00000011", B"00011111", B"01000101", B"00100010",
B"00010010", B"11011000", B"11100011", B"00000111", B"00001110",
B"11110011", B"11011011", B"00100010", B"01001001", B"00010110",
B"10111000", B"00001001", B"00000011", B"00100101", B"10110001",
B"00111100", B"11111100", B"10111100", B"11100000", B"00010000",
B"00010111", B"11010100", B"01001110", B"00111010", B"11011010",
B"01001010", B"00100010", B"11000100", B"01001011", B"00110110",
B"00000000", B"00111111", B"11111101", B"11111011", B"11001101",
B"00100101", B"00010100", B"11111011", B"11100001", B"10111111",
B"11101110", B"00000111", B"00001101", B"00011011", B"00100011",
B"11011010", B"11001110", B"11001100", B"00110110", B"00011110",
B"11111011", B"10101100", B"11100001", B"10111000", B"00001111",
B"11111100", B"11011000", B"11110111", B"11101001", B"11011000",
B"11010011", B"10111001", B"01001100", B"00010001", B"11100100",
B"00000010", B"00100110", B"11101001", B"00100011", B"00010010",
B"11010111", B"00001111", B"11111110", B"01011101", B"11101101",
B"01000010", B"11101010", B"00000011", B"00100011", B"11101110",
B"00001011", B"11101001", B"00100101", B"00011111", B"11010001",
B"11100011", B"00110111", B"11111110", B"11111110", B"11101100",
B"11100100", B"11100101", B"00110100", B"00001101", B"10111101",
B"11110101", B"11111010", B"00001110", B"00000101", B"10110011",
B"11101111", B"00001101", B"11011000", B"11110000", B"00001110",
B"11011111", B"11001001", B"10011000", B"11110100", B"00111100",
B"11111001", B"00001110", B"00111000", B"11011001", B"10111111",
B"00101010", B"00000011", B"00100100", B"11100111", B"11011001",
B"11100011", B"00011000", B"00010000", B"11111011", B"00100000",
B"11100111", B"00110111", B"00000110", B"01001101", B"00001111",
B"00101100", B"11110001", B"01001111", B"11000111", B"11110010",
B"00111110", B"01011100", B"11010010", B"01000110", B"11100111",
B"00001101", B"11101000", B"00101011", B"11100100", B"00011101",
B"11100001", B"11110011", B"11101010", B"11110110", B"01001110",
B"01000100", B"00111101", B"01000010", B"10111000", B"00101101",
B"00101111", B"11100110", B"11011110", B"11111111", B"00000110",
B"00000100", B"11111010", B"01001111", B"00001011", B"11100000",
B"11000000", B"00001011", B"11110110", B"11010110", B"11110100",
B"01010011", B"00010110", B"01000110", B"11111001", B"11101000",
B"11010001", B"11101101", B"11100001", B"00110100", B"11111101",
B"10111010", B"00100111", B"11011101", B"00000111", B"00100011",
B"10110111", B"00010101", B"00011000", B"10101010", B"11101011",
B"00101111", B"00010010", B"10110111", B"11010101", B"00011100",
B"11110111", B"00000011", B"11000101", B"11110110", B"11111111",
B"00100111", B"00011000", B"10110000", B"11110100", B"11101001",
B"01011111", B"11001011", B"11100011", B"11001101", B"11111101",
B"11001001", B"11011111", B"00110101", B"11111000", B"00101100",
B"10111001", B"10110111", B"11101111", B"11110111", B"00101111",
B"11111100", B"11011111", B"00001000", B"11001101", B"00000001",
B"00100011", B"11000010", B"01011110", B"11111101", B"11110000",
B"11110100", B"11101001", B"10111100", B"11001010", B"11101111",
B"00100101", B"00110111", B"00100001", B"11110101", B"00111011",
B"00011110", B"00001101", B"00010111", B"00000011", B"11100001",
B"11010100", B"00001101", B"00011111", B"00000000", B"00011101",
B"11010110", B"11111001", B"11111001", B"00101101", B"10100110",
B"00100100", B"01000111", B"11110011", B"10111100", B"11110110",
B"01000110", B"11111111", B"10100011", B"01001010", B"11001000",
B"11000101", B"00101000", B"11010000", B"10110010", B"11101010",
B"00010000", B"00011011", B"00010010", B"00001001", B"00111001",
B"11011010", B"01000111", B"00011101", B"11011011", B"00000100",
B"11010101", B"00000101", B"10111101", B"11010110", B"10101110",
B"00000010", B"00010010", B"10011101", B"00110111", B"00001100",
B"11111001", B"00011000", B"10111011", B"11100000", B"11111001",
B"11110110", B"00100000", B"11100110", B"11100110", B"00111101",
B"00010001", B"11001001", B"11110101", B"00010110", B"01000111",
B"00111000", B"00100100", B"11011111", B"00000000", B"00010100",
B"11010011", B"11111101", B"11110111", B"01101001", B"11110011",
B"11011111", B"11100110", B"00100010", B"00100000", B"11000001",
B"11100100", B"11000101", B"11101000", B"00110000", B"00111101",
B"00011010", B"00011001", B"00010011", B"00100101", B"10101110",
B"00111101", B"11111011", B"11111110", B"11100010", B"01100110",
B"11101000", B"11100010", B"11011101", B"00000000", B"11110100",
B"11001111", B"00000111", B"11101111", B"11100110", B"01010011",
B"11011011", B"00110010", B"11100111", B"11101010", B"00111100",
B"00100000", B"11100110", B"11000110", B"11100001", B"11111010",
B"11111110", B"00110110", B"00110001", B"01000111", B"11111000",
B"11111101", B"11111101", B"11000001", B"00011011", B"00000010",
B"11000101", B"11101010", B"11111101", B"11001010", B"00101100",
B"11100100", B"01010100", B"11010110", B"11101100", B"00010001",
B"11100011", B"01001100", B"00010010", B"11011000", B"11110111",
B"00111000", B"10100000", B"10001110", B"00010011", B"11111010",
B"00111101", B"00100100", B"00101101", B"00010010", B"00001100",
B"01000110", B"00100101", B"11111111", B"00100101", B"00011010",
B"11001110", B"10100011", B"00100001", B"00001001", B"00011010",
B"00100011", B"00001111", B"11100110", B"00101000", B"00011000",
B"11000010", B"11100011", B"00001110", B"00000110", B"00111100",
B"00110000", B"11001001", B"00010001", B"00100000", B"11101110",
B"00010000", B"11101101", B"00010001", B"10111110", B"11101001",
B"00101010", B"01001110", B"00100011", B"11101010", B"11011110",
B"00010101", B"10111011", B"00011100", B"00011110", B"11100110",
B"00011000", B"10100110", B"11100010", B"01011100", B"00100000",
B"11111100", B"11010000", B"00000010", B"10101101", B"00110011",
B"00101111", B"00110010", B"11110100", B"00110000", B"00101010",
B"00010001", B"00100101", B"00010010", B"11110100", B"11111000",
B"00100010", B"01010010", B"11010100", B"11001101", B"00011011",
B"11111000", B"11000001", B"00111111", B"11111001", B"00111111",
B"10110100", B"10100101", B"00010110", B"00001000", B"00010000",
B"11110010", B"00000111", B"11101000", B"11000111", B"10111111",
B"00011100", B"11100111", B"10101110", B"00010011", B"00110010",
B"00101111", B"00010010", B"11101111", B"00001101", B"11001100",
B"11000110", B"11101011", B"00111001", B"00000011", B"11010011",
B"11110101", B"11100101", B"11001000", B"11111011", B"00000001",
B"00001110", B"01011101", B"11011100", B"00011101", B"00010011",
B"01001011", B"00111101", B"11100001", B"11100001", B"00000100",
B"00010100", B"11110100", B"11010110", B"00100100", B"10110101",
B"01000011", B"11011010", B"11001110", B"10110110", B"00111101",
B"11111111", B"11101101", B"00100010", B"11101100", B"11011000",
B"11101110", B"11010100", B"10111110", B"11000001", B"00011010",
B"11100101", B"11100010", B"11110010", B"11011011", B"00010011",
B"00011000", B"01001010", B"01001101", B"11111011", B"11101011",
B"00000100", B"01010000", B"11110011", B"01000110", B"11011000",
B"00110001", B"00111011", B"11100000", B"11110110", B"00001101",
B"11111011", B"11100110", B"11000011", B"11000001", B"11110110",
B"00001110", B"00000001", B"11010101", B"00101010", B"11100000",
B"11011111", B"11011000", B"00011001", B"11011101", B"00010110",
B"11110010", B"11100000", B"11111011", B"00011001", B"00111000",
B"01010110", B"00010010", B"10111000", B"00001101", B"11010011",
B"11111010", B"11111110", B"11011110", B"11111100", B"00010000",
B"01000000", B"00010000", B"00100100", B"11111100", B"11001010",
B"11100011", B"00110111", B"10110110", B"00011011", B"11100000",
B"11100101", B"00101001", B"00101010", B"00100110", B"11010111",
B"11101110", B"00001011", B"10111001", B"10100100", B"11100100",
B"11111100", B"00010001", B"11101001", B"00101011", B"00011000",
B"11101010", B"00010101", B"00111101", B"00000001", B"00111010",
B"00010101", B"11010001", B"11110110", B"00011110", B"00011000",
B"11010100", B"00111101", B"00111001", B"11001001", B"00001011",
B"11111011", B"11011011", B"00010001", B"00100110", B"00101000",
B"01001011", B"00110101", B"11001100", B"00101111", B"00111010",
B"11000011", B"10111100", B"00111010", B"11111001", B"01000110",
B"11001011", B"10000010", B"11011010", B"00001000", B"01100111",
B"11110011", B"00011111", B"11001010", B"11011000", B"11110101",
B"00100000", B"11100010", B"00001100", B"01000111", B"11010001",
B"11011101", B"11100000", B"00010111", B"11111101", B"10110001",
B"00100000", B"00011100", B"00010111", B"11011101", B"00101100",
B"11111110", B"00000010", B"00000100", B"00011101", B"11111010",
B"00001111", B"11100110", B"11100110", B"00100101", B"10111001",
B"11101010", B"11101110", B"11000110", B"11110010", B"11110010",
B"00001110", B"10110000", B"11010111", B"10110000", B"11000110",
B"11001001", B"00111010", B"00001000", B"10111100", B"00101111",
B"00010111", B"10111110", B"11100011", B"11110010", B"01011010",
B"00010000", B"11101100", B"00100100", B"11010111", B"01000110",
B"11000101", B"11110110", B"00111000", B"11011001", B"00000110",
B"11001001", B"11010110", B"00101110", B"11100111", B"00110000",
B"11000011", B"00011001", B"00111110", B"00100100", B"10111110",
B"00000100", B"10111101", B"01101110", B"00100111", B"00100000",
B"11111010", B"11001001", B"00001110", B"11101000", B"11101101",
B"11010000", B"11101110", B"11000111", B"11111100", B"11101001",
B"11101100", B"00111000", B"11000000", B"00100111", B"11110000",
B"11110010", B"01000011", B"11010011", B"01000100", B"11110010",
B"11001100", B"00101001", B"00010000", B"10110100", B"11110000",
B"00010000", B"11001010", B"11011110", B"01011011", B"11010110",
B"00001101", B"00100110", B"11101101", B"00010010", B"10111000",
B"00001111", B"00110010", B"00000000", B"11001001", B"11100011",
B"11010100", B"11100111", B"00101001", B"00111000", B"11110000",
B"00111110", B"11100110", B"00010110", B"10110000", B"00010111",
B"00001000", B"11111100", B"00011000", B"00110011", B"00000101",
B"11100100", B"00110101", B"11101100", B"00001101", B"00110111",
B"00110011", B"01011010", B"11100010", B"11000010", B"00101101",
B"00001100", B"00101100", B"11110010", B"11101100", B"11001010",
B"00101110", B"11110011", B"11011111", B"00000111", B"10010100",
B"00000000", B"11100110", B"00001111", B"00010011", B"00101110",
B"11101000", B"00001100", B"00100111", B"00011011", B"00001101",
B"01111001", B"11011100", B"00101101", B"11100010", B"11101100",
B"11110110", B"00101101", B"00110110", B"00011011", B"00100110",
B"00110100", B"00110111", B"11100010", B"00100011", B"11001111",
B"00111011", B"11001001", B"11100101", B"00100010", B"00100100",
B"00111010", B"00010000", B"11101101", B"11100001", B"00001011",
B"00111100", B"00110001", B"00011101", B"00011010", B"11110110",
B"00100111", B"01011101", B"11110101", B"11011010", B"00101111",
B"11010010", B"11010011", B"11001101", B"00101100", B"00001111",
B"10111100", B"00001100", B"00001100", B"00011110", B"01100001",
B"01000001", B"00110111", B"11101001", B"00010100", B"00001101",
B"00001011", B"00011011", B"11111110", B"11100101", B"00000001",
B"00110010", B"00101101", B"01001010", B"00101100", B"00000101",
B"11011000", B"00100111", B"11100001", B"00110111", B"10111100",
B"11110110", B"11110010", B"11001011", B"11011110", B"01011101",
B"00011101", B"11111111", B"00101010", B"00100111", B"00100011",
B"00110001", B"00111000", B"01000101", B"00011011", B"11101001",
B"00111111", B"11100001", B"11010001", B"00000011", B"10110000",
B"00000011", B"11110111", B"10111000", B"11111111", B"11100000",
B"11011000", B"00101010", B"11011000", B"00101011", B"11000111",
B"10100001", B"01000110", B"00110010", B"00111000", B"11100101",
B"01011100", B"01001111", B"11111010", B"10000000", B"00101011",
B"00000101", B"00000110", B"11010101", B"00111100", B"10110011",
B"01001110", B"00001010", B"11110010", B"11101100", B"11011100",
B"00000001", B"00001000", B"00000101", B"11101011", B"00101001",
B"11110110", B"11111000", B"10110001", B"11011111", B"00101000",
B"00010101", B"11010010", B"00001101", B"11101110", B"00111001",
B"11110011", B"00101111", B"11110000", B"01001000", B"11011101",
B"00000101", B"00001100", B"00011100", B"00110100", B"10100110",
B"11101111", B"00110001", B"10111001", B"11011001", B"00110000",
B"11101111", B"01000001", B"11011001", B"11100110", B"10100000",
B"00110011", B"00100010", B"00000000", B"01000111", B"01001100",
B"11010110", B"00001011", B"00101001", B"00010011", B"10111110",
B"10110110", B"10100111", B"11100001", B"11110010", B"00011111",
B"00011010", B"11011101", B"11110010", B"01010100", B"00101010",
B"10111010", B"01001000", B"11011111", B"10110101", B"00011110",
B"00111111", B"00110001", B"10011101", B"00011000", B"11100010",
B"11110000", B"10011000", B"00011010", B"01100111", B"11100101",
B"10111111", B"00010011", B"00011111", B"00001100", B"00101110",
B"00110101", B"11011111", B"11010101", B"11111011", B"00001001",
B"00000111", B"00001110", B"00011001", B"00011001", B"10110011",
B"11011100", B"11110110", B"01010100", B"11110011", B"00001011",
B"11101101", B"11011110", B"11011101", B"10111001", B"00110011",
B"11111100", B"00110010", B"00100011", B"11110000", B"11101110",
B"00111111", B"00000000", B"00011111", B"00001111", B"01001101",
B"00101111", B"00111110", B"11101110", B"00100110", B"00000001",
B"00110101", B"11111011", B"11101001", B"11110010", B"11000100",
B"00001111", B"11001010", B"11110100", B"11010111", B"11101001",
B"11000111", B"00010011", B"11101101", B"11010101", B"00110101",
B"00001011", B"00010111", B"11101000", B"01000011", B"00101110",
B"11001001", B"00000000", B"11000111", B"01000001", B"00111110",
B"01011101", B"11011111", B"00000011", B"00010110", B"11000100",
B"00010011", B"00000100", B"00001010", B"11110010", B"11101001",
B"00010001", B"11110001", B"00011001", B"00000010", B"10101000",
B"11110101", B"11010110", B"00111011", B"00011010", B"00001110",
B"00001111", B"11010001", B"10101111", B"11000110", B"00010100",
B"00010111", B"11100001", B"11101010", B"11111011", B"00011000",
B"10011111", B"00001011", B"11111000", B"10110101", B"10111110",
B"11101101", B"00101100", B"11100111", B"00010000", B"11110000",
B"00110101", B"00001010", B"11000110", B"11110010", B"00000110",
B"11110011", B"10011111", B"00100100", B"11110010", B"00011000",
B"10111011", B"11011010", B"11101111", B"00101101", B"11110110",
B"01001111", B"11110000", B"00010010", B"00110001", B"00101101",
B"01001011", B"00111001", B"00010000", B"00001000", B"11001000",
B"11001111", B"00001001", B"00011111", B"11010011", B"00010000",
B"10110011", B"11000001", B"01100011", B"01100000", B"00010000",
B"11001100", B"00100011", B"11100000", B"00000010", B"10110011",
B"00000100", B"11010111", B"11000101", B"11110100", B"00001111",
B"00001011", B"00010100", B"00101011", B"11000000", B"11111111",
B"11010110", B"11011000", B"00100011", B"11010000", B"01101000",
B"00010011", B"11011101", B"00011001", B"11010101", B"11101101",
B"11101011", B"00000100", B"11111111", B"11011101", B"00100110",
B"00010000", B"10111011", B"00101010", B"11100110", B"10110110",
B"00100110", B"00010111", B"10001011", B"00101111", B"00001001",
B"11100011", B"10101001", B"00000100", B"00111101", B"11010111",
B"11101101", B"00001111", B"11110111", B"00101011", B"00110000",
B"01100000", B"01001011", B"11111010", B"11101001", B"00000110",
B"01000001", B"00010111", B"00110010", B"11011101", B"11000011",
B"00110000", B"00000101", B"11001100", B"11100001", B"11110010",
B"00001111", B"11011100", B"01010101", B"11110111", B"00000010",
B"00110011", B"11101101", B"00010011", B"11011100", B"11111000",
B"10111001", B"11100000", B"11110010", B"11100011", B"00111011",
B"01100111", B"10111100", B"00010101", B"11111001", B"11011000",
B"00011100", B"00011011", B"11110110", B"00000111", B"11101101",
B"00000011", B"00000111", B"00000010", B"11111101", B"11111000",
B"11011100", B"11001111", B"01011000", B"01100110", B"10111110",
B"11011101", B"01001010", B"10010001", B"11110111", B"11011000",
B"11011001", B"00110010", B"00011001", B"11100100", B"11101001",
B"00000111", B"10101111", B"01000110", B"00001000", B"11100011",
B"11000111", B"10111110", B"11010111", B"11010101", B"11010110",
B"11111000", B"00001001", B"10100111", B"00011111", B"00001110",
B"11111110", B"00101011", B"11100000", B"00100111", B"11000011",
B"11111100", B"01010010", B"01010011", B"10111011", B"01000000",
B"11111011", B"00001111", B"11001101", B"11111000", B"00100110",
B"11101010", B"10100100", B"00011101", B"00100010", B"10110110",
B"00010011", B"00011100", B"00010010", B"11001011", B"11011100",
B"11110100", B"01001110", B"00001101", B"11010100", B"00010110",
B"11001111", B"10110001", B"01001000", B"11010100", B"00001001",
B"11100111", B"00000101", B"01000000", B"11010011", B"10010001",
B"11110111", B"11110100", B"11110111", B"11101100", B"00011100",
B"00001111", B"11100110", B"11111001", B"11110000", B"00010011",
B"11011100", B"00111010", B"11010101", B"11111000", B"11100001",
B"00100000", B"11100101", B"11110100", B"11001001", B"11001111",
B"11011101", B"10111110", B"00110101", B"00100100", B"11010110",
B"00000010", B"11001100", B"10111100", B"00100100", B"00011100",
B"00101011", B"11110010", B"01010100", B"00000000", B"01011000",
B"11001000", B"11011011", B"00010010", B"01000110", B"00001001",
B"11111101", B"00100011", B"10010101", B"11111011", B"11011011",
B"00000010", B"00011011", B"10100000", B"10010010", B"01000100",
B"11011110", B"01000001", B"10101111", B"11011101", B"11101100",
B"11010110", B"00101111", B"00000101", B"00011100", B"11001110",
B"01110011", B"11111100", B"00110011", B"10010000", B"11000100",
B"00011001", B"00001101", B"01001001", B"11101000", B"11000101",
B"00011010", B"00011000", B"00111101", B"11111110", B"11000000",
B"01010000", B"11010001", B"00100001", B"11101100", B"10111000",
B"11000011", B"10111011", B"01000001", B"00101111", B"11101001",
B"00111010", B"00000010", B"00111100", B"11000100", B"00010111",
B"11011111", B"11000001", B"11001100", B"01100100", B"01001001",
B"00011110", B"11100000", B"01001011", B"01110000", B"00001000",
B"00001110", B"00100111", B"11100000", B"00011110", B"00010011",
B"11101111", B"11101100", B"00100001", B"11110000", B"00001100",
B"11011101", B"00010001", B"00101000", B"11100001", B"00101100",
B"00011010", B"11001010", B"00001010", B"00110111", B"11110001",
B"11101001", B"11010001", B"11101010", B"11101100", B"00100000",
B"11101101", B"00000001", B"00100000", B"00100000", B"01001011",
B"11010001", B"11001011", B"11100000", B"11011011", B"11000111",
B"10111001", B"00101010", B"01000010", B"11100011", B"11111100",
B"11001000", B"11010001", B"11111001", B"11100110", B"11100001",
B"11000101", B"00100010", B"00000101", B"00001011", B"00010001",
B"11011011", B"11000001", B"11011010", B"11110111", B"11010000",
B"00010110", B"11101011", B"11000111", B"00100001", B"00101001",
B"00000011", B"11110001", B"11101111", B"11100001", B"11001011",
B"00001010", B"00010000", B"11010000", B"11011001", B"00001101",
B"00110101", B"10110111", B"11111001", B"11010010", B"10111100",
B"00111110", B"00110010", B"11111100", B"11100100", B"00011100",
B"11011100", B"11100111", B"11100000", B"11011001", B"11100111",
B"11101111", B"11001001", B"00000010", B"11011110", B"10111111",
B"00001110", B"00010100", B"01000010", B"11110001", B"11011011",
B"11110100", B"11100011", B"11110011", B"00100011", B"00001010",
B"10110110", B"00100000", B"10011000", B"11010100", B"01011011",
B"11111100", B"11111110", B"00001101", B"11101001", B"10111011",
B"01001011", B"11011100", B"11001111", B"11001101", B"00001010",
B"00111000", B"01001011", B"00011100", B"11111001", B"00101101",
B"00010010", B"00011000", B"11001101", B"00001010", B"00000111",
B"10111111", B"11111010", B"00011001", B"11101010", B"11101110",
B"11100110", B"11010010", B"00000011", B"11010100", B"11110001",
B"00010100", B"00110101", B"11100011", B"11101011", B"11011011",
B"11011101", B"00000111", B"11110000", B"11001000", B"11101111",
B"11010011", B"01001010", B"11010111", B"11010001", B"00100101",
B"10110010", B"00010100", B"11111100", B"11001010", B"01010001",
B"00011111", B"11101101", B"11111000", B"11001001", B"00010011",
B"11111000", B"00101110", B"00001011", B"11110100", B"00110000",
B"00110001", B"00101011", B"00001011", B"00110100", B"00110011",
B"00110000", B"00101001", B"00011000", B"01001110", B"01101001",
B"11100001", B"00101110", B"11000111", B"00001110", B"11100110",
B"00000110", B"01001100", B"11000101", B"00000011", B"11100011",
B"00001101", B"00000110", B"11100100", B"11011001", B"11111100",
B"00000111", B"00010101", B"00111101", B"00100001", B"11110100",
B"11010111", B"00010010", B"00111001", B"01001111", B"00010100",
B"00100000", B"11110001", B"11100010", B"00111100", B"00011100",
B"10111001", B"00010110", B"11011110", B"10100001", B"11011000",
B"11110101", B"00000001", B"00111000", B"00001110", B"11000111",
B"00101111", B"00001010", B"00101011", B"11010001", B"11010101",
B"00010111", B"01000011", B"00011010", B"00100000", B"00011010",
B"11011001", B"11111001", B"11000100", B"00111011", B"11101110",
B"00000000", B"10111000", B"11111111", B"00101101", B"00010110",
B"11100001", B"10101100", B"11011011", B"00001001", B"00111000",
B"11110111", B"00111000", B"00011101", B"00011001", B"00100110",
B"11011111", B"11101011", B"00001110", B"00110000", B"11010101",
B"11110101", B"10101101", B"00101000", B"01001101", B"11111000",
B"11000101", B"00110000", B"11101110", B"00100001", B"11111110",
B"11000111", B"00000101", B"00111011", B"10100000", B"01011001",
B"11100000", B"00000101", B"11011111", B"11000100", B"00100000",
B"11111111", B"00100010", B"11101010", B"10010101", B"00001001",
B"01011000", B"11001101", B"00011111", B"00000111", B"00100011",
B"00000110", B"01000010", B"11110011", B"00011101", B"00000000",
B"00110001", B"00111001", B"11001100", B"00110001", B"00001110",
B"00010111", B"11101010", B"10011000", B"11101000", B"11100001",
B"11011100", B"11111000", B"11000111", B"00001111", B"11000001",
B"01111110", B"11111110", B"00010111", B"11000111", B"00100101",
B"00111101", B"11110111", B"11011101", B"11101000", B"11011101",
B"00101111", B"01000010", B"00001011", B"01000100", B"11110100",
B"11101110", B"11110001", B"11111101", B"11000010", B"11100110",
B"00000010", B"00001101", B"11111001", B"01000101", B"11001001",
B"01100111", B"00000110", B"11011101", B"00000010", B"00111000",
B"00110100", B"10101110", B"01000011", B"10111101", B"11010110",
B"11100100", B"00010111", B"01000110", B"00000000", B"00010001",
B"00011111", B"00011010", B"11001110", B"11010100", B"11011101",
B"10110000", B"00010101", B"00011111", B"00001010", B"11111011",
B"11100101", B"00110001", B"11110000", B"11100100", B"11110000",
B"11111100", B"11101001", B"10110001", B"11101101", B"10111000",
B"00000010", B"11000101", B"11011111", B"00111011", B"11001100",
B"11010011", B"01000100", B"00011011", B"10111111", B"11110010",
B"11100000", B"11111101", B"11001011", B"11110001", B"00010011",
B"11110000", B"00111111", B"00000011", B"11111111", B"00100011",
B"11001100", B"11010010", B"00110010", B"00101011", B"11110011",
B"00101010", B"10111101", B"11101100", B"11100011", B"00101000",
B"11111111", B"00000100", B"00011010", B"11011111", B"01000011",
B"00011110", B"11110101", B"00100000", B"00110001", B"01011001",
B"00100110", B"11010001", B"00011001", B"10111011", B"00010111",
B"10110011", B"11011010", B"11101110", B"00010001", B"11111011",
B"10101101", B"11100100", B"00100111", B"00011011", B"11110001",
B"00001010", B"01001000", B"11011000", B"00111010", B"11101101",
B"01000100", B"11111110", B"00000100", B"00000001", B"00000111",
B"01100011", B"00011101", B"10101100", B"10110001", B"00111001",
B"01010001", B"00000001", B"00101100", B"11101011", B"11011101",
B"10111110", B"11110110", B"01011011", B"11110101", B"11000000",
B"11010100", B"11001100", B"00010001", B"00101011", B"10100010",
B"00100001", B"11011000", B"00011111", B"00000100", B"11110100",
B"00110111", B"00110111", B"00001101", B"00011010", B"00110010",
B"00001000", B"00001111", B"11000100", B"00011110", B"01001010",
B"00110011", B"00010001", B"00101000", B"00101110", B"11011110",
B"00010010", B"00110001", B"00110010", B"01000100", B"11100011",
B"11100000", B"00101001", B"01000100", B"11010100", B"11100000",
B"11011011", B"00101001", B"00110010", B"11100001", B"01001100",
B"11110011", B"10110110", B"11001101", B"00010010", B"11110100",
B"11110011", B"00101011", B"00011110", B"11000111", B"10111000",
B"01001100", B"00010110", B"00001101", B"00001110", B"01000110",
B"11001110", B"00001110", B"11100001", B"00011011", B"11100101",
B"11000100", B"10101001", B"00010001", B"11100001", B"11110111",
B"11011110", B"01000011", B"10110000", B"11101110", B"11001110",
B"11110111", B"11100000", B"11110111", B"00010101", B"00111010",
B"00000000", B"11010010", B"00010101", B"00100001", B"11111110",
B"00110100", B"00111100", B"11101111", B"00110110", B"00011100",
B"11100010", B"11111101", B"00010011", B"10101100", B"01010011",
B"11101010", B"01010111", B"00011111", B"11110001", B"00001100",
B"00000011", B"11111111", B"00101000", B"01011100", B"11100001",
B"00100010", B"00001101", B"00011011", B"11101011", B"11000010",
B"11110011", B"11010000", B"11000010", B"11111100", B"01001010",
B"00110011", B"00110100", B"00011010", B"11100111", B"11100010",
B"11101111", B"00101101", B"11010001", B"00010010", B"11111101",
B"00100001", B"11010000", B"00110111", B"11011111", B"11111101",
B"11101011", B"00010001", B"00000111", B"11111110", B"00011101",
B"00000001", B"00101110", B"11101010", B"00111101", B"00101001",
B"11100000", B"00011101", B"01000011", B"00001010", B"00010000",
B"11110111", B"11111101", B"00111111", B"11110100", B"11000101",
B"11000000", B"11010101", B"00101001", B"00010100", B"11110101",
B"00011110", B"01010000", B"11011100", B"00011000", B"00101110",
B"00010101", B"01010111", B"00111110", B"11101011", B"00111101",
B"11010011", B"00100110", B"11110101", B"11010101", B"00010000",
B"11111100", B"11001000", B"00100100", B"11110001", B"11111101",
B"00001001", B"00100011", B"11100001", B"00100001", B"00101111",
B"11000111", B"00100010", B"01000110", B"11101010", B"01000110",
B"00001010", B"00100110", B"10111011", B"00100111", B"10110011",
B"01001000", B"10111111", B"00010011", B"11011100", B"01001011",
B"11100001", B"00000000", B"11001010", B"00100000", B"01100001",
B"01010000", B"01001100", B"00011010", B"10110111", B"00100011",
B"11000100", B"00101100", B"11000000", B"00101100", B"00010000",
B"10110101", B"00011101", B"11111101", B"11101100", B"11000110",
B"11110100", B"11001110", B"00000100", B"11110101", B"11100011",
B"00001000", B"00110101", B"11000001", B"11110100", B"01001111",
B"00101100", B"11000001", B"01111000", B"11111110", B"11100001",
B"11011101", B"00111111", B"11001101", B"10100000", B"10001011",
B"00000100", B"00101111", B"10111011", B"00000100", B"00000100",
B"00100110", B"11100110", B"00011100", B"00011100", B"10110110",
B"01001011", B"00110111", B"11110011", B"00110111", B"00100001",
B"00101100", B"10110000", B"00101010", B"11010110", B"01000100",
B"11110111", B"11100101", B"11010111", B"01010000", B"00110110",
B"01001111", B"00100101", B"11101000", B"11100001", B"11110100",
B"11011000", B"11100001", B"00010001", B"11111110", B"00011111",
B"11001010", B"01011010", B"00011001", B"11111000", B"00101100",
B"11110100", B"00100001", B"00111101", B"00011111", B"11101100",
B"11000101", B"00101000", B"11010111", B"00010000", B"11110001",
B"11011010", B"00010100", B"00101110", B"00110100", B"00101000",
B"11101001", B"11010111", B"00010010", B"00001001", B"11100000",
B"11100001", B"11101110", B"11111110", B"11011100", B"01000100",
B"11011101", B"11100111", B"00110011", B"00001010", B"11010001",
B"11100000", B"11101110", B"00110110", B"00101101", B"11110011",
B"00011111", B"11011000", B"00110011", B"11010000", B"01011011",
B"01001001", B"11000110", B"01001000", B"00101101", B"00010100",
B"00100011", B"11101111", B"01010010", B"10100100", B"11000100",
B"10101011", B"00100101", B"00111001", B"11110111", B"01000011",
B"00001000", B"10111111", B"00100101", B"11010100", B"00001101",
B"10101111", B"01000001", B"00111001", B"01001101", B"01001101",
B"00000111", B"00110110", B"00110110", B"01000010", B"00010001",
B"11001101", B"00000000", B"00101011", B"11100101", B"00101111",
B"11100100", B"11011010", B"10101111", B"00101010", B"00000001",
B"00111100", B"11110010", B"00110110", B"11100010", B"00000000",
B"11011100", B"01000101", B"00110010", B"11101001", B"00100011",
B"11101100", B"00011100", B"11110101", B"00010001", B"01100001",
B"11110001", B"00100000", B"00101100", B"11000010", B"11101011",
B"00110101", B"11011011", B"11011110", B"00110100", B"00011010",
B"11010011", B"11101110", B"00011000", B"11111011", B"01010011",
B"00011000", B"01011001", B"11110100", B"01010101", B"00100110",
B"00110111", B"00001111", B"00000010", B"11111101", B"11000010",
B"11010111", B"01000010", B"00010000", B"00001010", B"00000000",
B"11011101", B"11000100", B"11010011", B"10110010", B"01100011",
B"00100011", B"01010110", B"01000001", B"11011001", B"00110001",
B"11011101", B"00011000", B"00001101", B"11110000", B"00100100",
B"01000000", B"00001010", B"00011110", B"10011011", B"00011000",
B"01000101", B"11010010", B"11111000", B"00001000", B"01000011",
B"11110111", B"11001100", B"00100100", B"11100111", B"10110001",
B"00001010", B"11001001", B"00011100", B"00110100", B"11110010",
B"11011110", B"10101010", B"00010101", B"10110010", B"00100101",
B"00000011", B"00100110", B"10100010", B"01000000", B"01010100",
B"11101110", B"01010001", B"11010101", B"00011110", B"11101000",
B"01011100", B"11101101", B"11100101", B"10110111", B"00111101",
B"00011101", B"11011111", B"00000110", B"11010110", B"00111000",
B"00110111", B"00101010", B"00100000", B"00001000", B"00110110",
B"11000011", B"11101110", B"00011111", B"11000001", B"11100000",
B"11000100", B"10110101", B"00011010", B"00010011", B"11110101",
B"00110111", B"00011010", B"11111100", B"00010010", B"01001110",
B"00101010", B"11111010", B"11000110", B"11100011", B"00111101",
B"00111100", B"11010011", B"11110100", B"11001101", B"11101101",
B"00000110", B"11101011", B"00110001", B"10110000", B"10110100",
B"00101101", B"00110010", B"00011111", B"00100101", B"11110111",
B"00100010", B"10101000", B"01011111", B"11011010", B"11101110",
B"11100100", B"11111010", B"00111111", B"11100000", B"01100000",
B"11110100", B"00111000", B"11111011", B"11000100", B"00101110",
B"10010111", B"00100100", B"11111101", B"11011001", B"00100101",
B"01001100", B"11110110", B"00100100", B"10110011", B"00000101",
B"10101011", B"00001100", B"11010100", B"00001110", B"11101101",
B"11100101", B"11000111", B"00010100", B"00101110", B"11110001",
B"00011110", B"11111101", B"11000110", B"11111010", B"01100101",
B"00011001", B"10010111", B"00011110", B"10011111", B"00011101",
B"11111000", B"10010111", B"11001100", B"00110011", B"00010101",
B"00000110", B"00111000", B"11001111", B"00010010", B"00000100",
B"11010010", B"11110001", B"01000100", B"01000010", B"10110000",
B"11101111", B"00011101", B"11101100", B"00000111", B"11101100",
B"00011101", B"11001001", B"00010011", B"00101011", B"00100010",
B"00100100", B"00100001", B"00011000", B"11010000", B"01010001",
B"11110010", B"00010011", B"01000001", B"11111000", B"11111100",
B"00001001", B"11001111", B"00011011", B"11111000", B"11010100",
B"11000011", B"11100100", B"00000011", B"00001011", B"11111011",
B"00111101", B"00101001", B"00001101", B"00001001", B"00010110",
B"00011011", B"00001100", B"00100101", B"00111111", B"00100101",
B"11011010", B"00000110", B"00010110", B"01000100", B"11100000",
B"00111000", B"10111101", B"11111101", B"00100110", B"00111000",
B"00100011", B"00101010", B"00100000", B"01000010", B"00101000",
B"00000000", B"11010111", B"11000100", B"11001110", B"11010111",
B"00110100", B"00000000", B"00011110", B"00111000", B"11010000",
B"11101101", B"11001101", B"00011101", B"00010111", B"00010001",
B"00101000", B"11000010", B"00000110", B"00100110", B"11100001",
B"11001101", B"11010111", B"00011110", B"11000100", B"11010011",
B"00110010", B"11011010", B"11000001", B"00100101", B"11111001",
B"11011110", B"11100111", B"11100111", B"11110101", B"11111001",
B"01101101", B"00110000", B"11011010", B"00101100", B"10111010",
B"00110011", B"00100001", B"11101011", B"00010001", B"11001111",
B"11000100", B"11101110", B"00010011", B"00001110", B"00011110",
B"00011011", B"11111011", B"00110111", B"11110010", B"01001000",
B"01010000", B"11011111", B"11101100", B"00101011", B"11111011",
B"11110001", B"11011011", B"00010001", B"11001001", B"00100100",
B"00101011", B"01100000", B"00000111", B"11100011", B"00100111",
B"11100101", B"11111111", B"11000110", B"00101100", B"00011101",
B"11111001", B"00010110", B"00101010", B"11001011", B"11111010",
B"11111010", B"11101001", B"00010001", B"00100010", B"11011110",
B"11100101", B"10101101", B"11000111", B"10111011", B"00001001",
B"11001100", B"00100010", B"01001001", B"11111011", B"11111101",
B"00101010", B"00101000", B"10101101", B"00011010", B"00010110",
B"01010010", B"10101110", B"00100001", B"11101110", B"00100101",
B"11110001", B"11111010", B"01000110", B"10111000", B"11111100",
B"10101011", B"00010000", B"11110101", B"00010000", B"11010101",
B"00100000", B"00100110", B"00001111", B"01010001", B"10111001",
B"00000100", B"00011100", B"00010110", B"00101111", B"00110101",
B"00001000", B"00001110", B"00111001", B"00101011", B"11000100",
B"11100000", B"00001010", B"10100101", B"01100000", B"10110000",
B"10101100", B"00101110", B"10101111", B"00110000", B"11001100",
B"00010000", B"00110110", B"01000111", B"00110101", B"11101011",
B"10100110", B"00000001", B"00100011", B"10100101", B"11001000",
B"00011000", B"01010000", B"10011100", B"11110011", B"00010111",
B"00000001", B"00101100", B"00000001", B"01011000", B"00111001",
B"11110110", B"11101111", B"11101111", B"00000001", B"11110101",
B"00110111", B"00111010", B"00011100", B"11011010", B"00100011",
B"11101110", B"11000011", B"00011011", B"00101001", B"00010001",
B"11001001", B"11101010", B"00010010", B"11100001", B"11011001",
B"00101101", B"01000000", B"11100000", B"11100100", B"00000011",
B"01010110", B"11111011", B"11001010", B"11100100", B"11001110",
B"00000001", B"10000000", B"00000100", B"00000110", B"01000011",
B"00111111", B"00001111", B"00010100", B"00001100", B"00010110",
B"00011101", B"00111000", B"00100101", B"00110011", B"01000011",
B"00111010", B"01001011", B"11110011", B"01000001", B"11011110",
B"11000100", B"00100000", B"11001000", B"01101000", B"11100011",
B"11000101", B"01000011", B"11001001", B"11011100", B"01001010",
B"11100111", B"00001111", B"11000100", B"11100000", B"00000000",
B"11110100", B"00100100", B"00000100", B"01101001", B"11110010",
B"11010001", B"00001100", B"00100101", B"00010011", B"00110011",
B"11101111", B"00100000", B"00011001", B"00001001", B"00110100",
B"00101001", B"11001010", B"00010001", B"00010011", B"01000010",
B"00000110", B"11111001", B"10110101", B"01000111", B"01011101",
B"11100001", B"01011000", B"00111111", B"11011000", B"00010000",
B"00101111", B"00000100", B"11001110", B"01010000", B"00101000",
B"11001010", B"10111100", B"11011110", B"10101101", B"00100001",
B"11110111", B"11111011", B"00001110", B"11011001", B"00001011",
B"11100101", B"11111111", B"10110100", B"00000011", B"11010001",
B"11100100", B"00001001", B"00100110", B"00011010", B"00011101",
B"00110000", B"11101000", B"11111000", B"00011001", B"11011010",
B"11100011", B"10100101", B"11110111", B"11101110", B"00011101",
B"00100110", B"11101101", B"10100101", B"00011010", B"11101000",
B"00011011", B"11011101", B"11011000", B"11110010", B"11010101",
B"00110100", B"00011101", B"10101111", B"00111100", B"00010011",
B"10100001", B"11000100", B"11101110", B"11101000", B"01001111",
B"11011010", B"00001110", B"11000101", B"11010000", B"10101101",
B"11001011", B"11100110", B"00101110", B"00001011", B"00011000",
B"00110010", B"01100010", B"11100001", B"10001000", B"11011110",
B"11110101", B"01000101", B"11011111", B"00011001", B"10100001",
B"01001000", B"00000001", B"10110010", B"11100010", B"11001000",
B"00010001", B"01000000", B"01000000", B"00111000", B"11110000",
B"00111010", B"00101000", B"00110101", B"11101101", B"00100110",
B"00000001", B"10110001", B"00100011", B"01001110", B"11100000",
B"00111010", B"11110011", B"11100110", B"00001101", B"11100101",
B"11101100", B"11101110", B"11100101", B"00100101", B"11101111",
B"01110011", B"00000001", B"11011001", B"10110011", B"00010001",
B"00110110", B"00001100", B"00101011", B"11001010", B"11100101",
B"00010010", B"10111110", B"00000101", B"11001010", B"00001000",
B"00111001", B"00111010", B"00100001", B"11011111", B"00100111",
B"11001001", B"11100100", B"00100000", B"00010110", B"11111001",
B"01000000", B"11000100", B"11111010", B"01000110", B"10100000",
B"00010011", B"01001101", B"11100100", B"01100100", B"01000101",
B"11110000", B"00101101", B"10111101", B"00001101", B"10110000",
B"00000101", B"11101110", B"10111100", B"01000100", B"00100101",
B"00010000", B"11011100", B"10110001", B"00100010", B"00100101",
B"00011100", B"00000100", B"00101001", B"00011010", B"01010011",
B"11110111", B"00001110", B"11000100", B"10101011", B"00000001",
B"00100110", B"00110101", B"11100101", B"11000100", B"10010111",
B"00101011", B"11110100", B"11111110", B"11000010", B"00000110",
B"00111100", B"00110010", B"11101111", B"11001111", B"11100101",
B"00101001", B"11110111", B"00001101", B"01010100", B"00001110",
B"11001100", B"01101110", B"00101000", B"10110101", B"00010010",
B"00100001", B"00100010", B"11001110", B"11110000", B"11110101",
B"00010011", B"10101010", B"11111110", B"11111010", B"11101001",
B"11011111", B"01000001", B"11111011", B"00000001", B"00101101",
B"11111110", B"11011000", B"11100101", B"11001101", B"00100101",
B"00001001", B"00101001", B"11101101", B"00010100", B"00011000",
B"00001100", B"11001011", B"00011101", B"00001011", B"00100101",
B"00011001", B"11101100", B"11110100", B"00111001", B"00100000",
B"11100011", B"00000001", B"10111010", B"11010110", B"00011001",
B"11110001", B"10100001", B"11110010", B"10100100", B"10001000",
B"00010000", B"11010111", B"00010010", B"11101000", B"11100010",
B"00000010", B"01001101", B"00000010", B"00101000", B"11010110",
B"00000010", B"11000001", B"00110100", B"00010101", B"11001000",
B"11100110", B"11011000", B"00000100", B"11111100", B"00001000",
B"11110111", B"00001111", B"11011010", B"00010100", B"00001101",
B"00101101", B"10010100", B"00001100", B"11001100", B"01000001",
B"11110111", B"01000000", B"00001011", B"10001101", B"01000000",
B"11001000", B"11101010", B"11100011", B"11000100", B"11011011",
B"11110110", B"00100011", B"10110110", B"00000000", B"10011000",
B"11010011", B"11011100", B"00010001", B"11110011", B"00101101",
B"00000111", B"00101000", B"11101011", B"00100010", B"00000011",
B"10101001", B"11100000", B"00001000", B"11011010", B"11101110",
B"00000000", B"00100110", B"11110011", B"00111101", B"11011101",
B"01000101", B"01000100", B"11011100", B"00001111", B"01000110",
B"11101110", B"00101110", B"11100110", B"00011010", B"00010111",
B"11111111", B"01010100", B"00100111", B"11111111", B"00010001",
B"00000011", B"11101110", B"00000111", B"11110001", B"00110100",
B"11001011", B"11100000", B"11000110", B"10110010", B"00001110",
B"00000101", B"00101110", B"11100001", B"11100111", B"11101001",
B"00010000", B"11010001", B"11100100", B"11111010", B"01000101",
B"00000011", B"11111010", B"11010010", B"11001100", B"10000110",
B"00110110", B"10111110", B"00111011", B"00000010", B"11101110",
B"00011001", B"00000100", B"11100011", B"00100011", B"00001011",
B"00001010", B"11111001", B"00100100", B"00111000", B"00011110",
B"11011101", B"01001100", B"11010000", B"00101001", B"11001110",
B"01011000", B"00101100", B"11100100", B"11101100", B"00010011",
B"11010111", B"00100101", B"11111111", B"11010100", B"11010010",
B"11101000", B"00000001", B"00000111", B"11101101", B"00000101",
B"11010010", B"00011000", B"01111111", B"00011110", B"11101001",
B"00100110", B"01010111", B"11100000", B"00000110", B"00110110",
B"11110011", B"00001101", B"00010010", B"11111001", B"11000111",
B"00111011", B"11101111", B"00101011", B"10101100", B"10111011",
B"11010100", B"11100000", B"00011101", B"00011110", B"11110011",
B"00001110", B"11111011", B"00000010", B"00000111", B"11011001",
B"11110000", B"00001011", B"00100100", B"11000111", B"10111011",
B"11110111", B"11000011", B"00111000", B"11100001", B"00010000",
B"11100011", B"10011110", B"00111000", B"11101100", B"11001011",
B"01111110", B"10101010", B"00111010", B"11000101", B"11100111",
B"00000000", B"11101100", B"00010110", B"00101001", B"01001010",
B"00101000", B"00001010", B"00000110", B"01010111", B"11010001",
B"11110001", B"10100101", B"00001110", B"00110101", B"11001111",
B"11111100", B"10010001", B"00101011", B"01000000", B"10110111",
B"00000001", B"00000011", B"10000111", B"11011110", B"00000100",
B"11110011", B"00011111", B"11011011", B"11000100", B"11101010",
B"10111101", B"10100111", B"11101011", B"00100001", B"11110111",
B"00001000", B"00011010", B"11100110", B"11100111", B"11101110",
B"11101000", B"11010001", B"00011011", B"00100101", B"11111110",
B"11111011", B"00001010", B"11011011", B"00100111", B"00010011",
B"00001110", B"11010111", B"00001011", B"00101010", B"00011001",
B"00100011", B"11110000", B"11111110", B"00010001", B"00000111",
B"00110011", B"00000000", B"11110101", B"00000000", B"00010111",
B"10101000", B"01111111", B"11001000", B"01000101", B"00000000",
B"11011100", B"00001111", B"01100100", B"11010000", B"00101000",
B"11110000", B"11010000", B"11011011", B"01011101", B"00011011",
B"11001010", B"11000011", B"01110110", B"00011000", B"00110011",
B"10111110", B"01011001", B"11001001", B"00011010", B"00001100",
B"11110111", B"11101010", B"00010100", B"11101010", B"11010111",
B"11100100", B"10101011", B"00011100", B"00101100", B"11111101",
B"00110001", B"00100000", B"00000001", B"11011001", B"00000001",
B"10111001", B"01010100", B"11100100", B"11100001", B"11010101",
B"11010110", B"00100011", B"00000001", B"11010000", B"11100010",
B"00010101", B"11010001", B"01001111", B"00100110", B"00100100",
B"00111011", B"10110010", B"00011101", B"00101011", B"00100001",
B"11000110", B"00111011", B"11101000", B"00000011", B"00010011",
B"01010110", B"11101110", B"10100111", B"00110100", B"00101111",
B"11101110", B"11010010", B"11110110", B"00110111", B"11010000",
B"00101000", B"11111100", B"00001010", B"01001111", B"11111001",
B"00000011", B"11110010", B"00111001", B"00100100", B"01001101",
B"01011010", B"01000011", B"00001000", B"00110100", B"00111001",
B"11011101", B"00101001", B"00111100", B"00001000", B"11000101",
B"00110001", B"11111010", B"00010100", B"11110111", B"11110111",
B"11110101", B"00101111", B"01010010", B"11001101", B"10111101",
B"11110000", B"11010101", B"01011100", B"11101110", B"11010001",
B"00000101", B"11010011", B"11010010", B"00011101", B"00100001",
B"00000010", B"11001100", B"11110111", B"10101101", B"01010000",
B"00010111", B"11101110", B"10111000", B"10111010", B"01001011",
B"00110000", B"11011111", B"10100110", B"00101101", B"00101101",
B"00010011", B"01000101", B"11111000", B"00111101", B"00100010",
B"00010001", B"00011000", B"11100001", B"00110100", B"11011000",
B"11101100", B"11000110", B"00100001", B"00101011", B"10011001",
B"00101100", B"00001001", B"11100111", B"11100010", B"11010011",
B"00100110", B"11000011", B"00101011", B"11000001", B"00011001",
B"00101111", B"11100110", B"00111111", B"11101111", B"11111001",
B"11110011", B"10011011", B"11010000", B"11101110", B"11000110",
B"00110001", B"10110110", B"01010010", B"11110101", B"11010111",
B"10110010", B"11010100", B"00110001", B"11000101", B"11100001",
B"10101100", B"00011110", B"11000000", B"11010011", B"10111110",
B"11010101", B"00100000", B"10011101", B"11110101", B"00000000",
B"00100001", B"11001111", B"11111100", B"11111111", B"00000001",
B"11100110", B"11001101", B"00000001", B"00001001", B"11110011",
B"11110011", B"11001010", B"11111101", B"00000000", B"01001011",
B"00000101", B"11111000", B"00011011", B"00011101", B"00010000",
B"00010001", B"00111000", B"11011100", B"11001110", B"00100100",
B"00110100", B"01001010", B"00001011", B"11100111", B"11110100",
B"11101110", B"11010000", B"00011111", B"11101000", B"00101000",
B"10111001", B"00010110", B"00010101", B"00011011", B"11100000",
B"11000001", B"11110010", B"01001001", B"10110111", B"10100110",
B"11011110", B"11100010", B"11001110", B"01001010", B"11111011",
B"00011111", B"11110001", B"00011011", B"00010110", B"10111010",
B"10111000", B"11100010", B"11001000", B"11110110", B"01000011",
B"00101110", B"00010000", B"00110101", B"11101100", B"00001100",
B"11110001", B"00001111", B"00010011", B"11100000", B"00010010",
B"11001110", B"11110010", B"00111011", B"10111001", B"11010100",
B"11101110", B"00110011", B"00110000", B"11010110", B"11110001",
B"10111001", B"00001010", B"11001100", B"11111000", B"00000010",
B"11101110", B"11110110", B"00010100", B"11111111", B"00011011",
B"00101110", B"00100101", B"11100011", B"00001101", B"11010010",
B"00101000", B"00100111", B"11010101", B"11101000", B"00001110",
B"00110100", B"11100111", B"00001100", B"00110101", B"00001100",
B"11100011", B"11101000", B"11111010", B"01001001", B"11110110",
B"00000000", B"00001110", B"00000010", B"11000001", B"11011011",
B"00101011", B"00000011", B"11001101", B"00001100", B"00100010",
B"00101110", B"00001000", B"11011001", B"00110011", B"11011011",
B"01000001", B"11000001", B"01011110", B"00010100", B"11100000",
B"00010111", B"11110111", B"11000101", B"11111111", B"11100110",
B"11001001", B"00011001", B"10010101", B"00011010", B"00110000",
B"10101110", B"00101000", B"00000001", B"11101000", B"11111001",
B"00111101", B"01001110", B"10101110", B"11100100", B"11001000",
B"00011101", B"00101111", B"00000111", B"00000000", B"00111000",
B"00010110", B"00011111", B"01111101", B"00001100", B"11001000",
B"11000011", B"00111000", B"11111100", B"00001111", B"00011010",
B"11110111", B"11001101", B"00000010", B"00110101", B"00011011",
B"11100100", B"00011000", B"11110110", B"00010111", B"11001011",
B"00101101", B"11010111", B"00011010", B"00110001", B"10110010",
B"11111011", B"00011111", B"10111100", B"11110011", B"00011001",
B"11110101", B"11100101", B"00100110", B"11000111", B"11111110",
B"11010100", B"00000010", B"00111110", B"10111100", B"00011010",
B"00010100", B"10101000", B"11011000", B"00000000", B"11010010",
B"01000010", B"00111110", B"11110101", B"00001100", B"11111101",
B"11011101", B"11101101", B"00011011", B"00010000", B"11010001",
B"00001011", B"01000100", B"11111101", B"00000000", B"11011000",
B"00001110", B"11010101", B"01011100", B"00101101", B"11100011",
B"11111011", B"00011101", B"11010010", B"11111011", B"01010100",
B"11010000", B"00000011", B"11101011", B"00010100", B"11011111",
B"11100000", B"00000001", B"11101001", B"10110101", B"11001011",
B"11101110", B"00100111", B"10110010", B"11111001", B"00110010",
B"00000010", B"11100011", B"11010101", B"11000001", B"00110000",
B"11101011", B"01110100", B"11011010", B"00010011", B"01001001",
B"11111100", B"01001000", B"10111110", B"11110011", B"11011011",
B"11101110", B"00001101", B"11010010", B"11010100", B"00001110",
B"00111000", B"00000011", B"00111101", B"00001100", B"11010111",
B"00110010", B"11000111", B"11111001", B"11000110", B"11110011",
B"01001001", B"01011001", B"01000001", B"11111000", B"00110110",
B"00011011", B"11101001", B"00100100", B"00110001", B"00011100",
B"00010010", B"00011100", B"11111001", B"00101101", B"00011011",
B"11011111", B"00100000", B"11110100", B"00011110", B"11111111",
B"11111000", B"10110011", B"11110000", B"11001101", B"00101111",
B"00111100", B"11111111", B"00101000", B"00010011", B"00001010",
B"00000011", B"00010100", B"01001001", B"00010001", B"00001010",
B"11010001", B"01010001", B"11111011", B"11110011", B"11000111",
B"11000011", B"11100011", B"00000010", B"11011010", B"01110000",
B"11001101", B"11101001", B"11101011", B"11101000", B"00011110",
B"01011010", B"00101111", B"11011100", B"00010111", B"11010001",
B"00010111", B"10111111", B"11000000", B"00010001", B"00111101",
B"00101110", B"00001000", B"00001101", B"11010111", B"11101110",
B"11001011", B"11010010", B"11011111", B"11011001", B"11110100",
B"00011011", B"00000100", B"00110010", B"11111101", B"00000110",
B"00000011", B"00111100", B"00001110", B"00001011", B"11101001",
B"11110001", B"01000010", B"00001101", B"00001101", B"00011010",
B"00010010", B"00101101", B"00101001", B"11110110", B"10101101",
B"11111110", B"00100110", B"11100001", B"00000000", B"10011000",
B"11100100", B"11101111", B"11010111", B"11100111", B"00100010",
B"11101111", B"00111001", B"10011111", B"10111110", B"00100111",
B"00100101", B"00000110", B"01001100", B"00001101", B"00010010",
B"11010111", B"00100001", B"11100010", B"10111001", B"00100110",
B"01011011", B"11001110", B"00011010", B"11110000", B"11101011",
B"11101101", B"00000011", B"11010100", B"00110000", B"10010011",
B"11100100", B"11100001", B"00100010", B"00000101", B"00101010",
B"11010011", B"01010111", B"11011111", B"11010111", B"11101111",
B"00011100", B"00110111", B"00001101", B"11101110", B"00001100",
B"00000000", B"11011101", B"11011001", B"00100010", B"00011101",
B"11011011", B"00011101", B"00100111", B"00001011", B"11001111",
B"00111010", B"11110111", B"00110000", B"00111001", B"11010111",
B"00001011", B"11010111", B"11010010", B"11110111", B"11001111",
B"00100001", B"00101000", B"00010001", B"01000000", B"11010000",
B"00011010", B"10100000", B"00101110", B"11011101", B"10111110",
B"11111110", B"00110011", B"01011100", B"00000100", B"01001011",
B"00011011", B"11011111", B"11011101", B"10111101", B"00000100",
B"11011111", B"01010111", B"00000000", B"11111111", B"11111111",
B"10011110", B"10100111", B"00001011", B"11110100", B"00001100",
B"01001101", B"00100101", B"00101010", B"11001001", B"00101000",
B"11110110", B"00001111", B"11000110", B"00101000", B"00010001",
B"00110110", B"00100011", B"11011101", B"00000011", B"00100111",
B"01000001", B"11010111", B"00010111", B"10011111", B"11011000",
B"00111111", B"00010000", B"00100001", B"00000100", B"00000011",
B"11111110", B"10111010", B"00100011", B"11100000", B"00010100",
B"11100111", B"11010111", B"11001011", B"11111001", B"11101001",
B"00111010", B"00101011", B"11010100", B"10110110", B"11110101",
B"11111101", B"11100110", B"10111101", B"11010100", B"11011110",
B"11100110", B"11110100", B"00001001", B"00110010", B"00001110",
B"11111111", B"11111011", B"11001101", B"11011011", B"00010100",
B"11110111", B"10100111", B"11001011", B"11110001", B"11011010",
B"00100001", B"00110011", B"00001010", B"11011100", B"11011101",
B"11100000", B"10111001", B"11000000", B"11010111", B"00001010",
B"00110010", B"11010110", B"11101100", B"01010110", B"11111100",
B"11110010", B"00011000", B"11001001", B"11000101", B"00100101",
B"01000010", B"00000100", B"11011000", B"11010101", B"11010100",
B"11010100", B"11011010", B"00010111", B"10101010", B"11000011",
B"00000100", B"00100010", B"00100001", B"11001101", B"10110101",
B"10111100", B"00000101", B"00000011", B"11111010", B"00001110",
B"00100101", B"11100101", B"11110101", B"01000111", B"00001010",
B"00010000", B"00101001", B"10011110", B"00100010", B"00101101",
B"00010111", B"00001100", B"00111101", B"00000010", B"11010110",
B"00101010", B"00011101", B"00010010", B"01001110", B"00101101",
B"00100100", B"00011001", B"00100010", B"00110101", B"10110011",
B"00100011", B"11100000", B"11101101", B"11000000", B"00000110",
B"00000010", B"00010100", B"00010010", B"00100101", B"00100011",
B"00101000", B"00011111", B"01000100", B"00101011", B"00011110",
B"00101001", B"11111001", B"11110110", B"01100010", B"00011010",
B"11111000", B"11011110", B"00110010", B"11000011", B"11011100",
B"11011100", B"01000001", B"10110001", B"00001101", B"11011101",
B"00000101", B"00110111", B"11111011", B"11100001", B"11001010",
B"00001101", B"11011101", B"10100000", B"00000011", B"11100101",
B"11010001", B"11011000", B"00001011", B"00100000", B"11100001",
B"11111101", B"01011111", B"00001100", B"10100001", B"11111000",
B"11101011", B"00000001", B"11010000", B"11111110", B"00111010",
B"11100101", B"00010101", B"00100101", B"11100010", B"11010011",
B"11000010", B"00000110", B"00101011", B"01010001", B"11101011",
B"11100011", B"00011000", B"01000001", B"00010000", B"11111011",
B"10111000", B"11111110", B"10101111", B"00111001", B"00000010",
B"11100110", B"00010001", B"11110010", B"00101101", B"00000111",
B"00001110", B"11110110", B"00011010", B"00100100", B"00011000",
B"00000001", B"11010110", B"00110100", B"00100110", B"11010001",
B"10110011", B"00010000", B"11000110", B"00111110", B"11111000",
B"11100001", B"00000001", B"00100000", B"11111100", B"00110001",
B"11010111", B"00110100", B"11110101", B"11111111", B"11011011",
B"11101111", B"11011110", B"00101011", B"11110001", B"00101100",
B"11000101", B"11010100", B"10110001", B"11110001", B"00101000",
B"10100000", B"10001011", B"00011001", B"00001100", B"00001001",
B"00110110", B"10011000", B"00010000", B"11100110", B"10111100",
B"11111111", B"11111001", B"00000001", B"00100101", B"11111111",
B"00010101", B"11111011", B"00100110", B"11001000", B"11011010",
B"01001101", B"11100100", B"00000001", B"11001111", B"00000110",
B"01001011", B"10001100", B"11010100", B"10101100", B"01010101",
B"00001111", B"00101100", B"00001111", B"10111110", B"11011001",
B"11110101", B"10101100", B"00100101", B"10101010", B"00111011",
B"11000010", B"00011001", B"00001111", B"00000001", B"11001100",
B"11011001", B"00111000", B"00010100", B"11001101", B"10011010",
B"11101100", B"11110101", B"11101100", B"00010110", B"11010100",
B"01010100", B"10110010", B"11010001", B"00100011", B"00101010",
B"11111110", B"10111110", B"00011101", B"00100101", B"11110000",
B"11101110", B"00011000", B"11000111", B"00010101", B"11011111",
B"00100100", B"11100011", B"11001010", B"00000111", B"11110001",
B"00001110", B"01001111", B"00100111", B"11111111", B"00100101",
B"11110001", B"00000100", B"11010101", B"00010100", B"11100111",
B"11110100", B"11100110", B"00110110", B"11101101", B"00000001",
B"11101001", B"11100100", B"11010000", B"11111101", B"11011111",
B"11101001", B"00010010", B"11011011", B"00101011", B"11110101",
B"01010010", B"01011010", B"11101001", B"00010111", B"11111101",
B"00010100", B"00000101", B"10110110", B"00000000", B"11101101",
B"11000011", B"11101011", B"11100001", B"00001100", B"11010101",
B"10110110", B"11110000", B"00100100", B"01011100", B"00101111",
B"00011000", B"11011010", B"11100011", B"11010000", B"11110010",
B"00011100", B"11000101", B"00101010", B"00010110", B"11011110",
B"01001111", B"00011110", B"00010010", B"11001110", B"00011010",
B"00011100", B"11000101", B"11010110", B"01011001", B"01000111",
B"00001110", B"11101010", B"11100111", B"00111110", B"11010100",
B"00100101", B"11011101", B"00000111", B"11101100", B"11000110",
B"11110101", B"11011100", B"11111011", B"11011010", B"11101111",
B"11110111", B"11110100", B"01000001", B"00011001", B"00100111",
B"11110011", B"11111110", B"00000001", B"11101010", B"00000110",
B"11001101", B"00011000", B"00100001", B"00010101", B"00000010",
B"00110010", B"00101011", B"11101101", B"00001101", B"00001000",
B"00010001", B"11000010", B"00000101", B"00001000", B"00100001",
B"00110101", B"10110110", B"11000100", B"00100010", B"00101010",
B"10111101", B"11110001", B"11101100", B"00010011", B"01010100",
B"00001110", B"01000001", B"11111100", B"00011111", B"11001011",
B"00001101", B"00111010", B"00010000", B"00110110", B"11010110",
B"11001011", B"00100010", B"00100111", B"01110001", B"11110000",
B"00100000", B"11111010", B"11001000", B"00010010", B"00110111",
B"00010111", B"00010001", B"00111111", B"00111110", B"11001110",
B"11100110", B"00011001", B"00011111", B"00001110", B"00000101",
B"11000000", B"11010111", B"11101110", B"11001100", B"11110101",
B"00000011", B"00111001", B"01010000", B"11111010", B"11110011",
B"11111010", B"00010001", B"00100011", B"11111011", B"10110011",
B"11001010", B"00101101", B"11001110", B"00111000", B"10111111",
B"11111100", B"00011110", B"00101110", B"11000111", B"00101100",
B"11100000", B"11110111", B"11100111", B"00000101", B"00111111",
B"11010111", B"10111110", B"01001101", B"11111010", B"00001011",
B"11101011", B"01000101", B"00000110", B"00010010", B"10010100",
B"11100111", B"00100001", B"11100110", B"00011001", B"11000111",
B"11100111", B"01000000", B"00010010", B"11100111", B"11111111",
B"00011010", B"01000110", B"00101011", B"11101110", B"11101001",
B"01011001", B"00001001", B"11011110", B"00110101", B"00010010",
B"11100101", B"11001100", B"00111010", B"00011110", B"00100001",
B"00111101", B"11100100", B"00100000", B"10110101", B"00000101",
B"11101110", B"10011001", B"11001100", B"11001010", B"00001000",
B"00011000", B"11000010", B"01001000", B"11010110", B"00100101",
B"11000111", B"00011110", B"11011100", B"11001010", B"00010001",
B"00011101", B"00101011", B"11101100", B"10100101", B"00000100",
B"11000011", B"00011011", B"00011000", B"00010011", B"01000001",
B"11101101", B"11101100", B"00010100", B"00011011", B"11011000",
B"00100000", B"00101111", B"00100101", B"11101011", B"00001010",
B"00100110", B"00111100", B"11011000", B"01001001", B"11101011",
B"00101111", B"11001111", B"00101011", B"00111000", B"11111011",
B"00101100", B"11110110", B"11001001", B"11010011", B"00000001",
B"11100011", B"00100111", B"11101100", B"11101001", B"11001111",
B"00101100", B"11110101", B"11110011", B"00010011", B"11100001",
B"11001111", B"10111011", B"00000000", B"01000001", B"11110110",
B"01000011", B"10110010", B"11010101", B"11100010", B"11110100",
B"00101110", B"11000001", B"00100101", B"11001001", B"00000011",
B"11011011", B"11011011", B"00010010", B"01011011", B"00000000",
B"00110111", B"11111111", B"01000111", B"00000000", B"11110111",
B"00100111", B"11010111", B"11101100", B"11000111", B"01110110",
B"11110110", B"00011111", B"11111111", B"11110100", B"00111000",
B"00100100", B"00010110", B"11011111", B"11101011", B"11100010",
B"10100011", B"01001101", B"00011101", B"00110010", B"00111011",
B"11111000", B"01001000", B"00101101", B"00000000", B"11110110",
B"11110010", B"11011001", B"00010100", B"00000001", B"00011011",
B"00011000", B"11101000", B"11101011", B"00010101", B"11110110",
B"00000100", B"00000101", B"11011110", B"11001001", B"10110010",
B"11010100", B"00100110", B"11001001", B"00110101", B"01010110",
B"10111110", B"11100101", B"11001100", B"10111101", B"11001000",
B"00000101", B"00110010", B"00111101", B"11100010", B"11111111",
B"11011010", B"00111011", B"11111101", B"00001001", B"11110010",
B"01100110", B"00000011", B"00110100", B"10001000", B"00011000",
B"11011100", B"00101100", B"10111111", B"11111110", B"11110010",
B"00010110", B"01110111", B"00110000", B"00010100", B"01111111",
B"00001001", B"11110101", B"11101101", B"01000100", B"10101111",
B"11111110", B"11000111", B"11010110", B"00010100", B"11111011",
B"11011101", B"00110011", B"10111000", B"00010100", B"11101000",
B"11010000", B"00011110", B"11101010", B"11011101", B"11010110",
B"11111010", B"11101111", B"00010001", B"01000111", B"10110110",
B"01001001", B"00011000", B"00110100", B"11101001", B"11110010",
B"11100110", B"11100101", B"10111111", B"00010100", B"11010000",
B"11111110", B"00001010", B"11100101", B"00001011", B"11000010",
B"11000101", B"11000111", B"11110011", B"10111111", B"10100011",
B"10101011", B"11111111", B"11110011", B"00000011", B"00011110",
B"11010010", B"11011111", B"01001000", B"11100000", B"11101101",
B"11000011", B"11000001", B"00110110", B"10111011", B"11111000",
B"00011100", B"00100011", B"00111111", B"00101010", B"00011101",
B"11010011", B"11100110", B"11101101", B"01001011", B"00100110",
B"00010010", B"00001001", B"00101001", B"11111111", B"00011011",
B"00001110", B"10101011", B"00110000", B"10101000", B"00101111",
B"10110101", B"11111111", B"11010001", B"00001000", B"00011011",
B"11101011", B"01000101", B"11011000", B"11001110", B"10111110",
B"00100000", B"00001011", B"00000000", B"01001011", B"11100010",
B"10100011", B"11101011", B"11101000", B"11011111", B"11100000",
B"00001110", B"01010011", B"00000001", B"00010101", B"01001110",
B"00110110", B"11110100", B"11100011", B"10111000", B"11010101",
B"00100011", B"11010100", B"01001110", B"00101110", B"00110001",
B"00001001", B"11010001", B"00001110", B"11011100", B"00011110",
B"11100100", B"00110110", B"11101001", B"00100010", B"00010000",
B"11010110", B"00101011", B"11100100", B"11010000", B"01000010",
B"11111010", B"01011110", B"01000001", B"11100101", B"01011110",
B"00100111", B"10110011", B"11110110", B"11011000", B"00101101",
B"11101110", B"00000100", B"00000000", B"11111110", B"11101110",
B"11110011", B"11110011", B"00010001", B"00101001", B"00100000",
B"00100011", B"11000010", B"11011110", B"00001111", B"11100001",
B"01100101", B"11001111", B"00101100", B"11101000", B"11100001",
B"00001100", B"00000011", B"01000000", B"10101111", B"00101010",
B"11111011", B"00011000", B"00100111", B"00110101", B"11100100",
B"00110011", B"00001000", B"11001010", B"11110110", B"01001001",
B"00011110", B"11111111", B"11111110", B"00000100", B"00001010",
B"00011100", B"00010011", B"10111010", B"01000001", B"11101001",
B"11001110", B"00000001", B"11010000", B"11011000", B"11111001",
B"00001111", B"01101111", B"00001101", B"10101011", B"10100100",
B"00110000", B"11100101", B"11101100", B"11001101", B"11111111",
B"00011101", B"11001000", B"00000011", B"11011100", B"00001010",
B"00000010", B"00010111", B"00001000", B"00011100", B"11101110",
B"11101110", B"11110101", B"01000111", B"11111001", B"00110111",
B"00111001", B"11110100", B"11111111", B"01000011", B"00000010",
B"10101110", B"11110011", B"11110001", B"00001111", B"11100001",
B"11001010", B"10011011", B"00010111", B"11110000", B"00010000",
B"00111000", B"00101100", B"11111101", B"11011001", B"00011100",
B"11100010", B"11111011", B"11001110", B"10100000", B"00110011",
B"00100100", B"00101001", B"11100000", B"00000001", B"00101100",
B"11110100", B"11011111", B"11101001", B"11111000", B"00010000",
B"11010101", B"00001100", B"11110000", B"11010011", B"11101001",
B"11000011", B"11010110", B"00011111", B"10000000", B"11001000",
B"11010110", B"00010000", B"01001011", B"01000001", B"00011001",
B"01000101", B"11010011", B"11110011", B"00011010", B"11010011",
B"10111001", B"11111000", B"11010010", B"00001000", B"11000001",
B"11110101", B"11110100", B"11001001", B"11101001", B"11110000",
B"11011001", B"00011010", B"00001110", B"01001011", B"00100100",
B"11111110", B"00010001", B"00101011", B"11111000", B"00010110",
B"00001011", B"11100100", B"00111001", B"00011011", B"11000000",
B"00001101", B"00011101", B"01000010", B"11000101", B"11011101",
B"11010100", B"11100101", B"00101111", B"11010111", B"00110101",
B"11101100", B"10001100", B"00000000", B"10010111", B"00010000",
B"00010111", B"11010000", B"00110101", B"00001011", B"00100010",
B"10111001", B"11000100", B"00010011", B"00011110", B"11110111",
B"00000001", B"00001100", B"11010001", B"00001111", B"10011101",
B"11110110", B"11010010", B"00101100", B"00001010", B"11110001",
B"00101110", B"00011100", B"11010100", B"11101101", B"10101111",
B"10111101", B"00000010", B"01010010", B"00101011", B"00100101",
B"00101110", B"11001000", B"01111101", B"00011101", B"00011111",
B"00100000", B"11100001", B"11101001", B"10111001", B"10101100",
B"00011101", B"10101000", B"00111011", B"00110010", B"10101101",
B"10101111", B"01011110", B"00010100", B"11100111", B"00010111",
B"11011111", B"11111110", B"11110001", B"00100000", B"11110110",
B"00100001", B"00101011", B"11110101", B"00011111", B"00100010",
B"00111111", B"01100001", B"00001010", B"01000101", B"11010100",
B"11111100", B"10111011", B"11101000", B"10110111", B"00111001",
B"00011100", B"00011001", B"00111000", B"11110100", B"10010100",
B"00100011", B"00000111", B"00010100", B"11011011", B"11100100",
B"10010010", B"01100000", B"01001110", B"01001001", B"00010100",
B"00101011", B"11110001", B"11100101", B"11001101", B"00000110",
B"01000011", B"00100111", B"01000101", B"00100011", B"00000000",
B"00010010", B"00100111", B"00001101", B"00100011", B"00001010",
B"01011101", B"00100011", B"01011010", B"00000101", B"11100110",
B"11100011", B"00001100", B"11010100", B"10111001", B"11001010",
B"11000111", B"11111111", B"01010111", B"00101100", B"01010101",
B"01100111", B"00010011", B"11011111", B"00001011", B"00011000",
B"00010000", B"11100100", B"11111001", B"11011011", B"00101111",
B"00000101", B"11010010", B"11100011", B"11110111", B"10110001",
B"11000111", B"11011010", B"01010101", B"01101011", B"00101100",
B"10011000", B"00100101", B"11010001", B"00111110", B"00111101",
B"10100001", B"11111011", B"11110011", B"11010000", B"11110101",
B"00100101", B"00101010", B"00001011", B"00000101", B"11110111",
B"11100000", B"11001000", B"00010111", B"10110101", B"11000110",
B"11101011", B"00000010", B"01001111", B"11000110", B"11001100",
B"11111011", B"11000001", B"11110011", B"11000110", B"00001100",
B"11001111", B"00001011", B"11111101", B"11101110", B"01000100",
B"11001100", B"00100000", B"00001101", B"11111101", B"11101110",
B"11011111", B"00001111", B"11101010", B"01110001", B"11111100",
B"00001101", B"11001111", B"11000101", B"00110111", B"11111010",
B"00100000", B"11011001", B"11010000", B"01000110", B"11111111",
B"00011001", B"11011011", B"01001000", B"11000011", B"00001100",
B"00000011", B"11100010", B"11110110", B"11011011", B"11110010",
B"11000000", B"00010111", B"11111101", B"10101101", B"00011110",
B"00110111", B"11100110", B"11110011", B"11001100", B"10100100",
B"11110101", B"00000011", B"11100101", B"11011100", B"01000111",
B"00101111", B"00000000", B"00111110", B"00000010", B"11100010",
B"00100100", B"11011010", B"11101000", B"11010111", B"00001011",
B"00100100", B"00100011", B"00111101", B"10111001", B"00110101",
B"11011101", B"00000011", B"11011110", B"01010001", B"00110101",
B"00111010", B"01010100", B"00110011", B"11011011", B"00101111",
B"11100100", B"00010101", B"11001110", B"00100000", B"11010001",
B"11100110", B"00011001", B"01000111", B"00100010", B"11111000",
B"01000011", B"11000100", B"11011101", B"11101001", B"11000100",
B"00111101", B"00101000", B"00101100", B"00111111", B"00010111",
B"01000111", B"11010111", B"00011100", B"11111110", B"10111110",
B"00010011", B"01000001", B"11010111", B"11011101", B"00100000",
B"10101101", B"00100100", B"11001011", B"00010100", B"11110100",
B"00111100", B"11010110", B"10110010", B"00010000", B"00010111",
B"00010101", B"11001010", B"00110101", B"00000110", B"11110100",
B"00010000", B"00010010", B"01110011", B"11111001", B"11111101",
B"00010100", B"11010010", B"00111011", B"00001100", B"11010010",
B"00010011", B"00000001", B"01001000", B"00001001", B"11101001",
B"11010111", B"00110100", B"11001110", B"11010001", B"11111111",
B"00001010", B"11110101", B"00010101", B"11010010", B"00100000",
B"11110101", B"11000100", B"01011010", B"00101101", B"11110100",
B"11001101", B"11110000", B"00100101", B"11111000", B"00111001",
B"11100000", B"11010000", B"01000000", B"11110001", B"00000100",
B"00100111", B"11011000", B"11001011", B"10101100", B"00010011",
B"11101110", B"11001111", B"00111111", B"01100100", B"10101001",
B"11100010", B"10111010", B"11100110", B"00000001", B"00000001",
B"00101111", B"00000110", B"00101100", B"01011010", B"00011110",
B"11010011", B"11000110", B"00000100", B"00100101", B"00111000",
B"00000100", B"11100100", B"00001000", B"11011011", B"00011010",
B"11100110", B"00000000", B"00100111", B"10110101", B"10011001",
B"10101111", B"00100100", B"11000101", B"00100001", B"11100011",
B"11101010", B"00110110", B"00100011", B"10101111", B"11011010",
B"00010110", B"00011110", B"11011000", B"00000000", B"00000000",
B"10011000", B"00100100", B"11111101", B"01001001", B"11101110",
B"00111101", B"11000001", B"11111000", B"10011111", B"10111101",
B"00011010", B"00111100", B"00011010", B"00000010", B"11010100",
B"11100110", B"00101101", B"00110100", B"00000100", B"10110100",
B"00011101", B"00010101", B"10100000", B"11111101", B"00011101",
B"11011101", B"00011101", B"00011100", B"11110001", B"00000011",
B"00010101", B"11100110", B"11001011", B"00101001", B"00100111",
B"11101000", B"01001000", B"00000100", B"11010100", B"10110011",
B"00010101", B"00010001", B"11111011", B"00010111", B"11011100",
B"11101101", B"10111001", B"11101100", B"11001111", B"11001001",
B"11111011", B"11111111", B"11100110", B"11110011", B"11100011",
B"00001000", B"11101011", B"11000100", B"00110000", B"00010111",
B"00100000", B"11000010", B"11111000", B"11100111", B"00000111",
B"00110001", B"11000000", B"00110010", B"00001000", B"00011100",
B"11011100", B"11100101", B"00011001", B"10011001", B"11101010",
B"00110110", B"00101010", B"00000101", B"11111111", B"11000010",
B"10010011", B"00001100", B"11101011", B"00000001", B"00000000",
B"00101111", B"11010001", B"11010001", B"01100010", B"00011111",
B"11001111", B"11100010", B"00101001", B"01010100", B"11111100",
B"11011000", B"00100010", B"11100110", B"11100001", B"11110100",
B"11101110", B"11100010", B"01101011", B"01101010", B"11101111",
B"00010001", B"00011011", B"11111010", B"10110100", B"11001011",
B"10111011", B"00110001", B"00011111", B"11110011", B"01011001",
B"10101010", B"00001000", B"10111001", B"00100011", B"00100001",
B"01011111", B"00011000", B"11111110", B"11101010", B"11110110",
B"00001000", B"00111001", B"11111011", B"00101100", B"00011001",
B"00010110", B"11000111", B"00101000", B"01000011", B"00111010",
B"11001001", B"00110001", B"11110110", B"00111111", B"11110100",
B"00001010", B"11111101", B"11101000", B"11100010", B"01010001",
B"00101001", B"11000010", B"11110010", B"00001001", B"00101001",
B"00000011", B"11110011", B"00101000", B"00110100", B"11111101",
B"00101110", B"10111010", B"00110001", B"10111101", B"00001000",
B"00001011", B"11000110", B"01011011", B"00000101", B"10000110",
B"11101110", B"11011001", B"00110001", B"00010010", B"11001111",
B"00010010", B"11010010", B"00011111", B"11011111", B"11101010",
B"00100100", B"00000011", B"10100000", B"00111111", B"00011110",
B"11011110", B"00101010", B"01001111", B"11101110", B"11010100",
B"10100101", B"00001001", B"11101010", B"10111100", B"00100010",
B"00011110", B"00101111", B"00000100", B"00100001", B"10111010",
B"11101110", B"11001110", B"11111001", B"00101111", B"00100011",
B"00010101", B"01001001", B"11100011", B"00011101", B"10111000",
B"11110110", B"00111000", B"00110001", B"11011110", B"00011100",
B"10101101", B"00001000", B"00110010", B"00100111", B"11101010",
B"00110010", B"00011111", B"00001111", B"11011001", B"11110010",
B"00100100", B"00111001", B"00010110", B"11001000", B"00011100",
B"11101111", B"00011100", B"11011011", B"11100001", B"11000110",
B"10100111", B"00100010", B"10101001", B"11111100", B"11110011",
B"11010000", B"00110001", B"11001011", B"00101111", B"00011011",
B"11011000", B"01011111", B"11111001", B"11011100", B"11001011",
B"00100000", B"11111110", B"11001111", B"11001000", B"01000111",
B"00001110", B"11111000", B"00001011", B"00100101", B"00101000",
B"00110110", B"10111010", B"00100001", B"11110101", B"00000110",
B"10111110", B"11110000", B"10101000", B"11100010", B"00110011",
B"00110110", B"00001100", B"00011100", B"11001001", B"00100000",
B"00101101", B"00010000", B"11011100", B"11100110", B"11111111",
B"00000010", B"00001011", B"00100111", B"01001101", B"00001111",
B"00010110", B"10111111", B"00100011", B"00000001", B"10111001",
B"00000100", B"11110010", B"10100011", B"00101111", B"11001110",
B"11000111", B"00011100", B"00111101", B"00101101", B"00000001",
B"11111000", B"01011100", B"11101110", B"00001010", B"00101000",
B"00000000", B"01010001", B"01001000", B"00101111", B"01100101",
B"01001010", B"00100111", B"00011011", B"00100111", B"11111100",
B"00001100", B"11100111", B"11110000", B"11101000", B"11110000",
B"11110110", B"00010010", B"11001010", B"11011111", B"11101000",
B"11010110", B"00011001", B"11101000", B"00000010", B"11101111",
B"00001110", B"00110111", B"00101110", B"11111101", B"11000100",
B"10111010", B"11101110", B"11001011", B"01000010", B"11111111",
B"11101110", B"11101101", B"01000110", B"01011111", B"10111110",
B"10101010", B"11111110", B"00010110", B"00111010", B"11111011",
B"00011101", B"11000011", B"01000100", B"00010111", B"11110001",
B"11001001", B"11011001", B"11101101", B"01000001", B"11000101",
B"11111101", B"11101011", B"00000101", B"00010011", B"11001000",
B"11001101", B"10110011", B"10110110", B"11010000", B"11011110",
B"00110000", B"00001101", B"00110011", B"11101111", B"00011100",
B"10111000", B"00100001", B"00011001", B"11110011", B"11110110",
B"11100001", B"00001111", B"00100110", B"11001001", B"11011001",
B"11001011", B"11101001", B"11110100", B"00010001", B"00011101",
B"00000111", B"11010001", B"11111001", B"11000001", B"01000101",
B"00001110", B"00100010", B"10110000", B"00011001", B"00010000",
B"00111100", B"11101100", B"11000000", B"11100101", B"10111001",
B"00011011", B"00111011", B"00110100", B"01110111", B"00000010",
B"11111111", B"11101010", B"01001001", B"11000110", B"11010000",
B"11101110", B"00100000", B"00011111", B"11100000", B"11111001",
B"00010011", B"00010011", B"00110100", B"11110001", B"00100101",
B"01010011", B"01011001", B"11111010", B"00001001", B"00010000",
B"11011110", B"11111011", B"11010101", B"00000010", B"11110111",
B"00000000", B"11110111", B"00000001", B"11101111", B"11101001",
B"01000001", B"11111010", B"00101000", B"00000111", B"10111111",
B"11100001", B"11100011", B"11010111", B"11011111", B"00010100",
B"10110010", B"11100100", B"11010101", B"00101111", B"11101010",
B"00111011", B"00010100", B"11000011", B"00010111", B"00101100",
B"11010100", B"00110010", B"11101111", B"11101000", B"00100011",
B"11110000", B"11010110", B"11100001", B"01001011", B"11010010",
B"11001001", B"00110010", B"01001001", B"00010100", B"11010011",
B"11110101", B"00100101", B"00101000", B"00100111", B"00011001",
B"11100011", B"00011110", B"00101000", B"11001100", B"11101010",
B"00001111", B"10111101", B"00000010", B"11110010", B"00000110",
B"10111101", B"00011001", B"10101111", B"11111000", B"11001001",
B"00101110", B"00011101", B"11001011", B"00110001", B"11110100",
B"00000010", B"11011011", B"00010001", B"00000011", B"00100001",
B"00010100", B"00100110", B"11101101", B"11100001", B"00100101",
B"00001101", B"01101110", B"00000011", B"01001110", B"11100000",
B"00010101", B"00101101", B"00010111", B"11110010", B"01000110",
B"11011110", B"11000111", B"10111110", B"00001011", B"00111001",
B"11000111", B"00110000", B"11010010", B"01010001", B"00000011",
B"00000110", B"00000010", B"00101010", B"11011111", B"11000011",
B"11100001", B"11010101", B"10110111", B"11111001", B"00110010",
B"11101000", B"11100010", B"10101010", B"11100100", B"10111010",
B"11100111", B"10011100", B"11111101", B"00000011", B"00010011",
B"00010111", B"11010010", B"11011111", B"00010001", B"00011100",
B"00110100", B"00000101", B"10011000", B"00111001", B"11101110",
B"11111010", B"10011111", B"10110011", B"00100000", B"11010110",
B"11010000", B"00101000", B"11001101", B"11010011", B"00011101",
B"01011110", B"11001001", B"11001011", B"00101010", B"01001111",
B"11111000", B"10010111", B"11100110", B"01001001", B"00010101",
B"11000010", B"11100101", B"00000100", B"10101111", B"10100111",
B"01100110", B"00101110", B"11000101", B"11011010", B"00011000",
B"00001111", B"00001110", B"11110101", B"00010101", B"11010001",
B"00111000", B"11011000", B"00111010", B"11101000", B"11101010",
B"01000000", B"11011110", B"11000001", B"00000010", B"00001100",
B"00100100", B"01010010", B"11010111", B"11010000", B"00001011",
B"00011011", B"00111100", B"00101011", B"00010001", B"00001111",
B"00010000", B"00011100", B"10010011", B"00100111", B"10110001",
B"01011110", B"10101100", B"00001111", B"00010011", B"11101100",
B"11010110", B"00100011", B"01010000", B"00101001", B"11001110",
B"01000000", B"11000010", B"00100001", B"11100001", B"00011100",
B"01000100", B"11001101", B"11011010", B"11001010", B"11100010",
B"11110010", B"11100101", B"10110111", B"10111110", B"00101101",
B"11011011", B"00110011", B"11011100", B"00100011", B"10111010",
B"00110010", B"00101011", B"11100001", B"11010101", B"00000010",
B"10011010", B"10110110", B"11100101", B"00001001", B"11111100",
B"00011001", B"01001010", B"01011000", B"11111101", B"11110101",
B"01001111", B"00010100", B"11110111", B"00011101", B"00000100",
B"00010001", B"11110110", B"11110011", B"01001100", B"11010001",
B"00011000", B"11001101", B"10100111", B"11100101", B"01000001",
B"00111110", B"11100110", B"11100001", B"01000101", B"11001100",
B"10111010", B"11101100", B"00000011", B"00101011", B"00000001",
B"11110100", B"10110001", B"00010000", B"00011110", B"10111001",
B"11100010", B"01000111", B"10111101", B"00001010", B"10111100",
B"10110010", B"11011101", B"11101101", B"01111010", B"11111000",
B"00000100", B"00010001", B"01010000", B"11010000", B"10100001",
B"10110100", B"00010010", B"00001101", B"11010011", B"00001000",
B"00100010", B"01001001", B"00010100", B"01010001", B"11100111",
B"11110010", B"00011100", B"11111000", B"00000100", B"11100010",
B"11000011", B"10110000", B"00010101", B"00101110", B"11100101",
B"00110101", B"00000101", B"00010011", B"11001100", B"00001001",
B"10101101", B"11000101", B"11011010", B"00111100", B"11000001",
B"00100110", B"11000111", B"10101111", B"00010111", B"00011111",
B"00000011", B"01000001", B"00001110", B"00010010", B"00010100",
B"00100100", B"11010000", B"00101011", B"10111011", B"11000101",
B"01000111", B"00001010", B"00011010", B"00101101", B"10100100",
B"10101111", B"11001111", B"11111101", B"00101110", B"01111101",
B"11110010", B"00100001", B"11001011", B"01000101", B"11100110",
B"11100111", B"00100110", B"11110110", B"00101110", B"11000100",
B"11010001", B"01000010", B"10100111", B"11111100", B"00100110",
B"11100001", B"11010110", B"00100000", B"00001001", B"01100000",
B"00111001", B"00110101", B"01001111", B"11100010", B"00011111",
B"11101100", B"00011001", B"11010101", B"00100011", B"10000000",
B"11101010", B"00010001", B"10100110", B"10110011", B"11011111",
B"11011100", B"01001010", B"00000100", B"11000011", B"00100100",
B"11000101", B"11010001", B"00011010", B"11110111", B"00100011",
B"11110011", B"00000101", B"11001111", B"11010010", B"00111001",
B"00001100", B"11100100", B"11111011", B"00111111", B"10101000",
B"00110101", B"11110101", B"11110111", B"00101000", B"11111100",
B"01000100", B"00011110", B"11011010", B"00101000", B"01001001",
B"10000000", B"11011101", B"11111010", B"11011000", B"11100110",
B"00011101", B"00011101", B"00100111", B"01100011", B"11010010",
B"10111000", B"00111000", B"10110000", B"00100001", B"00001101",
B"11100111", B"00110001", B"11011101", B"00100110", B"00010010",
B"11101011", B"00101001", B"00010010", B"00000111", B"11001101",
B"11011111", B"11110101", B"11110010", B"11111111", B"11100100",
B"00011110", B"11110111", B"11100000", B"10111100", B"11011010",
B"00011011", B"00010011", B"11101001", B"11001111", B"00100010",
B"11100011", B"00011111", B"11000011", B"01011100", B"00100101",
B"11110011", B"00110000", B"00010011", B"11010001", B"11111001",
B"00011110", B"11111000", B"11010011", B"11100101", B"10110011",
B"11110110", B"01000000", B"00001101", B"00101010", B"00111010",
B"11011001", B"11101100", B"01001101", B"11101011", B"10111111",
B"11000001", B"10010110", B"00011101", B"11010100", B"00011101",
B"11000101", B"11110010", B"11000101", B"00100011", B"00100100",
B"11110011", B"10100111", B"11101011", B"11010101", B"00101110",
B"00101000", B"00001000", B"00001111", B"00111110", B"00110001",
B"10111100", B"00010011", B"00110000", B"00001011", B"11011100",
B"00000000", B"11100110", B"11100011", B"11101011", B"11011011",
B"11100001", B"11101100", B"00011011", B"11000010", B"01001001",
B"01001101", B"01001000", B"00010111", B"11101110", B"00010110",
B"00000111", B"11110000", B"10010010", B"01010000", B"00000100",
B"00010010", B"00011111", B"11100010", B"00000000", B"11101000",
B"00001000", B"11111011", B"11110000", B"00001011", B"10100011",
B"11010110", B"11001101", B"10101101", B"10111101", B"11010100",
B"00111100", B"00010110", B"00111100", B"10111001", B"00011110",
B"11101101", B"00000001", B"00011111", B"11001100", B"00110011",
B"00111011", B"11111110", B"11100011", B"10111000", B"00001000",
B"00110000", B"11111110", B"01001100", B"11001010", B"11100100",
B"00011000", B"00101111", B"11100011", B"11010001", B"11111111",
B"11001001", B"11110111", B"00101010", B"11111000", B"00011000",
B"00001111", B"00011101", B"01001011", B"01000101", B"00001010",
B"11101110", B"00000100", B"00101000", B"00101000", B"11100001",
B"00000100", B"01001010", B"10101111", B"11010110", B"11100011",
B"11111111", B"00111110", B"00000111", B"11010101", B"00100000",
B"00001011", B"00001010", B"11111011", B"11111100", B"01001000",
B"00101101", B"00100001", B"11100101", B"11110110", B"11101010",
B"00011101", B"11011101", B"00001011", B"11011000", B"01001101",
B"00101000", B"11011100", B"00101001", B"00101100", B"10111110",
B"11010101", B"11110000", B"11101000", B"11100111", B"11011000",
B"00100010", B"00101100", B"11001001", B"00100110", B"00001011",
B"00000010", B"00010011", B"00011000", B"00110111", B"00101001",
B"10011000", B"00001000", B"10111111", B"10111010", B"00000000",
B"11101011", B"00001101", B"10111000", B"01000101", B"00011001",
B"00001110", B"00101100", B"00110011", B"11101000", B"00010100",
B"00100100", B"11100010", B"11011100", B"00001001", B"10010000",
B"00011011", B"11010000", B"10111010", B"01011011", B"00101101",
B"00001100", B"11110011", B"11000000", B"11001001", B"11011011",
B"00010100", B"11101100", B"11101111", B"10011011", B"11001110",
B"11110000", B"00101011", B"00100110", B"11101001", B"00011110",
B"11100110", B"00000110", B"11011101", B"00011110", B"01000111",
B"01001110", B"00111010", B"11100010", B"00010110", B"10101010",
B"11000100", B"10111101", B"00111110", B"00101001", B"00001111",
B"01000100", B"11011000", B"11011110", B"00100010", B"00101110",
B"11100000", B"00001001", B"11100010", B"00000011", B"00110011",
B"00011001", B"01010110", B"11001000", B"11001110", B"00100110",
B"00011010", B"10100000", B"11010101", B"00111101", B"10110000",
B"11100001", B"00001100", B"10111000", B"00011101", B"10110101",
B"00011001", B"00101111", B"00100011", B"11011110", B"01011111",
B"00000010", B"11100110", B"10101011", B"01001010", B"01101110",
B"00100110", B"00010111", B"00101100", B"00000000", B"00001110",
B"00001001", B"01101100", B"10010111", B"11110010", B"11011100",
B"10101000", B"00001010", B"11100001", B"00011001", B"11101011",
B"00100111", B"00001011", B"00000101", B"00101011", B"00011110",
B"11100011", B"00101101", B"11111100", B"00001010", B"10111101",
B"00111010", B"00110001", B"00110001", B"11101000", B"11100101",
B"11010100", B"00010000", B"11001110", B"11111100", B"00111100",
B"11111010", B"11000111", B"00001010", B"11100110", B"01101110",
B"00101101", B"00000010", B"00010110", B"00101101", B"00000011",
B"00001011", B"11101110", B"00010000", B"00101010", B"00110010",
B"00111001", B"00011001", B"00011001", B"11110110", B"11111011",
B"00001100", B"01010000", B"00000110", B"01000110", B"00010110",
B"00011101", B"00111011", B"11111110", B"10100101", B"01010001",
B"00011101", B"11111101", B"11000010", B"11100110", B"00011000",
B"01000001", B"10011000", B"11001111", B"00001000", B"11110101",
B"11110010", B"11001111", B"11101001", B"00010101", B"00111001",
B"11000100", B"01001111", B"01000100", B"00101001", B"00100111",
B"01001000", B"11110011", B"11100001", B"11010101", B"11101010",
B"00010101", B"00010110", B"11101110", B"11101111", B"00011011",
B"00100010", B"00101001", B"01000101", B"11011001", B"00111101",
B"11001110", B"11100111", B"00000000", B"00000111", B"00001110",
B"11011100", B"00001111", B"11110010", B"11101100", B"00101100",
B"00011001", B"00101011", B"00011101", B"00111100", B"00001000",
B"00111001", B"00001100", B"00110101", B"00101100", B"00010110",
B"00101011", B"11101000", B"11101001", B"00110011", B"00001101",
B"11101110", B"11111110", B"11100001", B"00100101", B"10011010",
B"00000100", B"11110011", B"11100101", B"00001011", B"10111101",
B"11101000", B"00100001", B"11000101", B"00110110", B"11011110",
B"11101111", B"01011011", B"00011011", B"11001001", B"11000001",
B"01001111", B"00001001", B"00010100", B"11111000", B"11111110",
B"10111001", B"11011110", B"11110011", B"00111000", B"00010010",
B"10101111", B"00111111", B"00001100", B"00001110", B"00000001",
B"00100111", B"00101101", B"10111110", B"11010111", B"11101111",
B"00000001", B"00011110", B"11000011", B"10101000", B"11010110",
B"01000100", B"00100000", B"11010011", B"00000011", B"10101011",
B"11011001", B"11100110", B"00000111", B"11000000", B"11100001",
B"11010000", B"11011100", B"00001100", B"11100110", B"00100010",
B"00100100", B"11100001", B"11001001", B"11010010", B"11000011",
B"11100101", B"11010000", B"11111010", B"11111010", B"00011110",
B"00101010", B"11001010", B"01010111", B"11001000", B"11010110",
B"11010111", B"11111110", B"11010111", B"00100110", B"11011110",
B"00111100", B"11111110", B"11011001", B"11111100", B"10111100",
B"00101111", B"00101010", B"11001111", B"01000011", B"10101110",
B"01001010", B"10110001", B"10101100", B"11111011", B"11101000",
B"00101101", B"10101001", B"00110010", B"11000010", B"11100001",
B"00011001", B"11001001", B"00001110", B"11011111", B"11100100",
B"01100010", B"11100101", B"01000000", B"00001110", B"00100101",
B"11011000", B"11010100", B"00011011", B"01010010", B"10110010",
B"00010111", B"00011010", B"11111001", B"11100001", B"11110111",
B"11011100", B"11010000", B"11010111", B"01001110", B"11001110",
B"00001100", B"00100101", B"11011100", B"00001110", B"00001101",
B"11101101", B"11101111", B"11011011", B"11110110", B"01010100",
B"00110101", B"11110111", B"11000111", B"00101111", B"11010011",
B"10100011", B"11111100", B"11000100", B"00011001", B"11000001",
B"00101110", B"00110010", B"11000101", B"11111101", B"00001010",
B"10101100", B"00001010", B"11110100", B"11010110", B"11011010",
B"00100001", B"11100000", B"11111001", B"11101111", B"00010000",
B"11000000", B"00011101", B"11101101", B"01000101", B"11101101",
B"00110100", B"11011101", B"00110010", B"00110111", B"00011101",
B"00111101", B"00011110", B"11111110", B"10110000", B"11101110",
B"11001011", B"00111001", B"01001011", B"11110101", B"11001110",
B"11101101", B"00011000", B"00010011", B"11101011", B"00011101",
B"11000000", B"11000101", B"11011111", B"11001011", B"00110000",
B"11001000", B"11001110", B"01001110", B"00100000", B"00110011",
B"00101001", B"00000110", B"01001100", B"00110110", B"00001100",
B"00110100", B"00011011", B"00001010", B"11110011", B"11101000",
B"11100100", B"00000000", B"01000110", B"11010110", B"00110110",
B"00101101", B"11010111", B"00101100", B"00001001", B"11010001",
B"11011101", B"11101111", B"11101111", B"11001000", B"11010101",
B"11100010", B"11000011", B"00110101", B"00011110", B"11111001",
B"00011111", B"11011011", B"00111011", B"00010100", B"11101100",
B"10101000", B"01100000", B"00000111", B"00001011", B"11000010",
B"00111000", B"11110110", B"11110000", B"11110010", B"11111101",
B"10101010", B"11011111", B"00100010", B"11110010", B"00001100",
B"00100000", B"11001011", B"11010000", B"11000010", B"10110001",
B"11111011", B"11000110", B"11111001", B"10111001", B"11101100",
B"11110101", B"11011101", B"00100101", B"00100001", B"00001111",
B"11111010", B"11111001", B"01001100", B"11000010", B"10110111",
B"00001111", B"11010111", B"01000111", B"10001110", B"00011101",
B"11000001", B"00111001", B"00010110", B"11001111", B"11111010",
B"11001100", B"10100111", B"00010111", B"00010000", B"00101000",
B"00000101", B"00001100", B"00011111", B"00101000", B"00111100",
B"00001010", B"00001111", B"01001111", B"00110010", B"11110111",
B"11010001", B"10010110", B"01001000", B"01011100", B"10101100",
B"00111000", B"00001000", B"11101010", B"00110011", B"11110011",
B"00000100", B"11100100", B"11010010", B"11111110", B"00101110",
B"00000010", B"00111001", B"10110001", B"00011101", B"11010100",
B"11111110", B"00000110", B"00110011", B"00100000", B"11100111",
B"00000011", B"00100100", B"10010011", B"00000111", B"11101010",
B"11010010", B"10111100", B"00011000", B"11001111", B"10110111",
B"00010010", B"00100111", B"11111101", B"00001101", B"11011111",
B"00100100", B"11001001", B"11010000", B"11011011", B"10111110",
B"00110101", B"11000100", B"00011000", B"00001010", B"11100001",
B"01010111", B"10100100", B"11000111", B"11011110", B"01010010",
B"00111011", B"11111110", B"00010011", B"00010011", B"11001000",
B"00011001", B"00110001", B"11010101", B"10110100", B"01000111",
B"11010000", B"00100010", B"11110000", B"10110111", B"11110010",
B"00010000", B"11100000", B"00110011", B"00100100", B"10110010",
B"11111000", B"11111000", B"01000101", B"00010000", B"11101110",
B"00111101", B"00011111", B"11011001", B"11010010", B"00111111",
B"00001110", B"10111001", B"11011101", B"00010110", B"00011101",
B"11111110", B"11100001", B"00001100", B"00000001", B"00011011",
B"11111100", B"11011011", B"11001001", B"00100101", B"00000001",
B"11011100", B"00011000", B"11100101", B"00110111", B"10110111",
B"00010100", B"11111000", B"00101101", B"11101110", B"01000100",
B"00111001", B"11101010", B"00001100", B"00011010", B"00111101",
B"00100100", B"11110011", B"11010110", B"11100001", B"00010101",
B"00110101", B"11011011", B"00010011", B"11110110", B"11011000",
B"00011110", B"11001011", B"01000100", B"00001111", B"00111000",
B"11110100", B"00100001", B"00100011", B"11100100", B"00011100",
B"00010011", B"11010001", B"11110000", B"11111011", B"00001100",
B"00111100", B"01000000", B"11110000", B"10111000", B"11101110",
B"10011001", B"11100001", B"00101100", B"00011010", B"11101010",
B"01110100", B"00010111", B"01000000", B"11001111", B"00000110",
B"00010000", B"11111001", B"11011100", B"00101001", B"10101110",
B"00011001", B"11101000", B"11101100", B"11011101", B"00011001",
B"01000001", B"00000000", B"11110100", B"11001010", B"11010110",
B"11111001", B"00101001", B"00110111", B"11111100", B"00010000",
B"11010011", B"11000101", B"00011010", B"00111110", B"10101111",
B"11110010", B"11110010", B"00100000", B"10101110", B"11100100",
B"00011101", B"00000111", B"11011011", B"11111111", B"01001010",
B"00011111", B"11001000", B"11101101", B"11011001", B"00001000",
B"01101000", B"11101110", B"01010000", B"00100010", B"00001001",
B"00000011", B"00110111", B"11100011", B"00101001", B"11110001",
B"11010000", B"11101111", B"11111101", B"11110001", B"11110001",
B"00001111", B"00101110", B"11111001", B"11011101", B"11111100",
B"01001010", B"00010101", B"11001011", B"00001000", B"00010110",
B"11111011", B"10111100", B"00011110", B"00011111", B"11000101",
B"00110011", B"00001111", B"11101011", B"11010011", B"00101011",
B"11100011", B"11100101", B"01000110", B"11000101", B"00110111",
B"11000101", B"11010110", B"11100011", B"00100000", B"00100111",
B"11011101", B"00000001", B"11010111", B"10111101", B"11011011",
B"00100111", B"00111001", B"00101111", B"00111101", B"11010010",
B"00010110", B"00000100", B"11100101", B"01000110", B"00000011",
B"11010010", B"00000100", B"00001001", B"11101101", B"00100010",
B"11100101", B"11011111", B"00010111", B"10110000", B"01000000",
B"10110010", B"11110001", B"11110001", B"10000000", B"00001101",
B"00000111", B"11001101", B"11000110", B"00011011", B"11100110",
B"00101001", B"11011101", B"00101111", B"11000101", B"00001011",
B"00000001", B"00010111", B"00001010", B"00011110", B"10111110",
B"00000010", B"11000000", B"00000001", B"10111000", B"10110111",
B"11010100", B"10011111", B"00001010", B"00100111", B"01010000",
B"11111101", B"00000000", B"00101001", B"11011100", B"00001000",
B"11111011", B"11010101", B"11110110", B"11010011", B"11010010",
B"11000010", B"11100111", B"11001011", B"00100011", B"00101101",
B"01010011", B"00111001", B"11010001", B"01011001", B"11011011",
B"00001001", B"10111100", B"00111000", B"00100100", B"10101111",
B"11000001", B"00001101", B"00011110", B"00110100", B"00000111",
B"00110000", B"11001100", B"11111011", B"00100011", B"00100101",
B"00100111", B"00110100", B"00001011", B"01010001", B"01000001",
B"11111010", B"00100100", B"11100100", B"00000010", B"00011111",
B"00000000", B"11110111", B"00000101", B"11111010", B"01000000",
B"11101111", B"11101100", B"00001010", B"11111110", B"00001100",
B"10100110", B"11000110", B"00011100", B"00101110", B"01011000",
B"11010001", B"00010110", B"11000111", B"11110101", B"11000101",
B"01001010", B"00010111", B"11010110", B"00011011", B"00010101",
B"00001001", B"00001100", B"00100101", B"01001011", B"11101000",
B"11101010", B"00011000", B"11010101", B"11100111", B"00001101",
B"11110011", B"00110000", B"11111001", B"10111111", B"11111010",
B"00000001", B"11101110", B"10101111", B"10111100", B"01000101",
B"11001010", B"00110000", B"01011011", B"11101101", B"11101100",
B"00010001", B"11011010", B"11111001", B"11011001", B"11001010",
B"10101000", B"00101101", B"00010101", B"00111110", B"00100000",
B"00110001", B"11011001", B"11010101", B"00100101", B"00011001",
B"11100000", B"11100110", B"11000110", B"00010011", B"00000101",
B"00111100", B"11001001", B"00000010", B"11011000", B"01001110",
B"11010111", B"00011100", B"00110001", B"11011011", B"00100010",
B"11111101", B"11010011", B"11110011", B"01001101", B"11000100",
B"01100111", B"00010100", B"00000001", B"10101011", B"11101001",
B"00001111", B"10101011", B"11101010", B"00100101", B"11110010",
B"10101001", B"01010011", B"00110011", B"11111001", B"11000111",
B"00111001", B"00001110", B"00001110", B"11100111", B"01000111",
B"01100101", B"10111101", B"11010011", B"00011000", B"11101001",
B"00100011", B"01010101", B"11001000", B"01110110", B"00001011",
B"11000100", B"00010100", B"10111111", B"10110010", B"10000101",
B"00100000", B"00011110", B"11110001", B"00010100", B"01001001",
B"11001111", B"00100100", B"01000001", B"10110101", B"01101100",
B"01101011", B"11101010", B"11100011", B"00010100", B"11011101",
B"00000000", B"00011010", B"00010101", B"01011011", B"00101111",
B"11110111", B"11001111", B"11111011", B"00001001", B"00001101",
B"00110001", B"00001011", B"11011001", B"01001101", B"00001100",
B"00100110", B"10101001", B"10110011", B"10111111", B"11001001",
B"00011010", B"01000110", B"11011110", B"00111010", B"00111011",
B"11010001", B"00100011", B"00011100", B"00110000", B"00101100",
B"01010000", B"00000001", B"00101001", B"11111101", B"01001011",
B"00000010", B"00110101", B"00100101", B"00010010", B"11111110",
B"10111010", B"11110010", B"00001100", B"11101110", B"11001101",
B"11010000", B"00100010", B"00010001", B"00000000", B"00000111",
B"11011000", B"10111101", B"11111101", B"00110111", B"00001000",
B"00000110", B"11100001", B"00100100", B"01000110", B"00010000",
B"10111001", B"01000111", B"11010011", B"11101111", B"00001111",
B"11100101", B"00001010", B"11100010", B"11111001", B"11100011",
B"01100000", B"00110011", B"11101110", B"11101100", B"11011011",
B"00001110", B"11001000", B"11101001", B"11001011", B"01011110",
B"10011011", B"11011001", B"10000000", B"11110101", B"11101100",
B"11101001", B"11000011", B"11100101", B"11111011", B"11011001",
B"11001111", B"11011001", B"00000001", B"00110011", B"01001010",
B"00010110", B"01010011", B"00000011", B"00111011", B"11101000",
B"00011110", B"10110110", B"00100001", B"10111011", B"11111010",
B"11010110", B"00000111", B"01000110", B"11001100", B"01000100",
B"00000000", B"11111010", B"00001001", B"11101011", B"11010000",
B"11100001", B"00011101", B"00001111", B"01000101", B"00011100",
B"00101000", B"00110100", B"00111110", B"00110000", B"00010001",
B"11101111", B"11101001", B"11101100", B"00010110", B"01001011",
B"11110111", B"11100000", B"00001001", B"00000110", B"00010111",
B"11010011", B"00101110", B"00010110", B"10111000", B"11011110",
B"00010011", B"00000000", B"11101111", B"11010100", B"00010100",
B"00101101", B"00010011", B"00100001", B"10110101", B"01011010",
B"00001100", B"11111000", B"00000001", B"11110001", B"01100001",
B"00111101", B"10011110", B"00000011", B"00011101", B"11100110",
B"00101111", B"11110011", B"11011010", B"11100111", B"11110110",
B"00010001", B"11000010", B"00001010", B"00101000", B"00000011",
B"00101011", B"00000111", B"11111100", B"00011011", B"11100000",
B"10011110", B"00100100", B"11101101", B"10101111", B"11110100",
B"10111111", B"11110111", B"00100010", B"11111101", B"00000110",
B"11011001", B"11011001", B"11111001", B"00000011", B"10110001",
B"11100111", B"00010011", B"11101100", B"00110111", B"10110101",
B"00001101", B"01010001", B"11011011", B"00011111", B"11000111",
B"11111000", B"11100101", B"11000001", B"00001110", B"00101101",
B"00010000", B"11000111", B"10011011", B"11111001", B"00100011",
B"01010011", B"00000000", B"00000010", B"11101100", B"00000010",
B"01000001", B"11100011", B"11101111", B"11011000", B"10111100",
B"00111010", B"00100101", B"11011111", B"10110010", B"11111100",
B"00000101", B"10101111", B"11100010", B"00010101", B"11111000",
B"11000011", B"11110100", B"00001000", B"10001001", B"00100001",
B"00110011", B"11001111", B"11101111", B"11011100", B"11001011",
B"11101100", B"11111010", B"00101011", B"11100101", B"00001111",
B"00000001", B"11111001", B"11011101", B"11110000", B"10101001",
B"00001001", B"11111010", B"11101110", B"11110100", B"00111111",
B"11110010", B"00011110", B"11100010", B"11111011", B"11100001",
B"00101010", B"01000101", B"11100011", B"10100101", B"00010010",
B"11000110", B"00101101", B"11111100", B"11101101", B"11101101",
B"00101111", B"00000011", B"00010010", B"11001001", B"00011000",
B"11000111", B"00000111", B"00000011", B"01000110", B"10111110",
B"11111110", B"11110111", B"10110111", B"10101001", B"01001110",
B"00000000", B"11010110", B"10101111", B"01011101", B"00000111",
B"11000011", B"10111111", B"11001101", B"00010111", B"00111110",
B"00100011", B"01010110", B"11111111", B"00110101", B"11100101",
B"00000111", B"11111011", B"00111011", B"00011000", B"11111000",
B"11011011", B"11100100", B"11111111", B"11110010", B"00011101",
B"11111100", B"11101110", B"11100111", B"11111110", B"00000000",
B"10101011", B"11000010", B"11100100", B"11000110", B"00101111",
B"01000011", B"00110000", B"00111001", B"11000010", B"11100110",
B"11110101", B"11111111", B"11011110", B"00110001", B"01001010",
B"11100011", B"11000011", B"01011011", B"10111000", B"00110101",
B"11101111", B"11111110", B"00010101", B"11000101", B"11100000",
B"01100110", B"00011010", B"11100111", B"10111110", B"11010101",
B"01001100", B"00010110", B"11110000", B"00011110", B"00001010",
B"00010000", B"11111110", B"11001100", B"00110101", B"00110000",
B"11010110", B"11100010", B"00010100", B"00110010", B"00000010",
B"00011000", B"00010110", B"01001001", B"11010110", B"00000101",
B"11010100", B"11011111", B"11110110", B"11100011", B"00100100",
B"00111110", B"00000000", B"11111010", B"11000001", B"10111011",
B"00000011", B"00010110", B"11110001", B"00011110", B"10011111",
B"11111000", B"11111110", B"00001010", B"01010010", B"11101011",
B"11110111", B"11110111", B"00101000", B"11011111", B"11100100",
B"00000110", B"01011010", B"00110000", B"11111111", B"00010100",
B"11101011", B"11001101", B"00010011", B"11010111", B"00000001",
B"11010100", B"00011001", B"11010001", B"00100101", B"11101000",
B"10110110", B"00001101", B"00111010", B"00010101", B"11100011",
B"01000010", B"11101001", B"01000010", B"11111111", B"11011100",
B"11001110", B"00111000", B"11010111", B"11100110", B"11101011",
B"00110111", B"11100000", B"01000100", B"11000111", B"00100011",
B"11001000", B"11110111", B"00010110", B"01000111", B"00110110",
B"10100110", B"11001111", B"11111100", B"00010111", B"00001000",
B"00001110", B"00011110", B"11110111", B"00010011", B"00010000",
B"00011000", B"00000000", B"00100010", B"00100100", B"11100101",
B"00101001", B"10100001", B"10111000", B"11010010", B"11010011",
B"01000011", B"11111111", B"00010110", B"00111000", B"00010101",
B"11110011", B"00111110", B"00010000", B"11110001", B"11101011",
B"01011001", B"00100100", B"00010000", B"11000101", B"11010011",
B"11111101", B"00101000", B"11100111", B"11110110", B"11010110",
B"01111101", B"00111001", B"00001111", B"11100000", B"11111001",
B"00001110", B"11010000", B"00110110", B"10001101", B"11101101",
B"00010001", B"11011011", B"01101111", B"01001110", B"10010111",
B"00100010", B"11110000", B"11100010", B"00000011", B"00001111",
B"00000110", B"00010011", B"11111001", B"11111100", B"00011000",
B"00011111", B"00011001", B"11100101", B"01000010", B"11110000",
B"01101101", B"00011100", B"11110000", B"00010010", B"00001101",
B"11101000", B"01000101", B"00101110", B"11001101", B"00000010",
B"10110110", B"11010100", B"01000011", B"01101000", B"11100001",
B"00101101", B"11010010", B"00100010", B"00011101", B"11100010",
B"00101011", B"00101101", B"11001101", B"10010110", B"01001001",
B"00100010", B"11011011", B"11010010", B"00001100", B"00001100",
B"11101000", B"00010011", B"11010111", B"00101001", B"11111100",
B"00000011", B"00100101", B"10101111", B"11111111", B"00001110",
B"11010001", B"00001100", B"00100000", B"00000011", B"11111001",
B"00001101", B"00010100", B"11100110", B"00110000", B"00000100",
B"11011000", B"00000011", B"00000001", B"00011011", B"11001001",
B"10111011", B"11000011", B"00001101", B"11001110", B"00110000",
B"11011101", B"11010011", B"11101000", B"11011000", B"00010000",
B"00000100", B"11110000", B"00000011", B"00010011", B"11101000",
B"11010010", B"11110011", B"10111110", B"00111011", B"00111111",
B"00001011", B"00000110", B"00010110", B"11110010", B"11101011",
B"11111010", B"11101110", B"11011001", B"11110001", B"00101110",
B"10101011", B"11100011", B"11111100", B"10000111", B"00110101",
B"00011100", B"00101001", B"11010000", B"00000011", B"11001010",
B"00010010", B"11100111", B"11011000", B"11100011", B"00011110",
B"11110100", B"00101100", B"11111100", B"11011000", B"01000000",
B"00000110", B"00100001", B"11110101", B"01000111", B"00001100",
B"00111110", B"00010011", B"01010101", B"00010111", B"00011000",
B"11110110", B"11101011", B"00011011", B"00111000", B"11011100",
B"01001011", B"11010100", B"00111110", B"00101010", B"10110101",
B"10011111", B"11110100", B"11011000", B"00001010", B"01001110",
B"00011111", B"11010001", B"11101010", B"00100100", B"00010100",
B"11001010", B"11111110", B"00010111", B"01001000", B"11000111",
B"11101011", B"00111001", B"00101111", B"11101110", B"11110011",
B"11001001", B"00101101", B"11110110", B"11110001", B"11010111",
B"00100110", B"00001101", B"11101001", B"11110010", B"10111000",
B"11000110", B"11001111", B"00111111", B"00011001", B"00010000",
B"00010000", B"00011001", B"11111000", B"00001000", B"01010000",
B"00011111", B"11101001", B"00000001", B"11110111", B"11001100",
B"00001011", B"00010000", B"11110101", B"11011011", B"11110100",
B"10111110", B"11000100", B"11100000", B"10011010", B"00001111",
B"11111111", B"00010011", B"11011101", B"11000101", B"01000111",
B"11110011", B"11111001", B"11110001", B"00011010", B"11110011",
B"00111010", B"11101010", B"00011010", B"00100001", B"00100010",
B"11100111", B"01001010", B"11110011", B"11010111", B"11111110",
B"00111110", B"11110000", B"11111010", B"00100001", B"11100111",
B"00001110", B"11110000", B"11000010", B"00001100", B"00011100",
B"00010000", B"10100011", B"11110101", B"01001010", B"10001011",
B"11010000", B"00111000", B"11010111", B"00011011", B"11101111",
B"01000000", B"10111101", B"00100100", B"01100111", B"00111100",
B"01000011", B"00000000", B"01000110", B"00000101", B"11001000",
B"00101010", B"00010111", B"11100001", B"11000111", B"00010010",
B"00101111", B"00110110", B"10101010", B"00110100", B"10110011",
B"11110100", B"00001011", B"00100011", B"11101110", B"00011110",
B"01001110", B"01001100", B"00001101", B"11101011", B"00100110",
B"00000100", B"00000000", B"00110001", B"00100101", B"00100010",
B"00100001", B"11111000", B"11100011", B"00000110", B"00011000",
B"00000000", B"00001111", B"01000000", B"10111011", B"10101010",
B"00000010", B"00101101", B"11101010", B"10010101", B"10011001",
B"00110000", B"00001010", B"10111011", B"00110101", B"11010110",
B"00010101", B"11111110", B"10011010", B"00100000", B"00010010",
B"10111010", B"00100110", B"00000000", B"11001110", B"11010010",
B"01000011", B"00001101", B"00001001", B"10110111", B"00100100",
B"11100110", B"00101111", B"00010011", B"11001110", B"00110000",
B"00010101", B"11001111", B"00010100", B"11010010", B"00010110",
B"11100011", B"11001100", B"00011101", B"11111111", B"11010101",
B"00001000", B"00010110", B"01001101", B"11000101", B"11001001",
B"11010101", B"00001000", B"11010011", B"00100100", B"00011110",
B"11001010", B"11011111", B"00010101", B"11101010", B"00011011",
B"10010001", B"11001111", B"00011110", B"00101001", B"11101001",
B"11011011", B"11001011", B"00000111", B"10110110", B"00000011",
B"11110101", B"11101000", B"00111111", B"00010001", B"10101100",
B"10101000", B"11101101", B"11100001", B"11100101", B"11011101",
B"11110101", B"00110011", B"00011111", B"00110001", B"00001111",
B"11111000", B"01001000", B"11011000", B"11111101", B"11110101",
B"00100010", B"01000001", B"11011111", B"00110001", B"00011010",
B"01010000", B"11110101", B"01000110", B"00001101", B"00100011",
B"10100101", B"11001001", B"01001110", B"11101001", B"11011010",
B"11010000", B"00011111", B"00010010", B"11100011", B"00000001",
B"00111010", B"00111100", B"00111111", B"00100101", B"00101111",
B"00100100", B"00101101", B"00010010", B"10111010", B"11010000",
B"11101110", B"11101101", B"11100000", B"11100111", B"11101101",
B"00001101", B"11110101", B"00111001", B"10011010", B"11001000",
B"00001101", B"00000101", B"00110010", B"00010101", B"11100010",
B"10110100", B"11100111", B"11101110", B"00101010", B"11100110",
B"00010110", B"11101110", B"00000110", B"00101010", B"00010010",
B"11110110", B"11100001", B"01000110", B"00101111", B"11001101",
B"11101011", B"11110101", B"10110011", B"00100110", B"11001110",
B"11100000", B"00010111", B"00010011", B"00011011", B"11100000",
B"11111010", B"00001001", B"01001011", B"11110101", B"11100010",
B"01000110", B"11001010", B"11110001", B"00000011", B"00001011",
B"10111101", B"11011001", B"11010101", B"11101011", B"10111011",
B"00001101", B"00101110", B"00101011", B"00001000", B"11110000",
B"11001111", B"11000110", B"10111110", B"00001001", B"10111110",
B"11010101", B"00000010", B"11110100", B"00100010", B"11011100",
B"11010011", B"11100110", B"00110010", B"00010111", B"10111000",
B"00000100", B"11000010", B"11110101", B"10111001", B"11111101",
B"00010000", B"00101001", B"00001110", B"00100110", B"11101101",
B"11111010", B"11001110", B"00110010", B"11110101", B"11111010",
B"00001100", B"11010110", B"00010010", B"01000001", B"11100011",
B"11101000", B"00101100", B"11011010", B"00010100", B"11111010",
B"11110011", B"11110011", B"00000000", B"11101011", B"11110111",
B"11010110", B"11010001", B"01011111", B"00001100", B"11111110",
B"00001000", B"01000000", B"11000111", B"11101111", B"00000100",
B"00011101", B"00011010", B"11010101", B"00000110", B"11111000",
B"00011110", B"00010011", B"00100111", B"11011110", B"00010010",
B"00001000", B"11110001", B"00001011", B"00100100", B"10111010",
B"11100001", B"01001011", B"00110001", B"00010110", B"00011000",
B"00010010", B"01000000", B"00011001", B"11110010", B"01010101",
B"11100101", B"11001101", B"01000100", B"11000010", B"11011110",
B"11110100", B"01000111", B"00101100", B"11010100", B"11010010",
B"11100111", B"11111000", B"00011001", B"00001110", B"00011100",
B"11000101", B"11011000", B"11110100", B"11110000", B"00001001",
B"00101100", B"00001000", B"00111001", B"11001000", B"01000101",
B"11110000", B"11000100", B"00000010", B"11001010", B"01001110",
B"00011000", B"00101010", B"00010011", B"01010101", B"11010011",
B"00110111", B"11010010", B"11010101", B"11100110", B"00110101",
B"00000011", B"00011110", B"00000001", B"11000100", B"11101000",
B"00001011", B"00001101", B"10101001", B"01010010", B"11101010",
B"00001111", B"11110110", B"11000101", B"00001101", B"00101010",
B"00110000", B"11100001", B"11110010", B"11110010", B"00001000",
B"11111110", B"11000110", B"11111101", B"00110000", B"00011101",
B"10011110", B"00000111", B"00000111", B"11011011", B"01000101",
B"11000011", B"11011100", B"00011011", B"11100100", B"10111010",
B"11100010", B"00001111", B"11111110", B"11100111", B"00101011",
B"11101100", B"01111001", B"00001001", B"00101101", B"11100110",
B"11010011", B"11011011", B"00001010", B"01000100", B"11001100",
B"00101001", B"11101101", B"00001111", B"11111001", B"00010000",
B"11100010", B"11111011", B"00100100", B"00101110", B"11011110",
B"11011001", B"00110010", B"00111001", B"00100000", B"11001010",
B"11000101", B"11010111", B"01011000", B"11010101", B"01001100",
B"11100010", B"10111100", B"01010110", B"11011110", B"00111000",
B"11100010", B"00011111", B"00111101", B"00010001", B"00111010",
B"11101011", B"00111011", B"11001111", B"10011000", B"11101001",
B"11011110", B"11011110", B"10110110", B"11010011", B"10111111",
B"11011110", B"11110110", B"00100011", B"01000100", B"00110100",
B"11101111", B"11010000", B"11011100", B"11100110", B"10111000",
B"01001000", B"11110000", B"11011100", B"00100111", B"11100100",
B"00100010", B"00100100", B"00111111", B"00100010", B"00010011",
B"00001001", B"00100001", B"00011001", B"11111011", B"00110001",
B"00001001", B"11101100", B"11110001", B"00100111", B"00010010",
B"11011111", B"11100110", B"00101001", B"11000010", B"00011001",
B"10111011", B"00011001", B"00001100", B"11010011", B"11110110",
B"00100100", B"01000011", B"00101010", B"00001010", B"11010101",
B"00001000", B"00011100", B"00110010", B"00011001", B"00000110",
B"11100001", B"11111111", B"11011110", B"00011111", B"11101011",
B"01001111", B"00111000", B"10110111", B"11001110", B"00011101",
B"00101011", B"11010001", B"11101001", B"11000111", B"00000110",
B"11111011", B"11101100", B"00010100", B"11111011", B"11000010",
B"00010100", B"00011011", B"01001110", B"00001011", B"11011000",
B"11101110", B"01000001", B"11110011", B"00101010", B"00000110",
B"11101010", B"11100110", B"11011111", B"00100000", B"01000011",
B"00011100", B"01000001", B"11100001", B"00011011", B"01001110",
B"11111110", B"11110010", B"00100100", B"11001100", B"00001100",
B"11111010", B"11000100", B"00100110", B"11001011", B"00110110",
B"11001111", B"11100110", B"01001010", B"11010101", B"00010111",
B"11111010", B"11000001", B"11110001", B"11110000", B"00000001",
B"11000101", B"11011010", B"11110111", B"11011101", B"11100001",
B"01001010", B"11011010", B"00011101", B"11110110", B"10110100",
B"11110011", B"00111100", B"00100101", B"01000100", B"00110011",
B"10110100", B"10111001", B"00111011", B"11111110", B"00011010",
B"11101110", B"11101011", B"01010101", B"00110000", B"00000010",
B"00010010", B"11011000", B"11101000", B"11100101", B"11010010",
B"11111000", B"11010011", B"00010100", B"00110110", B"00010101",
B"11110000", B"01000011", B"00010100", B"11111111", B"00001011",
B"11101110", B"00001110", B"00100011", B"11101000", B"00001000",
B"00010010", B"00000110", B"11101101", B"11111011", B"00000000",
B"00101001", B"01001001", B"11001100", B"11011000", B"11001011",
B"11000110", B"11001010", B"00111010", B"11011101", B"11010110",
B"11011111", B"11011010", B"00001000", B"10110111", B"00100100",
B"11011111", B"11011110", B"00010100", B"11010100", B"01101110",
B"00000010", B"11101011", B"00001100", B"00101100", B"10110000",
B"00111000", B"11101000", B"11100010", B"10111011", B"11011010",
B"00101100", B"00101001", B"00011100", B"00101101", B"11111100",
B"11101111", B"01001010", B"00111100", B"11110100", B"00100110",
B"01001000", B"11010000", B"00100011", B"00111001", B"11000111",
B"10101110", B"00100111", B"11001111", B"00010001", B"00011000",
B"00011000", B"11110111", B"01000000", B"11010011", B"11001100",
B"10111001", B"11111001", B"11111000", B"00110001", B"00011011",
B"00110010", B"00001001", B"00001010", B"11100101", B"11111000",
B"00011100", B"11100010", B"11001100", B"11000110", B"00001111",
B"10111000", B"11110100", B"00111110", B"00000100", B"11100011",
B"11001001", B"00011111", B"11110000", B"11010011", B"00100100",
B"11110110", B"11100001", B"01000111", B"10110000", B"11110010",
B"10100111", B"11000010", B"11101011", B"11011101", B"11000100",
B"00110100", B"11111111", B"10110101", B"00011010", B"11111011",
B"00100010", B"11101011", B"00110110", B"00111000", B"11101101",
B"00101000", B"00001100", B"11001101", B"01001100", B"00000111",
B"11001010", B"11101010", B"01010001", B"00001101", B"11110000",
B"00100001", B"11101100", B"11111010", B"00010111", B"00101100",
B"00101100", B"00111010", B"11110000", B"10110101", B"00110000",
B"11111111", B"00000011", B"11110100", B"00101101", B"11010111",
B"11110000", B"11101010", B"00010011", B"11011000", B"11011100",
B"00010011", B"11111110", B"01000010", B"11010100", B"11011110",
B"00101100", B"00111000", B"00001010", B"01100110", B"00110110",
B"01100011", B"01001001", B"11110101", B"11100101", B"00000111",
B"01101000", B"11110000", B"11100101", B"10110000", B"11100101",
B"11111001", B"11010100", B"11000001", B"01000000", B"11010111",
B"00111111", B"10101101", B"10100010", B"00111111", B"11011111",
B"00101111", B"00110001", B"00000001", B"01101011", B"00100001",
B"00000100", B"00100001", B"00001110", B"11100110", B"00000011",
B"11000110", B"00111001", B"00110001", B"00100101", B"00111011",
B"00010010", B"00001110", B"11000111", B"11111110", B"11101011",
B"00101011", B"11010001", B"11110010", B"11010010", B"00101111",
B"00101010", B"00111010", B"00001010", B"01010101", B"11001010",
B"11000111", B"11100110", B"00001001", B"11100010", B"11001000",
B"11100111", B"00111001", B"00111110", B"11100111", B"00100011",
B"11111010", B"01010100", B"11011100", B"01001101", B"11011111",
B"00110001", B"00001010", B"00110100", B"00001001", B"00100111",
B"11011000", B"11111011", B"11000101", B"00110010", B"11100001",
B"00110010", B"00110100", B"00100000", B"00000101", B"11010110",
B"10110100", B"00110101", B"11001100", B"00000111", B"00001011",
B"11010010", B"01100010", B"01010001", B"00000101", B"01000111",
B"10010000", B"11101011", B"11001110", B"11111100", B"11111110",
B"00100011", B"01001011", B"11010010", B"11111101", B"00101011",
B"10001101", B"01001001", B"11000001", B"11111000", B"11100001",
B"00111101", B"11111000", B"10101011", B"11001111", B"00000110",
B"00110110", B"00101001", B"00001100", B"00011000", B"11001000",
B"11111110", B"00011111", B"11101110", B"01000110", B"11000110",
B"11111100", B"11000001", B"11101100", B"01001000", B"00110000",
B"11100000", B"11100011", B"00100011", B"00101111", B"11011100",
B"00101000", B"11100100", B"11110110", B"00011111", B"11111001",
B"00000100", B"00001111", B"01011100", B"10111100", B"00000110",
B"00000011", B"01011000", B"11101111", B"11010111", B"11011011",
B"11100010", B"01001010", B"00111100", B"11001110", B"11001100",
B"10111100", B"11011001", B"00010100", B"00100001", B"11111100",
B"11101001", B"00001001", B"11101011", B"00000111", B"11111000",
B"11011110", B"00111000", B"11110001", B"00110011", B"11101000",
B"00101000", B"11010101", B"11011100", B"11011011", B"11110011",
B"11110001", B"00111111", B"00011110", B"11001111", B"10000000",
B"00011101", B"11101110", B"00000111", B"00001011", B"11001010",
B"11110010", B"11011000", B"11010110", B"11111011", B"11100011",
B"00110000", B"11000011", B"00001011", B"11011100", B"00001110",
B"00110101", B"00011011", B"01000111", B"00110010", B"11000011",
B"00000011", B"11010111", B"00011000", B"00101111", B"01010010",
B"11110011", B"01000010", B"11110100", B"00010000", B"00100011",
B"00000001", B"00011101", B"11010000", B"11011100", B"11010011",
B"00000101", B"11000000", B"11101111", B"00011011", B"00011011",
B"11111100", B"00010000", B"11101100", B"11001011", B"11000000",
B"00101111", B"11110110", B"11111111", B"10010010", B"00001010",
B"11100101", B"00100001", B"00010100", B"11100100", B"11001011",
B"10100101", B"11001110", B"00111011", B"11010011", B"01000000",
B"11010011", B"11011000", B"11001000", B"11001000", B"01010111",
B"00001000", B"11101111", B"00001010", B"11101001", B"00000000",
B"00110011", B"00110011", B"00100100", B"01001100", B"10101000",
B"11011111", B"00101100", B"00101101", B"11101010", B"00000101",
B"00010011", B"00010110", B"11001111", B"10101010", B"10111010",
B"01000000", B"11100010", B"00010010", B"10100000", B"00111111",
B"01101101", B"11100110", B"11001000", B"11000100", B"00100100",
B"00001110", B"00010101", B"11100111", B"10110010", B"00101010",
B"11001100", B"11101000", B"01010011", B"11001111", B"00011110",
B"11100110", B"11110010", B"00000100", B"11001101", B"11111111",
B"10101001", B"00010011", B"11001000", B"11101100", B"00011101",
B"11010100", B"11101100", B"00011010", B"00010010", B"00010001",
B"11000110", B"00110100", B"00101001", B"11111001", B"11011011",
B"11010001", B"00100000", B"11111101", B"01001110", B"01000000",
B"11000110", B"11010011", B"00111100", B"00011000", B"01001001",
B"10111111", B"11001111", B"11000011", B"00010001", B"01010010",
B"00110101", B"11101000", B"11111100", B"11010110", B"00101101",
B"11000110", B"00100100", B"11111100", B"00010010", B"11110100",
B"11110111", B"00000011", B"10011000", B"00001111", B"11101111",
B"00110101", B"00100011", B"11101011", B"00010101", B"10111001",
B"00001110", B"11111000", B"11110001", B"00010100", B"10111110",
B"11101000", B"01001111", B"00101000", B"00010101", B"00100001",
B"11001111", B"00101000", B"11000110", B"11011100", B"00011010",
B"01100010", B"00100101", B"00110010", B"11011001", B"11010111",
B"00010011", B"00101110", B"11110111", B"11011010", B"00101010",
B"11100110", B"11110100", B"00100010", B"00101100", B"11101001",
B"01001101", B"00000000", B"11111111", B"10100000", B"11100110",
B"11100011", B"11000100", B"11100111", B"11111000", B"01100010",
B"00100000", B"11011000", B"00010011", B"11110001", B"11100011",
B"00001110", B"00000010", B"11000110", B"11001101", B"11001101",
B"00100001", B"01010001", B"00101010", B"11000110", B"11101101",
B"00110010", B"11100011", B"11111101", B"00011011", B"11100110",
B"00101000", B"00110001", B"10000100", B"00110000", B"00110100",
B"10111110", B"00000101", B"00110110", B"10100111", B"00100111",
B"01000100", B"00001100", B"11100001", B"00011011", B"11000101",
B"01001011", B"11001001", B"00001100", B"00011011", B"00011000",
B"00100101", B"00101111", B"11101110", B"11010011", B"11110101",
B"11100101", B"00101001", B"10110001", B"11101101", B"11001101",
B"11001001", B"01001010", B"11101110", B"00000111", B"00001011",
B"10110111", B"11010100", B"11100000", B"00100101", B"00010101",
B"11111110", B"11111011", B"00101000", B"11010011", B"11110110",
B"11110101", B"11100010", B"11101111", B"11110000", B"00010110",
B"11101010", B"00110011", B"11111100", B"11011101", B"10110011",
B"11110001", B"11100001", B"00110010", B"11110111", B"00100111",
B"11100011", B"00011101", B"11101110", B"00101100", B"11100100",
B"01000010", B"11000011", B"10110100", B"11011111", B"00001010",
B"00111100", B"11011001", B"00001011", B"11010111", B"11011001",
B"01000001", B"11100010", B"01010100", B"00110111", B"11110001",
B"00011011", B"11010110", B"01010010", B"11011100", B"11101010",
B"10011111", B"00110111", B"00001110", B"11111101", B"11110101",
B"11100100", B"00001101", B"11111000", B"01000011", B"00111010",
B"11101000", B"10111001", B"11110100", B"00101101", B"11001101",
B"01001000", B"00111111", B"00000100", B"01010011", B"10001000",
B"11010010", B"11100110", B"00100010", B"00101010", B"11101111",
B"01010000", B"11110001", B"11001111", B"11111111", B"00110111",
B"00101110", B"11110000", B"00011101", B"00010000", B"00110010",
B"11101010", B"00111011", B"01011011", B"00000100", B"10110110",
B"11110001", B"11100111", B"01000111", B"00100101", B"00010001",
B"01100010", B"01001100", B"11100111", B"00101100", B"00010011",
B"00001011", B"00100011", B"11101000", B"00010101", B"11001100",
B"00101101", B"10011000", B"11110110", B"11010100", B"10111010",
B"11100011", B"11111100", B"00111011", B"00010010", B"00100010",
B"10000010", B"10110000", B"00010001", B"11111110", B"00011101",
B"00010011", B"00101000", B"11101111", B"11000000", B"11001000",
B"00001111", B"11100011", B"00110110", B"10110011", B"00000101",
B"11010101", B"00011000", B"00100101", B"11110011", B"00101101",
B"00100101", B"11101001", B"11101011", B"10101111", B"00101101",
B"11010110", B"10110101", B"00101100", B"11100111", B"11100101",
B"10110110", B"00000010", B"11110000", B"11000111", B"11010101",
B"00000000", B"01010001", B"11111100", B"10111001", B"11001101",
B"11010100", B"01100000", B"00111011", B"00111011", B"11111001",
B"01101001", B"00011111", B"00100000", B"00100001", B"00011100",
B"01100110", B"00000101", B"10111011", B"00110101", B"01000101",
B"11010001", B"10110101", B"00111101", B"11101111", B"11110000",
B"00100111", B"11000000", B"11011101", B"11110010", B"10110110",
B"11100100", B"11110111", B"01001100", B"11111000", B"10110111",
B"11100000", B"11111101", B"00011010", B"10101000", B"00000110",
B"00110010", B"00000000", B"11111110", B"11010011", B"10100111",
B"11010101", B"00001100", B"00010010", B"11010110", B"11011011",
B"11110000", B"11010010", B"00101000", B"10100100", B"11100100",
B"10111101", B"10110101", B"11011110", B"11011110", B"00011100",
B"00011110", B"00011101", B"11101110", B"11001111", B"11111111",
B"00001000", B"11010011", B"00110001", B"11101110", B"11001111",
B"00011101", B"00111010", B"00011000", B"01001001", B"11101100",
B"00001001", B"11100111", B"11100110", B"11000011", B"00011000",
B"00110110", B"10111011", B"00010011", B"00011000", B"11110011",
B"11001100", B"00100001", B"00001010", B"01001001", B"10111110",
B"11100101", B"11111101", B"00111011", B"11110001", B"10111110",
B"00010111", B"00100110", B"11110101", B"00010000", B"00101101",
B"11111000", B"00000000", B"00010010", B"00111100", B"00100000",
B"00010011", B"11001111", B"00110001", B"11011001", B"00111011",
B"11011111", B"00100001", B"11110100", B"11001000", B"11100010",
B"11111100", B"11100011", B"11101001", B"11111110", B"11111110",
B"00000000", B"00001100", B"11101101", B"00001100", B"00010010",
B"00011100", B"00111110", B"00111100", B"00001010", B"00000110",
B"11110001", B"00101110", B"00000010", B"00010010", B"11101110",
B"00011110", B"00101111", B"01000010", B"11001001", B"11101000",
B"11001001", B"11110000", B"11011111", B"11011101", B"00111100",
B"11111100", B"00101001", B"11101110", B"00101110", B"00010011",
B"00010000", B"11101100", B"00111001", B"11011110", B"10110000",
B"11001010", B"00010010", B"11010101", B"11010100", B"00000101",
B"00100111", B"11011010", B"00100110", B"11101011", B"11100101",
B"00110110", B"00111001", B"00101000", B"00000010", B"00101010",
B"01001101", B"10011100", B"01010100", B"11110011", B"00010001",
B"11100111", B"00011000", B"00011001", B"11011001", B"11101100",
B"00010000", B"11011000", B"11011000", B"00101001", B"10110101",
B"01100101", B"00110110", B"11100100", B"00001001", B"00100110",
B"11100000", B"11001101", B"00000110", B"11101010", B"00011111",
B"00101110", B"11111000", B"00100011", B"11101101", B"11001010",
B"00111110", B"11101100", B"00000110", B"11000001", B"11101110",
B"01010111", B"11110010", B"10010100", B"11110000", B"00001010",
B"01010101", B"00001010", B"00100001", B"00011000", B"01000010",
B"11111100", B"11100001", B"00110110", B"00010100", B"11111111",
B"00000101", B"10110101", B"00010001", B"00001000", B"00110110",
B"11101000", B"01001010", B"01001100", B"11100101", B"00010001",
B"00111110", B"00101110", B"11101010", B"00110001", B"01010001",
B"11100010", B"11110110", B"10111001", B"11101110", B"00110000",
B"11001010", B"00001110", B"11111000", B"11100010", B"11101100",
B"11111001", B"00110110", B"11010110", B"11010000", B"00110100",
B"00101010", B"00000000", B"00101001", B"11111000", B"10101110",
B"11001100", B"10111101", B"00001111", B"11110001", B"00011110",
B"01010100", B"00111111", B"00001000", B"00100100", B"11010011",
B"01001001", B"00001001", B"10110110", B"00001010", B"00110100",
B"10111111", B"11000101", B"01110010", B"11010110", B"11111001",
B"00000100", B"00101100", B"00000011", B"11111001", B"00011011",
B"00011011", B"00101110", B"11110000", B"00100001", B"00011101",
B"11100010", B"00100101", B"00001001", B"11010000", B"00010010",
B"11010111", B"11110111", B"10111011", B"00010001", B"01001001",
B"00101001", B"10110100", B"11001010", B"10111100", B"11010010",
B"01100000", B"11000001", B"00110010", B"00011010", B"11111011",
B"00100001", B"11011101", B"01001100", B"11000001", B"01010000",
B"00001110", B"00111000", B"11001101", B"11101010", B"01010000",
B"11010101", B"00001101", B"11011101", B"11010101", B"11110001",
B"11110110", B"00100100", B"11001001", B"11100001", B"11101111",
B"00000100", B"01000011", B"00111010", B"10110110", B"11100100",
B"00100110", B"00001000", B"11010011", B"11001000", B"11010111",
B"11010001", B"00001011", B"10111111", B"00011001", B"11100101",
B"00111010", B"00110110", B"11101110", B"11010100", B"11101010",
B"11001000", B"00010100", B"11111001", B"00100000", B"11111000",
B"01001000", B"11101111", B"11000011", B"11111100", B"00011000",
B"00101011", B"00000111", B"11100000", B"00010001", B"00010100",
B"00000000", B"00000000", B"11100011", B"00010111", B"00100000",
B"00101110", B"00110100", B"00101101", B"00011101", B"11110001",
B"11110001", B"11110110", B"11010011", B"01001010", B"01101110",
B"00110000", B"11111000", B"11001111", B"00010100", B"11100101",
B"00000111", B"10111000", B"11101000", B"00001101", B"00010111",
B"10110111", B"11101000", B"11101101", B"00100001", B"11111001",
B"10101010", B"00000000", B"01001111", B"00010100", B"11110010",
B"01000000", B"00000111", B"00101010", B"00000101", B"00000001",
B"00110100", B"00110101", B"00001010", B"11110111", B"11111010",
B"00000010", B"10111000", B"00011111", B"11111010", B"00101010",
B"11011101", B"11001110", B"11100010", B"01000111", B"00001110",
B"11101110", B"11101011", B"01111011", B"11101101", B"11001111",
B"11011101", B"11101111", B"11010010", B"10100110", B"00011010",
B"00100110", B"11101000", B"00000011", B"11001110", B"11001101",
B"11111001", B"11110110", B"00100000", B"00111111", B"11010001",
B"00010001", B"10000000", B"11011111", B"11100001", B"00011111",
B"00011010", B"00010101", B"11110010", B"11111110", B"11111100",
B"11111111", B"11010111", B"00100010", B"11111010", B"00010111",
B"00001111", B"00001101", B"11111011", B"11110111", B"11110101",
B"11110010", B"00111101", B"11000100", B"00011100", B"11110010",
B"11110000", B"01010000", B"00011010", B"01011111", B"11111001",
B"11010101", B"01010001", B"11001000", B"10110001", B"11100110",
B"11100110", B"00100100", B"00000110", B"11000111", B"11101101",
B"00001101", B"01011100", B"11001001", B"10101110", B"10101010",
B"11011000", B"11100001", B"10101111", B"00110111", B"00000010",
B"11111011", B"00011000", B"00000111", B"00010111", B"11010011",
B"00000111", B"01001101", B"00001001", B"11000010", B"00100000",
B"10111001", B"00011100", B"00100111", B"10111100", B"11110000",
B"11101100", B"11111001", B"11010111", B"10111111", B"11101100",
B"11010011", B"11101101", B"00100000", B"11100100", B"01000111",
B"11010011", B"10110111", B"11110001", B"11001100", B"11011110",
B"00100101", B"00010001", B"00101110", B"00101001", B"00110111",
B"00100000", B"01001000", B"00001100", B"00101100", B"00110000",
B"01100010", B"11111101", B"11100100", B"11111011", B"11100001",
B"11001001", B"11001101", B"00001000", B"01001111", B"11100001",
B"11111011", B"10111110", B"00001101", B"00001010", B"11000100",
B"11011101", B"11000101", B"11100110", B"11100101", B"00111100",
B"00101001", B"11001010", B"01000000", B"11000000", B"11001011",
B"10001110", B"11010110", B"11011111", B"01010110", B"11111100",
B"00110010", B"11100011", B"10111010", B"01001001", B"11111011",
B"11111100", B"11111001", B"11001011", B"00001000", B"00100011",
B"11001110", B"00010011", B"00000110", B"00011001", B"11101100",
B"00001101", B"00111000", B"11111000", B"00010011", B"00001100",
B"11001011", B"10000111", B"00111010", B"00000001", B"11111100",
B"00100110", B"11111000", B"11110110", B"00001010", B"11010000",
B"00010100", B"11011101", B"01000010", B"11010111", B"11000111",
B"00101111", B"11110110", B"01100110", B"00101100", B"00000111",
B"11101101", B"00101100", B"11010001", B"00001100", B"00111110",
B"11111101", B"00111100", B"11101100", B"00110111", B"00000010",
B"00011110", B"11110010", B"00010101", B"00010001", B"11111101",
B"11001111", B"01011111", B"00001100", B"00000100", B"11101111",
B"00100110", B"11101110", B"00100111", B"10111110", B"11100101",
B"11001111", B"00110001", B"00100011", B"00000001", B"00011000",
B"11101011", B"01000100", B"11000010", B"11011101", B"11110110",
B"00110000", B"11100011", B"00011100", B"00000000", B"10110101",
B"11100010", B"00000110", B"11101001", B"10101111", B"00001010",
B"11011011", B"11111000", B"11010110", B"10111101", B"11100000",
B"11010110", B"00001000", B"11010111", B"00110001", B"11110010",
B"11111110", B"11111100", B"11010000", B"00000010", B"00110010",
B"00011011", B"00111110", B"11010111", B"00010011", B"00011101",
B"11011011", B"11100110", B"00100011", B"11010110", B"00100111",
B"00000101", B"00101100", B"10001101", B"01000011", B"11100001",
B"00011011", B"01001000", B"11000101", B"00010011", B"00011011",
B"11111011", B"11100001", B"01000100", B"11100111", B"11011001",
B"11001011", B"11100111", B"11101011", B"01001100", B"00010001",
B"00100011", B"00111010", B"00100111", B"00110011", B"11110100",
B"00110000", B"00000100", B"11111111", B"11000111", B"11111011",
B"00100000", B"00100010", B"00011000", B"00110001", B"11101001",
B"01111111", B"11100110", B"00010010", B"00011101", B"00011010",
B"11101010", B"11101101", B"01001111", B"00010111", B"11111011",
B"00000101", B"00001100", B"01000100", B"11001111", B"11111111",
B"00000010", B"11001001", B"00011100", B"11111111", B"00011010",
B"00111000", B"11001001", B"00100000", B"11010110", B"11001011",
B"00010100", B"00101110", B"11100010", B"11100011", B"11111101",
B"11000000", B"00101110", B"10111100", B"11110000", B"11111101",
B"00000111", B"10111100", B"10111101", B"00000100", B"11001101",
B"00101101", B"11101011", B"01001011", B"11010100", B"00001100",
B"11011111", B"00001101", B"11000010", B"00100110", B"01011001",
B"11000000", B"00100011", B"00100000", B"11100011", B"11110000",
B"00001100", B"00010010", B"11101010", B"11010101", B"00111001",
B"11101010", B"01101101", B"11110001", B"10111010", B"00110001",
B"00101011", B"11001010", B"11111100", B"00001101", B"11011011",
B"11001010", B"00011010", B"01000011", B"00101010", B"00001010",
B"10111110", B"11101110", B"11101011", B"00101010", B"00010000",
B"00010001", B"00011100", B"11100001", B"11110010", B"00101110",
B"11001110", B"11100111", B"11110011", B"00100110", B"11100000",
B"00111001", B"01000001", B"11001100", B"11010010", B"00000100",
B"11111100", B"00100010", B"11001000", B"00100110", B"00101111",
B"11101011", B"11101001", B"11111000", B"11100100", B"11100100",
B"11111010", B"01010111", B"00110011", B"00000100", B"11010100",
B"10111100", B"00010101", B"01010110", B"11000001", B"11011000",
B"11111100", B"11110101", B"11011100", B"11010000", B"11110101",
B"00011000", B"11101101", B"11010011", B"11101001", B"10000000",
B"01000011", B"01001110", B"00000101", B"00111101", B"11101000",
B"00011011", B"01000111", B"00101110", B"11010111", B"00101111",
B"00001100", B"10111111", B"11010011", B"01001000", B"00110000",
B"00001111", B"00111010", B"11000110", B"10111010", B"10101000",
B"00011110", B"00101011", B"11100111", B"11101000", B"11010110",
B"00001010", B"00011100", B"00001111", B"00011101", B"00001110",
B"00100000", B"10100100", B"11011010", B"00000101", B"11000111",
B"11000101", B"11101010", B"11000101", B"11010100", B"11100101",
B"11011010", B"10111110", B"11110010", B"00000001", B"00101100",
B"00011011", B"11001101", B"00000011", B"00101001", B"11011010",
B"00110111", B"11001011", B"11101110", B"00110011", B"00110111",
B"11101010", B"00010111", B"11100001", B"00010010", B"10110000",
B"00100100", B"00000010", B"00000110", B"11010001", B"01000010",
B"00011100", B"00101101", B"00011011", B"11001111", B"11011010",
B"11001101", B"00011110", B"11011110", B"10100101", B"11110100",
B"00010100", B"11110001", B"10101110", B"11001001", B"00011000",
B"01010111", B"11001111", B"11000111", B"00110110", B"00111000",
B"11100101", B"00000010", B"00010000", B"11110000", B"10111000",
B"11010011", B"00101001", B"01011011", B"11101110", B"11100001",
B"11001100", B"00010100", B"01001010", B"00010010", B"10110000",
B"11111101", B"11111110", B"00011100", B"11100000", B"00100000",
B"00100111", B"00100010", B"00000100", B"00100100", B"11001101",
B"00100100", B"11100110", B"11110111", B"10011000", B"11110001",
B"01000011", B"11000101", B"11011010", B"00101101", B"00110011",
B"11111001", B"01010110", B"00000101", B"10110110", B"11100111",
B"00011111", B"10111011", B"11100100", B"00100101", B"11111110",
B"00100010", B"00001110", B"11000011", B"11010000", B"00000110",
B"11100010", B"00010110", B"11110000", B"00010111", B"11101001",
B"11101000", B"11111100", B"11001000", B"01000011", B"11010100",
B"00101000", B"11010111", B"01000010", B"11100011", B"11110111",
B"00011111", B"01000110", B"00010011", B"00110000", B"11111110",
B"00101001", B"00001011", B"00100011", B"01000001", B"11110111",
B"11000001", B"00011100", B"11001111", B"11110101", B"11100110",
B"10011111", B"11011001", B"11111011", B"11111000", B"00001001",
B"11010100", B"11001000", B"11111011", B"11110111", B"00001100",
B"00011101", B"11001010", B"11111110", B"00011100", B"11100110",
B"10001110", B"00100110", B"00101001", B"00010011", B"00110110",
B"11010101", B"00001011", B"11011000", B"01010010", B"11001100",
B"10111100", B"11110111", B"00001111", B"11001000", B"00111011",
B"11110011", B"00100010", B"01001010", B"00010001", B"00100111",
B"11100101", B"00101000", B"01000111", B"00000101", B"11101011",
B"00001010", B"11110101", B"11111101", B"00001101", B"00001000",
B"01010010", B"00111001", B"11111101", B"01100011", B"00100000",
B"11101100", B"00001000", B"11110101", B"00011101", B"10011100",
B"00011101", B"11001101", B"11111001", B"10110000", B"01001111",
B"10110001", B"11101110", B"11001111", B"01001011", B"00011100",
B"00011001", B"11111101", B"11000110", B"00100110", B"10000001",
B"00110011", B"11110110", B"11110011", B"11011110", B"00000101",
B"00000101", B"00100001", B"10110111", B"00000010", B"11100100",
B"11101001", B"10011111", B"00100111", B"11100110", B"11111010",
B"10010000", B"10111001", B"00101010", B"01001111", B"11110010",
B"01111111", B"00000100", B"11101010", B"00110000", B"01001100",
B"11101101", B"00011111", B"00000110", B"00010110", B"11100001",
B"00100101", B"01111100", B"11001100", B"00001001", B"11001010",
B"11011010", B"11001101", B"00000111", B"11000001", B"00100011",
B"00100101", B"01000010", B"00011100", B"11001101", B"11110100",
B"11101111", B"11111111", B"01010000", B"00001100", B"01000010",
B"00001100", B"10100010", B"11001011", B"11010100", B"11100000",
B"00000001", B"01010011", B"00010100", B"00111101", B"11000100",
B"00010010", B"10111011", B"11011101", B"11101101", B"11111111",
B"11111110", B"11100011", B"10110000", B"11010001", B"11100110",
B"11110011", B"00101111", B"11110111", B"00100100", B"11011001",
B"00011110", B"00010110", B"11100010", B"00001100", B"11101010",
B"00011000", B"11011000", B"00001001", B"11001010", B"11110101",
B"00001100", B"11101110", B"11011011", B"00011001", B"00000001",
B"00000111", B"11110000", B"00110010", B"00010001", B"11101111",
B"00001011", B"11100001", B"00010111", B"11011111", B"11101101",
B"01100100", B"00001101", B"11111001", B"11011110", B"11101001",
B"11000111", B"11000111", B"00011101", B"00011111", B"00010001",
B"00000011", B"00000011", B"11001101", B"00110010", B"00101010",
B"01001010", B"00010101", B"11101110", B"10111000", B"01001010",
B"11110101", B"11111111", B"10011010", B"11110010", B"00000100",
B"00010001", B"11010010", B"01001001", B"11010001", B"11111110",
B"11011000", B"00111100", B"11011100", B"00000010", B"00011101",
B"11001110", B"11010101", B"11011110", B"11101011", B"11101111",
B"00100100", B"11000111", B"00000100", B"11011110", B"00010010",
B"00000011", B"11110101", B"00111001", B"01000100", B"10110110",
B"11100010", B"00001101", B"11001010", B"10101010", B"11111010",
B"00011111", B"11011000", B"11011001", B"10111101", B"11101001",
B"00001110", B"11100100", B"01000001", B"10101000", B"11100110",
B"00001011", B"10101111", B"00101101", B"00110101", B"00010111",
B"00010111", B"11010010", B"10111100", B"00100110", B"11011001",
B"00100100", B"00011110", B"11011011", B"00100101", B"11101101",
B"11111111", B"11001111", B"00111111", B"00110111", B"11100100",
B"00101100", B"10110001", B"00001100", B"11010101", B"11001000",
B"11101101", B"00100101", B"11001011", B"00000011", B"10111000",
B"11101001", B"00110101", B"11010110", B"11111111", B"11110110",
B"11100111", B"00110100", B"00110101", B"11010011", B"10111011",
B"00000000", B"00001000", B"01011111", B"00000000", B"01010101",
B"11101100", B"00000100", B"11111011", B"00001100", B"00100011",
B"11111101", B"00111100", B"11101101", B"11110101", B"11001110",
B"11100001", B"00101000", B"00001111", B"11101010", B"11100111",
B"10110010", B"11100110", B"11110100", B"11100101", B"10101111",
B"00110001", B"00100011", B"00000010", B"00001000", B"00010010",
B"00001101", B"11111011", B"11101011", B"11000001", B"00011110",
B"00000011", B"00011100", B"00100000", B"00000010", B"00101100",
B"01001010", B"11001101", B"11101110", B"11001111", B"00111000",
B"11111100", B"11110110", B"00101100", B"11001001", B"00100000",
B"11010010", B"11011000", B"01001001", B"01101010", B"00110011",
B"11101001", B"00011011", B"11000101", B"11100100", B"00001001",
B"10110000", B"11111101", B"00101110", B"11100101", B"10110000",
B"11111001", B"10010011", B"00010001", B"10111100", B"00011000",
B"11010000", B"00110101", B"11010011", B"11101001", B"11110011",
B"01001011", B"11100110", B"10110001", B"11100101", B"00011010",
B"00010100", B"11110100", B"11101001", B"00011101", B"11000111",
B"00010010", B"11111101", B"00100111", B"10101010", B"11011011",
B"11110011", B"00110111", B"00001000", B"11011101", B"01000100",
B"00010001", B"01000000", B"01001000", B"00100000", B"00101000",
B"01011000", B"11110111", B"00011010", B"11110000", B"00000001",
B"10110011", B"00101101", B"00000001", B"11010011", B"11111100",
B"11110111", B"11011110", B"00000101", B"00000100", B"10101000",
B"11111101", B"01010011", B"11001101", B"10111110", B"00110100",
B"00111011", B"11110100", B"11011000", B"00011000", B"11100101",
B"11110110", B"00101010", B"11011110", B"11100100", B"00011100",
B"11101010", B"11011111", B"11000001", B"11110010", B"00110111",
B"00010011", B"11111011", B"01001001", B"01010101", B"01010101",
B"11000111", B"00010111", B"11000001", B"01011011", B"11111110",
B"00101110", B"10110101", B"00100000", B"11011110", B"00111000",
B"01000011", B"11111000", B"00011101", B"00100101", B"00101001",
B"11111001", B"11111000", B"10100111", B"11100101", B"11111101",
B"00110101", B"10110110", B"00101000", B"10110100", B"10111101",
B"00110011", B"11110011", B"11001100", B"01001110", B"10111001",
B"00001010", B"11101100", B"11101110", B"00101011", B"10101110",
B"00101110", B"11010111", B"01111100", B"11100101", B"11110010",
B"00100100", B"00001110", B"00111101", B"10111111", B"00101011",
B"11101111", B"00010000", B"11010110", B"01000111", B"11010110",
B"11101110", B"11101101", B"00100001", B"00101110", B"01000010",
B"01000100", B"10100101", B"11010101", B"01000011", B"00101100",
B"00000101", B"11111101", B"00100110", B"01001101", B"11000101",
B"00010100", B"11100100", B"10110001", B"01000110", B"11011011",
B"01000000", B"10100110", B"00100100", B"00100011", B"00001001",
B"00011100", B"11110111", B"00011111", B"01011110", B"10001011",
B"00000110", B"00010001", B"00001100", B"11000000", B"00010100",
B"11100011", B"01001001", B"00101011", B"01000011", B"01001111",
B"10111010", B"00101100", B"00000000", B"11101000", B"11000110",
B"11110011", B"10101011", B"10110010", B"10110111", B"11010010",
B"00010101", B"01000100", B"10110001", B"11111011", B"11010000",
B"11111011", B"00101111", B"11111001", B"00010000", B"01100110",
B"00000010", B"01000000", B"00001101", B"11111110", B"11001010",
B"11110010", B"11001101", B"10110001", B"01000101", B"00100010",
B"11100011", B"00011110", B"00101101", B"11010100", B"11111000",
B"11000111", B"11011000", B"00011111", B"00000100", B"00010110",
B"11001011", B"00110011", B"11111001", B"11101010", B"11110000",
B"00001100", B"00100101", B"11110010", B"00111000", B"11001110",
B"11011100", B"00001011", B"10100110", B"11000101", B"10110110",
B"11010111", B"00010111", B"01010110", B"00110111", B"01010010",
B"01001111", B"11111110", B"00010011", B"00110010", B"01000101",
B"01010100", B"11110011", B"00010010", B"00111111", B"10111110",
B"11110100", B"11001110", B"01000101", B"00000000", B"11000001",
B"01010001", B"00100001", B"11100100", B"11011100", B"01011100",
B"11011110", B"00000100", B"00001100", B"11100000", B"00000100",
B"10110110", B"10010101", B"00110011", B"11111000", B"11100101",
B"00011000", B"10110111", B"11110010", B"11001000", B"11011100",
B"11110110", B"00010011", B"10111110", B"00010010", B"11110010",
B"11010110", B"11101001", B"11101111", B"11011111", B"00010101",
B"11100100", B"00011010", B"11110011", B"11101010", B"11010101",
B"01100000", B"00010100", B"00101100", B"11110001", B"10110100",
B"10111110", B"00100000", B"00100000", B"01001001", B"00100100",
B"00110101", B"00001111", B"11010111", B"11101100", B"11110010",
B"01001100", B"00100101", B"11111011", B"11011110", B"11010100",
B"11000100", B"00101010", B"00001001", B"11100000", B"01100000",
B"11110010", B"00010001", B"11010001", B"00100011", B"11011001",
B"11001000", B"11101110", B"00111100", B"00001100", B"11111110",
B"00001010", B"11111110", B"01000100", B"11100010", B"11101010",
B"00101011", B"00000101", B"11001100", B"00110111", B"11101100",
B"00100011", B"01101101", B"01001001", B"11100001", B"11100000",
B"11100110", B"11000100", B"00001100", B"10111001", B"11001110",
B"11111110", B"11100001", B"00011110", B"11011011", B"11100010",
B"01010000", B"00010011", B"00110101", B"00111011", B"11101110",
B"11011110", B"00000110", B"10111011", B"11100011", B"00100101",
B"11011001", B"11001011", B"10110101", B"10111110", B"11100110",
B"00010000", B"11111001", B"11111100", B"00011101", B"11111001",
B"11000111", B"11100111", B"01000011", B"01001011", B"00110011",
B"11110110", B"00111011", B"11000100", B"01001000", B"10111001",
B"11101110", B"00111011", B"01000101", B"00001111", B"00101111",
B"11111010", B"00110100", B"00101111", B"11001001", B"11101010",
B"01111111", B"11011100", B"11100100", B"10110100", B"10100111",
B"11111110", B"11111011", B"00110010", B"00111001", B"11101011",
B"11010101", B"10101111", B"10101010", B"11111001", B"01001000",
B"11101000", B"00110101", B"00000010", B"00001001", B"11010010",
B"00100001", B"11110011", B"00111000", B"11011110", B"01101010",
B"01011010", B"00011000", B"00100110", B"11101100", B"10100001",
B"11101001", B"00000100", B"11110001", B"11111100", B"00000111",
B"11000110", B"00011010", B"10110001", B"10100001", B"00111010",
B"00110110", B"00010101", B"10010100", B"00010111", B"11101010",
B"00000110", B"00001101", B"11111100", B"11100001", B"00101010",
B"11100101", B"11101101", B"11111011", B"10111000", B"10110111",
B"11011011", B"00101010", B"00000100", B"00100100", B"00010100",
B"11000010", B"11010010", B"11000000", B"00100011", B"00111101",
B"00000011", B"11011010", B"01001010", B"11011101", B"11111011",
B"00010010", B"11100111", B"00010001", B"00010110", B"00011100",
B"00100110", B"11000010", B"11011110", B"01001011", B"11111001",
B"11101010", B"11101100", B"11101011", B"01000011", B"11111100",
B"00010111", B"00000101", B"00111010", B"00111111", B"11010011",
B"11110110", B"11111100", B"11010111", B"11010001", B"11000010",
B"00001011", B"01100100", B"11110000", B"10100101", B"11000100",
B"11111010", B"11010110", B"00001011", B"11100011", B"10110011",
B"00100001", B"00011010", B"00100011", B"11010101", B"11001110",
B"11101000", B"00000100", B"11100111", B"11110011", B"11111010",
B"11101100", B"00110111", B"00001101", B"00010010", B"00011110",
B"00011101", B"11011001", B"00101001", B"10100100", B"00100000",
B"11100101", B"11111100", B"11101000", B"10111100", B"00000100",
B"11011111", B"11111100", B"11010000", B"00001011", B"01010111",
B"11110110", B"00001011", B"11110011", B"00100100", B"10111110",
B"00111101", B"11100111", B"00100110", B"10111010", B"01000001",
B"00000101", B"11110000", B"11000011", B"11101101", B"01000101",
B"11010011", B"00010011", B"00100110", B"01011000", B"10001100",
B"00100011", B"11100011", B"11101000", B"11111110", B"10111110",
B"00010001", B"11101110", B"11010101", B"11011001", B"00001001",
B"01011111", B"00111101", B"11011010", B"00110011", B"00011100",
B"11011100", B"11111100", B"01000100", B"00110000", B"11111010",
B"11110011", B"10011001", B"00000111", B"11111001", B"11111111",
B"11111010", B"00001101", B"00100100", B"00100001", B"00101010",
B"00110111", B"00111101", B"01000101", B"11111000", B"00000111",
B"01000010", B"11111011", B"00000111", B"11011000", B"10111010",
B"00101111", B"11110000", B"00000101", B"00000010", B"11011101",
B"01010010", B"10110110", B"00001101", B"11010001", B"11100011",
B"00101111", B"00001100", B"00011000", B"11110110", B"00100111",
B"00101001", B"00000001", B"00001100", B"00101101", B"00110110",
B"00001001", B"00110111", B"11100110", B"01000101", B"11101100",
B"11010101", B"11100010", B"00000111", B"11000010", B"00101110",
B"01000111", B"11100000", B"00101100", B"00001101", B"11011111",
B"00100110", B"00001011", B"10101100", B"11001110", B"00101001",
B"11101111", B"00000101", B"11110101", B"10101101", B"00000000",
B"10111100", B"11110101", B"00001100", B"11100110", B"01110100",
B"00101001", B"00100001", B"11101111", B"00000101", B"00100110",
B"11010001", B"00100000", B"01000011", B"11011000", B"11010111",
B"11111001", B"11110111", B"00101101", B"00110010", B"00001101",
B"11110010", B"10101011", B"11110011", B"00100011", B"01000001",
B"11110111", B"11010111", B"00101010", B"00000110", B"00000011",
B"00010111", B"00001001", B"11011001", B"01010000", B"10110110",
B"00001010", B"10111000", B"11110110", B"11010100", B"00110111",
B"00111101", B"11001110", B"00010010", B"11011111", B"11101110",
B"11111100", B"10101101", B"11100001", B"00010000", B"11010101",
B"11010111", B"10111001", B"10101100", B"11101000", B"00110110",
B"11001110", B"11011000", B"00111101", B"11101011", B"00010100",
B"11110011", B"00000011", B"00011111", B"11110011", B"10111101",
B"11000000", B"11100010", B"00101001", B"11100000", B"00110101",
B"11111101", B"00110001", B"11100000", B"00110100", B"11110001",
B"01100001", B"11110001", B"00010110", B"10100101", B"00001111",
B"11010010", B"11001110", B"10101000", B"00011101", B"00000110",
B"11100011", B"11111111", B"00010101", B"10111010", B"00111111",
B"00110000", B"11110111", B"11011101", B"01001100", B"00001101",
B"11110100", B"00010111", B"11100010", B"11000001", B"00000000",
B"10010010", B"01101011", B"00100110", B"00101011", B"11110010",
B"11111110", B"00011011", B"11101101", B"10111101", B"00110001",
B"11111011", B"00110000", B"00111011", B"00001011", B"11100110",
B"00110011", B"11110100", B"00000101", B"00000001", B"11011100",
B"11110110", B"00100000", B"10100110", B"11111110", B"00000010",
B"11001000", B"00111000", B"00110000", B"00001010", B"00111000",
B"01001010", B"00110010", B"00111000", B"00010011", B"10110101",
B"11100110", B"00100100", B"00100001", B"11000010", B"00011011",
B"00011011", B"01000110", B"11100100", B"11100011", B"01111110",
B"00101010", B"11100000", B"10110110", B"00010011", B"01011011",
B"11110110", B"11001110", B"00011101", B"10111110", B"00011101",
B"11010101", B"10100000", B"01000111", B"01010001", B"11111011",
B"11111111", B"00110111", B"00111111", B"11110101", B"00000110",
B"00001001", B"11100011", B"00010011", B"00000111", B"11111111",
B"01000101", B"11110001", B"11100000", B"00100110", B"11011110",
B"00011011", B"11001100", B"00101101", B"10111010", B"10001111",
B"00111101", B"00000010", B"00010011", B"00110011", B"00111001",
B"01010100", B"00010000", B"00000111", B"00001011", B"00101010",
B"11000010", B"11010111", B"10111010", B"11011101", B"00010101",
B"00001001", B"10111111", B"11110001", B"11001010", B"01001011",
B"01011010", B"11010000", B"00010001", B"10110101", B"11011001",
B"10110000", B"00100101", B"00100011", B"00010100", B"00110001",
B"00011000", B"01000001", B"00001100", B"00110000", B"11100001",
B"00001010", B"00000100", B"11011010", B"11111101", B"00001001",
B"00110111", B"00001010", B"00101010", B"00001000", B"00001010",
B"11100010", B"11001000", B"11001110", B"11110110", B"01000100",
B"00000001", B"11110010", B"11011100", B"10101011", B"11010001",
B"01000000", B"11111011", B"11101101", B"11011011", B"00000110",
B"01000110", B"00101001", B"11011010", B"11101011", B"00001101",
B"11111010", B"11100111", B"00010001", B"10111110", B"00010110",
B"00110010", B"11111110", B"11010011", B"10010110", B"00110001",
B"00000110", B"00000000", B"01011111", B"11111110", B"11100010",
B"10110100", B"00100011", B"11111100", B"00001000", B"00001001",
B"10110001", B"00101111", B"10001101", B"00011111", B"10111110",
B"00000110", B"00000011", B"11001100", B"00010100", B"01000001",
B"11001001", B"11011010", B"11111011", B"10101001", B"00111001",
B"11100101", B"00010101", B"11111111", B"00110101", B"00000011",
B"10011101", B"11011111", B"00110001", B"00100100", B"00100110",
B"00000010", B"11100111", B"10011100", B"11110101", B"00000111",
B"00011011", B"11110000", B"00000011", B"00101110", B"11100001",
B"00101001", B"00010111", B"01001111", B"00010111", B"11110111",
B"00010101", B"00100101", B"00001110", B"00010111", B"00111010",
B"00101111", B"11001000", B"00111010", B"01010000", B"00010010",
B"11111111", B"00110100", B"11100100", B"11110101", B"00001110",
B"11001100", B"00011000", B"00101110", B"00010010", B"11110101",
B"00000111", B"00001110", B"01001011", B"00000100", B"11100101",
B"01000001", B"11011011", B"00011111", B"00011011", B"11111001",
B"11011010", B"00111001", B"00110000", B"11010011", B"00010011",
B"00001101", B"00000010", B"11101001", B"00010111", B"00001011",
B"11010110", B"11101000", B"01000100", B"00001111", B"11010111",
B"00100010", B"00001000", B"00111100", B"11101111", B"01001000",
B"11000111", B"00000100", B"11000111", B"11010001", B"00001110",
B"00101000", B"01001110", B"00000001", B"00010000", B"11011100",
B"11101011", B"11101101", B"10101101", B"00110010", B"00000001",
B"00101001", B"11111100", B"11100101", B"11010001", B"11110000",
B"11101001", B"11001010", B"00110011", B"11001001", B"11000001",
B"11011110", B"10111110", B"11000011", B"00100111", B"11100001",
B"10101100", B"11110101", B"11100111", B"11010110", B"11110000",
B"00101001", B"11001110", B"00111110", B"00000011", B"11110110",
B"00100101", B"01000100", B"11000111", B"00110000", B"11101111",
B"00010000", B"11101011", B"10110100", B"11101111", B"00100100",
B"11111001", B"00001111", B"11001110", B"11110010", B"00100001",
B"11100111", B"00010100", B"00111001", B"10111101", B"11011101",
B"00110111", B"11000100", B"11110000", B"00001000", B"11011111",
B"00000000", B"00101000", B"00100100", B"00100100", B"00100110",
B"11011001", B"00101001", B"11000110", B"00101010", B"11011000",
B"11111001", B"11010100", B"11010000", B"00100111", B"11001101",
B"11001110", B"10111100", B"11111100", B"11100111", B"10101111",
B"11111111", B"11100010", B"00001001", B"00010010", B"01001001",
B"00000110", B"00000101", B"11010100", B"11100011", B"00010011",
B"11100000", B"11000001", B"00110001", B"11011100", B"11110100",
B"11100100", B"11101110", B"00001010", B"11111001", B"00001111",
B"11011101", B"11110110", B"11100111", B"11011011", B"10101010",
B"11001101", B"01011011", B"01100100", B"00101001", B"00110010",
B"11110101", B"11011010", B"11111100", B"11011111", B"00011101",
B"11110101", B"00111111", B"11100101", B"01000101", B"11110011",
B"00010000", B"11100110", B"00010001", B"11110001", B"00101011",
B"11010001", B"11100111", B"11011100", B"11111000", B"00111110",
B"11000110", B"00100011", B"11000110", B"11000110", B"00010100",
B"11001110", B"00011000", B"11110011", B"11000111", B"10011100",
B"00010110", B"01001001", B"00101110", B"00000000", B"00111011",
B"01010000", B"11110101", B"00010111", B"00101011", B"01001111",
B"11100010", B"11000011", B"00100111", B"00101000", B"11111001",
B"01010101", B"00010001", B"11100100", B"00011101", B"00101110",
B"11010011", B"11110010", B"00010110", B"00110000", B"11110001",
B"00000010", B"00000001", B"11101100", B"11101011", B"10111001",
B"10111110", B"11111110", B"11111101", B"11100000", B"00101101",
B"10111100", B"00010010", B"11111000", B"11011010", B"00000010",
B"00110010", B"00010010", B"11111101", B"00000000", B"01000101",
B"11011011", B"11010000", B"11101111", B"11100001", B"01000010",
B"11110100", B"11010000", B"00100001", B"00100010", B"00111010",
B"01001101", B"00001101", B"10110101", B"01000000", B"00110110",
B"00010101", B"01100111", B"00110011", B"00011011", B"00100110",
B"00001100", B"01111001", B"10101110", B"00010000", B"00111101",
B"11001010", B"11110111", B"00000000", B"11101111", B"11110000",
B"00010010", B"11101100", B"11101100", B"11000101", B"00111010",
B"00100101", B"11100001", B"11011110", B"11111110", B"11101111",
B"11101110", B"11111101", B"00011001", B"00010101", B"00011000",
B"01011100", B"01100100", B"11100101", B"11000101", B"00100111",
B"11010010", B"00010010", B"11100101", B"11010111", B"00101111",
B"00001111", B"11011010", B"11011110", B"00100000", B"01000000",
B"11011010", B"11100100", B"00100100", B"01000001", B"11110101",
B"11101110", B"11101100", B"11011111", B"11100001", B"10100100",
B"11100000", B"10110010", B"10110001", B"00011100", B"00101011",
B"01000100", B"00001111", B"00100101", B"11100001", B"11101001",
B"00011010", B"00001001", B"00101100", B"00011110", B"11111011",
B"11111000", B"00011010", B"00010001", B"11101010", B"00010010",
B"11100000", B"11011010", B"11110010", B"00010110", B"00010100",
B"00111101", B"11100110", B"11110110", B"00100101", B"11100011",
B"11100000", B"01010100", B"11110001", B"00111100", B"11111111",
B"00101000", B"00011101", B"11111011", B"00011110", B"10111001",
B"00011011", B"11100001", B"00011110", B"11010111", B"00101101",
B"00000000", B"00011111", B"11110000", B"00110101", B"00111010",
B"00001011", B"10111001", B"00110001", B"11011100", B"00011001",
B"00001111", B"11001101", B"10010110", B"00111011", B"00000011",
B"11011000", B"11011001", B"11011100", B"10111000", B"00011000",
B"01101110", B"01000100", B"11011011", B"00110001", B"11111110",
B"00001111", B"01000111", B"00101001", B"11100010", B"00110110",
B"01001101", B"00100011", B"00000111", B"00110001", B"11010010",
B"00000111", B"00000000", B"10111111", B"00101001", B"11001010",
B"11011110", B"00101001", B"00101000", B"11101010", B"00011110",
B"00101011", B"11100111", B"00101010", B"11101101", B"11010011",
B"11111100", B"11100001", B"01000101", B"01001011", B"00110010",
B"00010100", B"00000001", B"10110011", B"11100100", B"11010010",
B"11111100", B"00001100", B"01000110", B"11000111", B"00011001",
B"00011100", B"00011111", B"00110001", B"00010001", B"10101111",
B"11110010", B"00000000", B"00010100", B"11111010", B"00111001",
B"00000001", B"11111011", B"11000110", B"11101110", B"00110001",
B"11011011", B"11010111", B"00001001", B"00101011", B"00001011",
B"11101000", B"00100010", B"10100111", B"01010001", B"11000101",
B"00100100", B"10100000", B"00100101", B"11110011", B"11101000",
B"11101111", B"00000110", B"00000111", B"00100001", B"00001110",
B"00100110", B"10110110", B"00110000", B"00000000", B"00100101",
B"11010000", B"00001101", B"00000000", B"00110000", B"11111010",
B"00000100", B"11011111", B"00000101", B"11010111", B"11010111",
B"01001101", B"01001001", B"00100000", B"11110011", B"00011000",
B"00011010", B"00100010", B"10111001", B"00011101", B"10111110",
B"11001101", B"00110010", B"00010010", B"00101111", B"00110000",
B"11101011", B"00011010", B"01001110", B"00100000", B"11101110",
B"00101010", B"10111100", B"01110000", B"00000011", B"11110011",
B"00000001", B"11111111", B"11011010", B"00100000", B"11100000",
B"00100111", B"00001100", B"11100011", B"00010111", B"00100011",
B"00010110", B"00000010", B"11000010", B"11011100", B"11110010",
B"11001011", B"11010111", B"10111011", B"11011000", B"00100001",
B"00000000", B"00011100", B"11001011", B"00110100", B"11010111",
B"00011000", B"11110011", B"10111111", B"00101010", B"11101001",
B"00011011", B"00011011", B"00010011", B"11111000", B"01001011",
B"11111001", B"11001011", B"11100101", B"11011101", B"11111010",
B"11011001", B"00100000", B"11101111", B"11010111", B"11100111",
B"01000000", B"00101111", B"00001100", B"00110001", B"00001011",
B"00100001", B"11011111", B"11100010", B"00011000", B"01011101",
B"00001010", B"00001101", B"11011110", B"00000100", B"00011111",
B"00010001", B"00000010", B"00001111", B"00101010", B"11101001",
B"11001011", B"11010000", B"01001011", B"00101111", B"10110100",
B"00100001", B"11100001", B"11111011", B"01000111", B"11100111",
B"00110010", B"01101110", B"11001111", B"11100100", B"11001011",
B"00010000", B"11110011", B"00100011", B"00101111", B"01001001",
B"00101011", B"01000001", B"11110110", B"01001010", B"10001100",
B"00010001", B"10100001", B"10111000", B"01010111", B"11000010",
B"00001011", B"11111001", B"11110001", B"00010100", B"00010001",
B"11100010", B"11100110", B"11011111", B"01010111", B"00001011",
B"00101111", B"00011011", B"00110110", B"11011001", B"00101110",
B"00100100", B"11001100", B"11011110", B"00101111", B"11010111",
B"00101001", B"00000110", B"11110010", B"11101000", B"01010000",
B"00110111", B"00010001", B"11001001", B"11101010", B"11011110",
B"11000011", B"00011100", B"11111011", B"00001010", B"11101110",
B"00011001", B"00001111", B"11010001", B"11011111", B"11001011",
B"11010011", B"11000010", B"00010011", B"00010100", B"11101101",
B"00001111", B"00000101", B"00100100", B"10011001", B"00100111",
B"11011110", B"11010000", B"11010101", B"11111000", B"00010110",
B"00011001", B"11101111", B"11110010", B"10111000", B"11100101",
B"00011011", B"01011011", B"11111001", B"10100100", B"10010011",
B"00100010", B"00011001", B"01001010", B"00010011", B"11010100",
B"00100111", B"11010110", B"10101010", B"11011110", B"11100110",
B"00110110", B"01000101", B"00111100", B"01011110", B"00001111",
B"11011111", B"00011101", B"00011011", B"00011000", B"00101010",
B"10101010", B"11111101", B"11100000", B"11111011", B"00011010",
B"00011111", B"00010100", B"00100000", B"11110010", B"10111100",
B"00100101", B"00000101", B"00001101", B"10111111", B"00011001",
B"11011010", B"11010001", B"11000100", B"11111111", B"11110000",
B"11110110", B"00011100", B"11111010", B"11111001", B"01001101",
B"11111000", B"11110010", B"00110011", B"11111101", B"11101110",
B"11010000", B"00011001", B"11101110", B"00100100", B"11111000",
B"11000101", B"11111000", B"00001001", B"11100101", B"11101100",
B"00101010", B"00110110", B"11111011", B"11011010", B"00000011",
B"10110111", B"00100100", B"11111110", B"01010010", B"00001010",
B"01000101", B"00001010", B"00100010", B"11101101", B"11100010",
B"11010101", B"00010001", B"00001100", B"11001110", B"00100011",
B"11010110", B"10111010", B"10110110", B"00001011", B"01000001",
B"10100111", B"11100111", B"11000010", B"00010111", B"11011101",
B"00101010", B"11101111", B"10100100", B"00010000", B"11011110",
B"00100101", B"11111000", B"11100101", B"11001000", B"11011111",
B"11100111", B"11111000", B"11010110", B"00011001", B"11011101",
B"10001101", B"11010110", B"00100000", B"00100000", B"11110000",
B"11101010", B"11101110", B"11001000", B"11110100", B"00000001",
B"00111010", B"01100101", B"00011111", B"00001110", B"00100110",
B"00010110", B"11101011", B"00110101", B"10111011", B"00001000",
B"00000111", B"11111110", B"10011001", B"01000000", B"11001110",
B"11011100", B"11110101", B"01000000", B"00001110", B"00001000",
B"01010101", B"00001001", B"11000010", B"00101000", B"00011000",
B"00101001", B"10101000", B"00001001", B"11100110", B"00001100",
B"00101000", B"11000110", B"11101011", B"11101011", B"00001101",
B"11100001", B"00010010", B"01001000", B"00101111", B"00000101",
B"01001110", B"11011011", B"11111101", B"01001101", B"10110100",
B"11110111", B"01011010", B"10111100", B"00000111", B"11110011",
B"11000011", B"11011000", B"00101011", B"11011000", B"01011111",
B"11101000", B"11101001", B"01001111", B"00101001", B"10111100",
B"00100101", B"00000101", B"00011000", B"10111100", B"00100011",
B"00101100", B"00001101", B"11100011", B"00100110", B"11010010",
B"00001100", B"00001100", B"01000111", B"11010010", B"11100001",
B"11000001", B"00000000", B"11001111", B"11101011", B"00101011",
B"11110100", B"00101101", B"00111001", B"00111110", B"00010110",
B"10110100", B"11100101", B"00111001", B"00000101", B"11101000",
B"11100110", B"00000010", B"11001111", B"00010011", B"00111100",
B"00001001", B"00110011", B"11010110", B"10101111", B"11100000",
B"11010111", B"00010100", B"11010011", B"00000100", B"11001011",
B"11010010", B"00110000", B"11110000", B"11001110", B"00111011",
B"11101111", B"01010011", B"11110010", B"11001111", B"00010100",
B"10011010", B"00011000", B"10101110", B"11100000", B"11100101",
B"00100110", B"01000100", B"11111111", B"11010000", B"00100101",
B"00000010", B"00100000", B"00001000", B"11100111", B"00100110",
B"00110001", B"01010011", B"01000001", B"11110111", B"11101100",
B"00111011", B"00111101", B"00011001", B"11011010", B"11010111",
B"00011010", B"00101010", B"00011010", B"11011010", B"00011100",
B"11100110", B"01010011", B"00011100", B"00100010", B"00000000",
B"00010011", B"00100010", B"11100011", B"00000101", B"11110111",
B"11101011", B"00101111", B"11000111", B"11111011", B"00111110",
B"00110111", B"11000101", B"00000000", B"11101101", B"00100111",
B"11001101", B"00101110", B"11110011", B"11101101", B"00001001",
B"00100011", B"10111110", B"01000100", B"01001100", B"01001000",
B"11100011", B"01010000", B"00000111", B"11011000", B"01111111",
B"00101111", B"00010101", B"00001001", B"00000111", B"00101000",
B"11111100", B"01000111", B"00111111", B"00101010", B"11101101",
B"01000010", B"00110000", B"00001001", B"00101011", B"00011010",
B"00000011", B"10110111", B"11100100", B"11001111", B"11010111",
B"11100111", B"11110011", B"00001100", B"11100010", B"11110000",
B"11101100", B"11100001", B"00001101", B"11100001", B"11101011",
B"01001000", B"10110010", B"11010100", B"00101110", B"00101110",
B"01010001", B"01010000", B"11010101", B"10100001", B"10011110",
B"11010010", B"11100010", B"00001010", B"00101111", B"11100101",
B"00000111", B"11111110", B"11111001", B"11010101", B"11110000",
B"11110001", B"00011110", B"00101110", B"00011011", B"01000111",
B"00000000", B"00100011", B"11100010", B"00111011", B"00110110",
B"11010010", B"11101011", B"01010100", B"01001111", B"10111001",
B"11010011", B"11110010", B"10110011", B"11111011", B"00110000",
B"11111101", B"11000001", B"11101111", B"00110100", B"11001000",
B"00111101", B"11010100", B"10110100", B"00101101", B"11011101",
B"01001111", B"11111101", B"00011100", B"11100111", B"00000010",
B"11100110", B"00000110", B"00001010", B"11110101", B"11001110",
B"00001100", B"11101011", B"11010100", B"00000110", B"00100111",
B"11010000", B"11111101", B"00001001", B"11011111", B"00000011",
B"01000010", B"01001110", B"11011000", B"11110110", B"00110110",
B"01001000", B"11100100", B"11100110", B"11101101", B"11111111",
B"11000111", B"00111000", B"00100000", B"11110011", B"00010011",
B"01011111", B"11001101", B"11100001", B"00010111", B"10111011",
B"11011000", B"11011101", B"11010111", B"00101011", B"00011100",
B"00101110", B"00001000", B"11111101", B"00010100", B"11010101",
B"11110111", B"11110011", B"00111110", B"00101101", B"00000011",
B"00011010", B"11101101", B"11010110", B"11011110", B"11101111",
B"00110001", B"10100001", B"11110010", B"00001000", B"01001110",
B"11001100", B"00010000", B"11011100", B"11101001", B"00010101",
B"01011101", B"11100010", B"00010110", B"00100001", B"11110001",
B"11011111", B"10100100", B"11100000", B"10110100", B"11010110",
B"11111001", B"11110001", B"00110010", B"00011100", B"11001110",
B"00000010", B"10111101", B"11101000", B"11001100", B"11101111",
B"00110000", B"01000101", B"11010011", B"00011101", B"11111001",
B"00100101", B"10111010", B"11011100", B"11110001", B"11010101",
B"10111100", B"10111110", B"10010011", B"00000011", B"11001111",
B"00110000", B"11010111", B"00010011", B"11110100", B"11101000",
B"00001111", B"00000000", B"00010100", B"00000111", B"10110010",
B"00000101", B"00000111", B"11100110", B"11001110", B"00110111",
B"11010000", B"00100011", B"11101001", B"10110010", B"11011110",
B"01001101", B"00110001", B"00000000", B"11001101", B"00000111",
B"11010000", B"11000101", B"00000011", B"00101101", B"00110111",
B"11111010", B"11100100", B"00001101", B"11110100", B"00101101",
B"11011010", B"11000100", B"00110000", B"00100110", B"00001000",
B"00010110", B"11111101", B"00001100", B"11001011", B"11001000",
B"11100010", B"01010010", B"11101100", B"00010110", B"11101110",
B"11111110", B"00000011", B"00000110", B"11111011", B"00011010",
B"11110110", B"00001001", B"11000000", B"00110000", B"00111011",
B"11001111", B"11111110", B"00001001", B"11111011", B"00000001",
B"11001110", B"11101010", B"01101001", B"11110100", B"11110010",
B"00100111", B"11111011", B"01000000", B"00000101", B"11100000",
B"11101110", B"00101100", B"00000000", B"00000010", B"10100011",
B"10110100", B"00000011", B"11111001", B"11110000", B"00000101",
B"11101101", B"11111100", B"11001011", B"11000010", B"11111000",
B"00011001", B"00011101", B"00011011", B"00000001", B"11111000",
B"11011011", B"00101010", B"00001110", B"00100011", B"11110100",
B"11000000", B"01000111", B"00000011", B"00010011", B"11111101",
B"11101001", B"11100000", B"11101011", B"00010110", B"11001110",
B"00001101", B"11011100", B"11010101", B"10011101", B"11101001",
B"01000011", B"00111010", B"01111001", B"11111100", B"00101000",
B"11111001", B"11101101", B"11000100", B"00011101", B"00100010",
B"00000000", B"11011111", B"01000000", B"00100100", B"11001100",
B"11000100", B"11111011", B"00001010", B"11000001", B"00001011",
B"00111001", B"00110110", B"10000000", B"11110100", B"00100010",
B"11100101", B"11110101", B"00001101", B"11001011", B"00101111",
B"00001000", B"10111011", B"00110110", B"11100011", B"11100110",
B"11010011", B"00110011", B"00100101", B"00011111", B"10111011",
B"10011011", B"00101001", B"01010110", B"00011110", B"11111111",
B"00111011", B"11100010", B"11101101", B"11011110", B"00101001",
B"00101100", B"00011001", B"00111011", B"10100010", B"11111100",
B"00101011", B"11110010", B"00000101", B"00010101", B"11001001",
B"11110100", B"11101010", B"00100110", B"11111010", B"00011001",
B"11011110", B"11011001", B"11000111", B"00100101", B"11001001",
B"10111111", B"11100100", B"00101110", B"00100001", B"11110110",
B"00101010", B"11101100", B"00011011", B"00000010", B"11000111",
B"00111111", B"11100111", B"11100011", B"00011111", B"10100100",
B"11011110", B"11101110", B"11010110", B"01001110", B"11001101",
B"11101000", B"00001001", B"00110011", B"00001010", B"11110001",
B"11100001", B"00111000", B"11010110", B"11000001", B"11110000",
B"11011001", B"11101101", B"10111100", B"01000000", B"11010010",
B"11001011", B"11100111", B"11000010", B"00100101", B"11001001",
B"11011110", B"00101100", B"00101101", B"00111001", B"00010101",
B"00101101", B"00011011", B"00001011", B"11011010", B"11101110",
B"11001110", B"00101100", B"11110011", B"11111110", B"10100001",
B"11111111", B"11100101", B"11101010", B"10001101", B"00111100",
B"00100100", B"11111110", B"00011001", B"11001110", B"11000101",
B"01010101", B"00100110", B"10100001", B"11111001", B"11101100",
B"11001000", B"00101110", B"00011000", B"11010000", B"00000010",
B"11111100", B"00000101", B"11111110", B"11001111", B"00010101",
B"00000000", B"00001010", B"11111000", B"11000011", B"00011010",
B"11111110", B"00100100", B"01000110", B"11101101", B"00000100",
B"11100011", B"00110111", B"11001010", B"00101001", B"00101100",
B"11110011", B"00110001", B"00100000", B"00011100", B"00100001",
B"00111010", B"01001011", B"11000000", B"11100001", B"11000100",
B"11100110", B"00101001", B"11010100", B"00101010", B"11100101",
B"11111010", B"11111101", B"11101101", B"11100111", B"11101001",
B"01011111", B"11101011", B"11100011", B"00110001", B"00011100",
B"00010001", B"11001000", B"00101011", B"11100011", B"11111110",
B"00001110", B"00101110", B"10111010", B"00100101", B"01111010",
B"11110100", B"11100101", B"00010101", B"00011011", B"00110000",
B"00101010", B"00000110", B"00110011", B"01010010", B"00110011",
B"11100100", B"00111001", B"11110001", B"11000001", B"10101001",
B"00101011", B"00110100", B"11000100", B"00001100", B"11110100",
B"11101001", B"00010000", B"00001111", B"11110011", B"00111000",
B"00001101", B"10111110", B"11110101", B"11101000", B"01000000",
B"00011110", B"11010011", B"00110001", B"00100010", B"11100001",
B"01010101", B"00101110", B"01001010", B"00100110", B"11011100",
B"00111001", B"00001110", B"00011011", B"01000101", B"10110001",
B"11110010", B"10111101", B"11100000", B"01000011", B"11011110",
B"00101010", B"11111010", B"00001111", B"11111001", B"00110101",
B"11110010", B"11111110", B"00000100", B"11111011", B"00011000",
B"11011111", B"00010101", B"11101001", B"11000010", B"11011000",
B"00001110", B"00000110", B"10111011", B"01000001", B"00011010",
B"11011110", B"11100101", B"11101101", B"11001111", B"11110101",
B"00000011", B"11110111", B"11001110", B"11110001", B"01001011",
B"00000000", B"11111100", B"00011101", B"10111110", B"11100101",
B"00001010", B"00110111", B"00100011", B"11101000", B"00000011",
B"11000000", B"00000010", B"11101101", B"11100001", B"01000111",
B"11110011", B"11001101", B"11000110", B"01001011", B"00011111",
B"00001000", B"11010111", B"00010101", B"00010111", B"10100101",
B"11111011", B"01110010", B"00111111", B"11110101", B"00010001",
B"00010001", B"00011111", B"01011010", B"00000010", B"00011000",
B"10101000", B"11110000", B"01000101", B"00110010", B"00100010",
B"00101110", B"11000011", B"11100001", B"00100101", B"00111110",
B"10111001", B"00001100", B"00110111", B"11100111", B"10110001",
B"11100010", B"00110110", B"10101001", B"10110001", B"11000010",
B"11011110", B"00101001", B"11100100", B"00111000", B"01011110",
B"01100000", B"00100010", B"00110010", B"01101110", B"00000111",
B"11101001", B"00001010", B"11011011", B"11100000", B"11110101",
B"11101111", B"11110011", B"00000000", B"00011101", B"11000100",
B"11101001", B"11010100", B"11000010", B"00111100", B"00011001",
B"11111010", B"00011110", B"11110011", B"11001110", B"10111111",
B"00101100", B"00001000", B"00101010", B"00101110", B"11111011",
B"01000010", B"11110001", B"11010110", B"10110010", B"11111000",
B"11101010", B"10110011", B"00101101", B"11001110", B"00101110",
B"00010001", B"00111101", B"00011100", B"00111000", B"00010101",
B"00001100", B"00111011", B"01001001", B"00000000", B"00001100",
B"00111111", B"00100010", B"11111001", B"11000011", B"00011000",
B"10101011", B"00010101", B"00011101", B"11000100", B"00010100",
B"00101010", B"10110100", B"11010100", B"00110001", B"00010110",
B"11101010", B"11100010", B"11011010", B"00101110", B"11100000",
B"11101001", B"00001100", B"11111001", B"11001111", B"11011100",
B"00011000", B"01011011", B"00010000", B"00001110", B"11110110",
B"11111101", B"11111100", B"00001110", B"00101001", B"00100010",
B"11110001", B"11011010", B"00101000", B"01010001", B"00011111",
B"00111111", B"11100011", B"00100010", B"00011101", B"01000001",
B"00101001", B"11101000", B"11101001", B"11010001", B"10101111",
B"11100111", B"00111001", B"11010011", B"11110000", B"11011111",
B"01010100", B"10111101", B"11100110", B"11101001", B"10101011",
B"01100011", B"11010100", B"01001111", B"00011010", B"11001010",
B"00000110", B"10101111", B"00010110", B"11111100", B"11100110",
B"10110011", B"00010000", B"00011000", B"00101110", B"11011111",
B"00010011", B"10111011", B"01001010", B"01000111", B"00100001",
B"11111101", B"00100011", B"11011111", B"00100110", B"11001101",
B"11001010", B"11100001", B"00000101", B"11110011", B"00111000",
B"00001110", B"11110000", B"11110010", B"11111010", B"00011001",
B"00101001", B"11101001", B"01000100", B"11101110", B"11110101",
B"00010111", B"00011010", B"00110010", B"11010100", B"00011001",
B"11111000", B"11000100", B"11100000", B"11100010", B"00110110",
B"11000100", B"11001001", B"00010101", B"11010000", B"11100001",
B"11101001", B"11000011", B"11101001", B"00000110", B"11100111",
B"11111000", B"11101101", B"00100001", B"11011100", B"00010111",
B"00110000", B"00100110", B"11001001", B"00000110", B"11100000",
B"11110001", B"11001011", B"00111010", B"00011001", B"11111110",
B"11000010", B"11010111", B"00010010", B"11011100", B"11110001",
B"11111100", B"00010011", B"00000100", B"01010001", B"00011010",
B"00001011", B"00011010", B"00101100", B"11001101", B"00010010",
B"00011100", B"01000001", B"00010000", B"11111000", B"01000000",
B"11010011", B"00101000", B"11001001", B"00101010", B"11100000",
B"11100101", B"11101111", B"11011001", B"00011001", B"10110100",
B"00010010", B"00010010", B"00010111", B"11110000", B"01000100",
B"11110101", B"00111100", B"11001010", B"11110101", B"10111100",
B"00000110", B"00000110", B"00101000", B"10110010", B"11101110",
B"00101001", B"10011011", B"10111010", B"11001000", B"01010011",
B"00101011", B"11110000", B"00010100", B"11110000", B"11111010",
B"01100111", B"00101111", B"00111000", B"11001110", B"00011010",
B"10100010", B"00111110", B"00011001", B"00110010", B"00110010",
B"11000001", B"01010100", B"11001101", B"11110110", B"10111011",
B"00011101", B"00111111", B"00001100", B"11110001", B"00011011",
B"11110111", B"11111001", B"11111111", B"01101110", B"00000001",
B"00101011", B"00010110", B"11100100", B"00011100", B"00100001",
B"11101001", B"11000111", B"00010100", B"01011011", B"00001101",
B"10111000", B"11110011", B"11010011", B"11010111", B"00011011",
B"11110010", B"00010000", B"11101000", B"11010101", B"11001100",
B"00101000", B"00100000", B"11011110", B"00110011", B"00010000",
B"11100110", B"11100110", B"11011000", B"10101000", B"11110001",
B"00010010", B"00000011", B"00000000", B"11110100", B"00110001",
B"00000010", B"11001110", B"00001010", B"00000011", B"00011101",
B"11010101", B"00010001", B"11110101", B"11100101", B"11111111",
B"01001111", B"00101011", B"01011111", B"11101100", B"11010100",
B"01000001", B"11010010", B"00110011", B"11011001", B"00001010",
B"00100100", B"00111111", B"00100000", B"00101101", B"01001101",
B"00101010", B"11111111", B"11001110", B"00011100", B"11000101",
B"00010111", B"00100011", B"00001100", B"00110001", B"00100111",
B"11101111", B"11010010", B"00100110", B"01001001", B"01011111",
B"11101110", B"01001010", B"11100100", B"01000010", B"11010000",
B"11111101", B"00001101", B"11110001", B"00100000", B"00011101",
B"00011101", B"00001001", B"11010110", B"00000100", B"11100001",
B"01000000", B"11100011", B"11011001", B"00010110", B"00001000",
B"00000011", B"11011111", B"11011100", B"11101000", B"00011110",
B"00010001", B"00010000", B"00000100", B"11000101", B"11100010",
B"10101010", B"00000000", B"11010101", B"11110001", B"01110101",
B"01000001", B"11011110", B"00100001", B"00110111", B"00001001",
B"00110010", B"00101000", B"00010000", B"00110001", B"00001010",
B"00010011", B"10111010", B"01000011", B"00101010", B"00001010",
B"00110101", B"11001110", B"11011110", B"01001110", B"11000110",
B"00000110", B"11100101", B"11110010", B"00100011", B"11101101",
B"00011100", B"00001000", B"00011100", B"00110111", B"11001100",
B"00110011", B"11100011", B"11111100", B"11000011", B"11111000",
B"11001010", B"11011000", B"11011011", B"00000101", B"00100111",
B"00101001", B"11101110", B"10110010", B"11010101", B"11101011",
B"00011010", B"11101100", B"00010000", B"00100110", B"00011100",
B"11001010", B"11100010", B"00001001", B"00001001", B"00011100",
B"00000001", B"11010111", B"11001011", B"00101111", B"10100100",
B"00001111", B"11101000", B"11011110", B"00110100", B"11011000",
B"00010000", B"00101011", B"00110111", B"00100011", B"01011001",
B"11001011", B"00101010", B"10111000", B"00010011", B"11101100",
B"11011001", B"11111010", B"11111010", B"00001100", B"11111101",
B"11011011", B"11001001", B"10011000", B"00101101", B"01000111",
B"10011100", B"00110001", B"00010001", B"11100100", B"00010011",
B"00010011", B"11111000", B"01000010", B"11100000", B"00010001",
B"11101110", B"00111001", B"00011001", B"00011111", B"11011010",
B"00011100", B"10100000", B"00111001", B"10110011", B"00011111",
B"11001011", B"01011001", B"11010100", B"11010101", B"11011010",
B"00100101", B"11001110", B"11010111", B"11000001", B"10110101",
B"00010100", B"01011101", B"11000000", B"00110011", B"11101011",
B"11000011", B"00010110", B"00111110", B"00111101", B"11111001",
B"00010000", B"11011111", B"00001000", B"00001111", B"11011000",
B"10111110", B"11011100", B"11000110", B"00001110", B"11100000",
B"01000011", B"00010111", B"00000110", B"11000011", B"00011101",
B"00010111", B"11111111", B"10101011", B"00110001", B"01010000",
B"11111010", B"11001001", B"00111001", B"11011000", B"10110010",
B"11110101", B"11011001", B"11111010", B"11111110", B"10001111",
B"00101010", B"11111111", B"00110111", B"10111011", B"00001011",
B"11111101", B"00011110", B"11100100", B"11011000", B"11101100",
B"00000101", B"00111011", B"11011101", B"00000101", B"11000100",
B"11110111", B"00110001", B"11011010", B"00110001", B"00011100",
B"00001001", B"11010110", B"11101011", B"00011110", B"00010111",
B"00110000", B"10111101", B"00110110", B"00001011", B"00000011",
B"00001101", B"11011101", B"11110111", B"00010011", B"11100010",
B"11001111", B"11101111", B"00011101", B"00111000", B"11111110",
B"10110101", B"00011000", B"11100010", B"00110010", B"11011000",
B"11001100", B"11101000", B"11111100", B"00100001", B"11101010",
B"10111010", B"00111010", B"11011101", B"00010111", B"11100001",
B"10110110", B"00011101", B"11010011", B"11001001", B"00011101",
B"00011001", B"11101110", B"00111000", B"10111101", B"00001000",
B"11001000", B"10111111", B"00110101", B"00100111", B"00110001",
B"11101111", B"01010100", B"00100011", B"11011001", B"00001110",
B"00000001", B"00110010", B"11110101", B"11110101", B"11111000",
B"00111101", B"11101110", B"00001011", B"11110000", B"11111111",
B"00101100", B"11101110", B"11100101", B"00110101", B"00100101",
B"11010101", B"11110011", B"00010011", B"11111010", B"00000100",
B"11010010", B"00110110", B"11000100", B"00000110", B"11110101",
B"00111101", B"00110111", B"11101101", B"00100010", B"00000111",
B"00000101", B"00000001", B"00010100", B"00110110", B"11000000",
B"11100111", B"00101000", B"00001110", B"00010010", B"01001001",
B"11100001", B"11001110", B"11111001", B"01101100", B"00010000",
B"11110110", B"00010001", B"11001110", B"00001001", B"00000111",
B"11001011", B"11110110", B"01000100", B"11011001", B"11001001",
B"11010001", B"10110100", B"11110001", B"11100111", B"10111000",
B"00100011", B"11111000", B"00000100", B"11111000", B"11100000",
B"00000011", B"11000111", B"01001010", B"00011001", B"11110101",
B"10111010", B"00001100", B"11111110", B"01010011", B"00001011",
B"00101010", B"11110110", B"01000010", B"00000001", B"01010100",
B"11111110", B"00110011", B"10111111", B"11110010", B"10111110",
B"01001010", B"00010100", B"00001011", B"00111111", B"10101111",
B"01000011", B"10100101", B"01100010", B"11011001", B"11101001",
B"00001010", B"11110010", B"00101101", B"00111110", B"11001101",
B"00110100", B"01110110", B"01001000", B"11111010", B"11111010",
B"00011001", B"11100100", B"00111011", B"00111001", B"00010100",
B"11110100", B"00011100", B"11011101", B"00101010", B"11111101",
B"11100100", B"00010111", B"11100011", B"00000011", B"00001000",
B"00110011", B"11100010", B"00001100", B"10110111", B"11111000",
B"11011000", B"00010100", B"11010100", B"00010010", B"00111001",
B"00001111", B"11101111", B"00001110", B"10110010", B"11100000",
B"11011001", B"11110101", B"00101101", B"00000011", B"00001110",
B"00101111", B"10100011", B"00001111", B"10110101", B"11100110",
B"11101011", B"10110011", B"11110001", B"01010011", B"11110100",
B"00111000", B"00111111", B"10110101", B"11010001", B"11010100",
B"10101111", B"11101011", B"11010110", B"00111001", B"00010010",
B"11110011", B"11101011", B"11110011", B"11110110", B"11101000",
B"11111000", B"01011101", B"11001000", B"01110100", B"11000011",
B"00011010", B"11110101", B"01011010", B"00011100", B"00000100",
B"00100010", B"01001010", B"00000000", B"11111110", B"11000101",
B"00111010", B"11011000", B"00101101", B"11000011", B"10101000",
B"00101101", B"00000011", B"10100011", B"00011010", B"00100000",
B"11100110", B"00101111", B"00000101", B"11000100", B"11011010",
B"00010100", B"11010000", B"11000000", B"10110100", B"00011100",
B"00110010", B"10111101", B"00101110", B"00011011", B"00000100",
B"01000000", B"11101111", B"11010001", B"11100100", B"00100011",
B"11100000", B"10110100", B"10111111", B"01000110", B"00101011",
B"11010011", B"11110111", B"11001010", B"11100011", B"00001101",
B"00010111", B"00011101", B"11110010", B"00100011", B"11111110",
B"00001000", B"01001101", B"00011010", B"11110111", B"00000111",
B"00110100", B"11100010", B"11001100", B"00001110", B"11001111",
B"00011101", B"00110000", B"11011101", B"00100110", B"11100001",
B"11000011", B"00001100", B"00000110", B"00111011", B"00110011",
B"00001101", B"00001101", B"11010100", B"00010110", B"11111100",
B"00011011", B"00001011", B"11100110", B"10111100", B"11010000",
B"00111110", B"11100000", B"01001000", B"11110111", B"00010101",
B"00001100", B"00000100", B"01011010", B"11101001", B"00110011",
B"11011111", B"00111111", B"11101001", B"01000101", B"00111000",
B"10111111", B"00001110", B"00101011", B"10111111", B"00101101",
B"11101101", B"11110100", B"00100110", B"11111010", B"11001110",
B"00001010", B"11111011", B"00011001", B"11010000", B"01000011",
B"00011000", B"11010100", B"00100111", B"00001100", B"11111101",
B"00100100", B"10110110", B"00000011", B"00110010", B"11010011",
B"11001101", B"11001000", B"11010111", B"11010010", B"11111101",
B"11101101", B"00101000", B"00111110", B"00010101", B"00010011",
B"11110010", B"11000001", B"01001010", B"11001001", B"10101101",
B"11101001", B"00000101", B"11110001", B"11111011", B"11001111",
B"00100111", B"11000010", B"00000001", B"11000100", B"11011000",
B"00001101", B"00000010", B"00101101", B"11001000", B"11111101",
B"11110011", B"10101110", B"00010011", B"00001000", B"11011001",
B"11010100", B"00110101", B"11111100", B"11000110", B"11111100",
B"01000001", B"01010001", B"11011100", B"00011001", B"11010000",
B"00101101", B"00011001", B"11010001", B"10010100", B"11010101",
B"11111001", B"00000111", B"00001111", B"10100110", B"00011100",
B"11000111", B"00101011", B"00010101", B"00111011", B"01011101",
B"00010000", B"11001101", B"00000111", B"00010011", B"11011100",
B"00000101", B"00000011", B"11010110", B"11111101", B"00001100",
B"01010000", B"00001110", B"00010011", B"11101100", B"11110011",
B"00001111", B"11100010", B"11011001", B"00100100", B"00100110",
B"11111101", B"00111010", B"10110100", B"11100000", B"00100010",
B"11101000", B"11111100", B"11010011", B"00010011", B"11110011",
B"10111000", B"00100001", B"00010100", B"11111001", B"00011001",
B"00001011", B"11001011", B"10111100", B"00110000", B"00011111",
B"10101101", B"01001001", B"00011101", B"00001111", B"00100001",
B"00000010", B"11010110", B"00110000", B"11101001", B"00101110",
B"00100011", B"11111110", B"11010101", B"10110011", B"11100100",
B"00111001", B"00100001", B"11010110", B"11100011", B"00100000",
B"11110001", B"11000110", B"11001000", B"11111000", B"11111000",
B"11110100", B"01000011", B"00111001", B"11101011", B"00011101",
B"11101110", B"11100101", B"01000011", B"11100011", B"00010100",
B"11011011", B"11001110", B"00010110", B"00100101", B"11100111",
B"11100111", B"00101011", B"11100010", B"10111010", B"01001110",
B"10111100", B"00100110", B"01000001", B"11010001", B"11111111",
B"11111110", B"11011100", B"11110100", B"00001111", B"00101100",
B"00001101", B"00010001", B"11001001", B"01001001", B"00110000",
B"11111010", B"11100011", B"11011111", B"10111010", B"11101001",
B"00100110", B"11011000", B"11111100", B"11011110", B"11010110",
B"11111101", B"11011010", B"01100000", B"00001000", B"11110010",
B"00000001", B"11111110", B"00000101", B"00111111", B"11010100",
B"00010010", B"10110100", B"11011100", B"01011110", B"11100001",
B"01010011", B"00101010", B"10111110", B"00010000", B"11101111",
B"00010101", B"10110010", B"00001101", B"00010111", B"00010001",
B"11001001", B"00001001", B"00011101", B"10101101", B"00101011",
B"11000001", B"11011011", B"00011011", B"11001110", B"01000111",
B"01100000", B"00001000", B"11100001", B"11011101", B"11101101",
B"11101100", B"11101110", B"01011000", B"01000001", B"11100100",
B"00100110", B"11100101", B"11100000", B"11011111", B"00101110",
B"11100000", B"11101001", B"00000011", B"10110011", B"10100100",
B"11101100", B"11101011", B"11110010", B"00010001", B"11011101",
B"00111100", B"10111000", B"11110110", B"00000011", B"11010011",
B"00111110", B"00010101", B"11011000", B"11000110", B"11110010",
B"00100111", B"11100001", B"11111111", B"00011111", B"00010011",
B"11100010", B"11100111", B"00101110", B"11111010", B"11101001",
B"00011010", B"11000011", B"00100010", B"00001111", B"11001000",
B"11010010", B"11010111", B"11100101", B"11100101", B"00011110",
B"00000110", B"11111011", B"00011100", B"00100111", B"00010110",
B"00001000", B"01000001", B"00110000", B"10111011", B"00100001",
B"00010011", B"11101110", B"11101011", B"00011010", B"01001001",
B"11011100", B"11001011", B"00011011", B"00010101", B"11110110",
B"00101011", B"00000010", B"11111001", B"10011101", B"00001100",
B"11001011", B"00110111", B"11101000", B"11000100", B"11101101",
B"11111000", B"11111000", B"11011011", B"00000011", B"00110010",
B"01000111", B"10110111", B"00100100", B"11101111", B"11011001",
B"00111010", B"11110010", B"00101001", B"00000011", B"11100001",
B"11100101", B"11010011", B"00000000", B"11100110", B"10110101",
B"00000101", B"11010001", B"11110111", B"11011110", B"11111100",
B"10111110", B"00010011", B"10111000", B"00001101", B"11100100",
B"01000100", B"11110111", B"11011110", B"11100110", B"01010111",
B"00100011", B"00010010", B"11110001", B"00101101", B"11101011",
B"01000101", B"11011001", B"10100100", B"01010011", B"11100000",
B"00101011", B"00010110", B"11101101", B"00001010", B"11001000",
B"00011001", B"11010011", B"00111110", B"11011010", B"11010010",
B"01001001", B"11011100", B"00011111", B"00100000", B"11110101",
B"00111110", B"00010000", B"10111101", B"11001111", B"00110111",
B"11000011", B"11111110", B"11010010", B"11001011", B"00010010",
B"00011011", B"00001011", B"01001100", B"11001100", B"00110010",
B"01000011", B"00010111", B"11100011", B"00101110", B"11001000",
B"00101111", B"00000100", B"00101100", B"11000111", B"10111000",
B"11101010", B"01001000", B"00100111", B"11100111", B"11111110",
B"10101010", B"10110000", B"00110010", B"11100100", B"11010010",
B"11001010", B"11001111", B"00011011", B"01010000", B"00100101",
B"00101010", B"00001111", B"00101010", B"11011010", B"01000001",
B"11011101", B"11110101", B"11010111", B"11111100", B"11010111",
B"11011010", B"01000001", B"00110000", B"01001110", B"11110000",
B"11001111", B"00011001", B"00010110", B"11100011", B"11010001",
B"01001000", B"11010100", B"11010010", B"00100001", B"00001101",
B"01010011", B"00111111", B"10111101", B"10101000", B"00001010",
B"00110100", B"00010000", B"11111110", B"00010101", B"00001100",
B"00101100", B"01100000", B"11101011", B"00011000", B"00101010",
B"11011110", B"11010000", B"11111101", B"11110011", B"11100010",
B"00110010", B"11011001", B"11011010", B"00101010", B"11001000",
B"11000110", B"11011110", B"11011110", B"11110110", B"11100001",
B"11010010", B"00001110", B"00001110", B"00100111", B"01000101",
B"00011000", B"10111101", B"11111111", B"00111111", B"00110010",
B"11001111", B"00111000", B"11011000", B"00000101", B"01001100",
B"11001110", B"00111001", B"00011100", B"11000100", B"11111010",
B"00001001", B"10100111", B"11101001", B"11100001", B"10110110",
B"00010111", B"01000111", B"00110001", B"00011111", B"11110001",
B"00001100", B"00001010", B"11000011", B"11010110", B"00111010",
B"11010111", B"10010111", B"11110011", B"11111000", B"00111011",
B"10110010", B"11010110", B"10011100", B"00011001", B"01000100",
B"11111110", B"11111001", B"11001101", B"11110110", B"00010011",
B"00101111", B"00001010", B"00000010", B"11111001", B"11010001",
B"00010001", B"10100000", B"11111010", B"00011101", B"11011111",
B"01000101", B"01010000", B"00100010", B"01000011", B"01000111",
B"11110000", B"00100000", B"11010111", B"00101000", B"11101111",
B"00110011", B"00001100", B"10110100", B"11001011", B"11100110",
B"00001101", B"11011011", B"11011001", B"00100101", B"00100100",
B"11101000", B"11100011", B"11110000", B"10111101", B"01101001",
B"11101101", B"11111101", B"00010000", B"11100110", B"00100001",
B"11000111", B"11111111", B"00011100", B"11000100", B"01010111",
B"00010111", B"11010111", B"00010111", B"00011011", B"11011011",
B"11110011", B"00100011", B"00110000", B"11101100", B"10110001",
B"11000000", B"11001011", B"00000100", B"00000010", B"11101110",
B"11010011", B"00011110", B"11001111", B"00010111", B"11010011",
B"00001100", B"11111001", B"00011101", B"11011110", B"11010111",
B"00000010", B"00100010", B"11110101", B"00011101", B"11001010",
B"00011100", B"11101101", B"11011001", B"11000111", B"00110001",
B"00101101", B"11011100", B"01000100", B"11101100", B"00101100",
B"00000000", B"00111001", B"00000110", B"11100001", B"11110110",
B"01001000", B"00011100", B"11111001", B"00110000", B"11110101",
B"11011100", B"10111111", B"11100110", B"00111001", B"00100001",
B"11101011", B"11001011", B"00100110", B"00101101", B"01011001",
B"00101111", B"11101110", B"11010010", B"11001111", B"00010111",
B"10011010", B"01010101", B"11100110", B"10110010", B"01101010",
B"11101010", B"11011001", B"11110100", B"10000010", B"11110010",
B"00011001", B"11010110", B"01001010", B"11111101", B"11000101",
B"11000010", B"00010101", B"11001111", B"00100010", B"11100100",
B"11101001", B"00011110", B"00101100", B"00111000", B"11111111",
B"10101001", B"11000011", B"11100001", B"01000001", B"11011011",
B"11100111", B"11101101", B"00011110", B"10110100", B"11000110",
B"11011011", B"00101001", B"00000101", B"00011011", B"00101100",
B"11110010", B"11001011", B"00110000", B"11010110", B"11111000",
B"00000011", B"00111110", B"00000011", B"11100101", B"00010001",
B"00010001", B"10100101", B"11101100", B"00001010", B"11101001",
B"11010011", B"11111101", B"11001101", B"01010010", B"11101010",
B"10010101", B"00111011", B"11011111", B"11100000", B"11111011",
B"11100011", B"00000010", B"11111000", B"11111010", B"00111100",
B"11011100", B"11001110", B"00010001", B"00000111", B"00100001",
B"00101110", B"11101100", B"11101000", B"11110110", B"11101100",
B"00000010", B"11100010", B"11111011", B"00110100", B"01100000",
B"01000000", B"11010011", B"11010000", B"11110111", B"10100110",
B"11011110", B"01010000", B"00100000", B"00010010", B"00100010",
B"00110100", B"11101101", B"11011101", B"11011100", B"00101010",
B"10110100", B"10100110", B"11100100", B"10111101", B"00011000",
B"11000011", B"11101001", B"00111010", B"10100101", B"00100011",
B"00100100", B"11110101", B"00111100", B"01001011", B"11101000",
B"11101011", B"00011010", B"00100110", B"01000000", B"11001111",
B"11010000", B"00111110", B"11010010", B"00011100", B"11110011",
B"11101000", B"00100110", B"11011100", B"00111011", B"00001011",
B"10101000", B"11111100", B"00101111", B"00001011", B"10110101",
B"00001010", B"11101101", B"10111000", B"11010100", B"11111101",
B"10100100", B"11111100", B"11101111", B"11111011", B"11111010",
B"11011111", B"11010110", B"10111100", B"11101111", B"11000110",
B"01011101", B"10111101", B"00011011", B"00010100", B"11011111",
B"00011110", B"00101101", B"11011101", B"00011111", B"11110111",
B"00000101", B"01110001", B"00110010", B"10101110", B"00001010",
B"00100110", B"10011110", B"00111000", B"10111001", B"11101011",
B"10111100", B"11111010", B"11100011", B"00110001", B"00110011",
B"01101010", B"10100100", B"00110101", B"00100000", B"11101111",
B"11101110", B"11110101", B"11110000", B"11111010", B"00001111",
B"00000100", B"00000101", B"10110010", B"11110010", B"00001100",
B"01101000", B"00001101", B"00100111", B"01100110", B"00000101",
B"00001001", B"00011011", B"00110001", B"00011011", B"00011101",
B"11111011", B"11110100", B"11111011", B"11100100", B"00111110",
B"11111101", B"00000010", B"11100011", B"00010101", B"00110011",
B"11110001", B"00111001", B"10111001", B"00010000", B"11110100",
B"11000110", B"11010111", B"11000001", B"01001011", B"01011111",
B"11111011", B"00100111", B"11101111", B"10101101", B"00011000",
B"11011101", B"00110001", B"11000100", B"10111110", B"00111110",
B"00100000", B"11111101", B"11000100", B"11110101", B"00000000",
B"11111010", B"10111110", B"11000100", B"00001100", B"11011101",
B"11101001", B"11010100", B"10101000", B"00111101", B"10011001",
B"11100100", B"10110110", B"00000011", B"00100100", B"00011011",
B"00111010", B"01010111", B"11101100", B"11111011", B"11100110",
B"11100011", B"11111110", B"11111001", B"01010000", B"11011111",
B"00101110", B"00010001", B"11011101", B"00010110", B"11110111",
B"11101001", B"10101010", B"11110101", B"00011010", B"00000001",
B"11110000", B"11010101", B"00001001", B"11100110", B"00101101",
B"10110001", B"11110010", B"00000101", B"00101011", B"00110001",
B"00010110", B"11001110", B"00110101", B"11011110", B"00010110",
B"10110001", B"10010000", B"11010010", B"00001001", B"00001011",
B"00111000", B"11010001", B"11100011", B"11111111", B"01000000",
B"00111110", B"11011100", B"11000110", B"00100001", B"00101111",
B"11001010", B"11100011", B"00100100", B"00011100", B"10100111",
B"01000001", B"11010101", B"11110111", B"11111010", B"00101010",
B"11011100", B"11110111", B"11111001", B"11011100", B"00010001",
B"00001110", B"01000000", B"10111101", B"00100001", B"00011000",
B"00011001", B"11011010", B"11110111", B"00100101", B"11001000",
B"11000011", B"00110110", B"00111100", B"01010101", B"10101001",
B"01001000", B"11110011", B"00100110", B"11111101", B"11110111",
B"10111000", B"00100110", B"11100011", B"00010001", B"00000101",
B"11110010", B"10110100", B"00100111", B"11111100", B"00100001",
B"11010000", B"00011010", B"11011001", B"00101110", B"11011101",
B"11101100", B"00100100", B"11000111", B"11100101", B"10111100",
B"00010101", B"00101100", B"10110001", B"00100110", B"00011100",
B"11011111", B"11000111", B"11100111", B"00100001", B"11101010",
B"00100001", B"00010010", B"11011110", B"00111111", B"00000000",
B"11100101", B"11011101", B"00110011", B"00100100", B"10110100",
B"00011100", B"11011110", B"00001110", B"10110111", B"10110001",
B"00011010", B"00000010", B"00011111", B"01000101", B"11001100",
B"11110001", B"10011101", B"00001100", B"00111010", B"00001100",
B"00010110", B"00110001", B"00100111", B"11011111", B"01000111",
B"11111110", B"00110011", B"00000000", B"00111100", B"00011011",
B"11001001", B"10001010", B"00000011", B"00100010", B"11011011",
B"10110000", B"11010111", B"11101010", B"11100110", B"00100101",
B"00110010", B"11110101", B"00101010", B"10101110", B"01001011",
B"01001011", B"00011010", B"11011111", B"01010101", B"00100111",
B"01001010", B"11111111", B"10111111", B"11011100", B"01000101",
B"00110000", B"00100101", B"00100000", B"11110111", B"00000110",
B"00111000", B"11010011", B"00110000", B"10110001", B"11011111",
B"00100110", B"00000100", B"00100111", B"00001100", B"00001101",
B"01010111", B"11011101", B"00111010", B"11110111", B"00001110",
B"11011101", B"00001000", B"01000101", B"00011000", B"00110010",
B"11111011", B"00010111", B"11100001", B"00110100", B"00010100",
B"00011001", B"11110100", B"01001101", B"00001110", B"00100111",
B"11011101", B"00100001", B"01111111", B"00001111", B"00110000",
B"00101110", B"00101010", B"00100100", B"00011001", B"00110011",
B"11110010", B"11001100", B"11101001", B"10011100", B"01000101",
B"00010000", B"00110011", B"00011010", B"01001000", B"00110110",
B"11110100", B"00110010", B"01001111", B"11100001", B"11011101",
B"00101100", B"00001000", B"11101111", B"11011001", B"00101100",
B"00110100", B"10110001", B"11110110", B"11011010", B"00100100",
B"11010001", B"11100100", B"11011101", B"11111000", B"00001111",
B"11100011", B"00000001", B"00011001", B"11000010", B"01001010",
B"00000100", B"01000010", B"00000110", B"11100001", B"00110111",
B"00110100", B"01001011", B"11010101", B"00000101", B"00100100",
B"01000101", B"10011000", B"11110111", B"00010011", B"11110100",
B"00011100", B"00000001", B"11111110", B"11101001", B"11011110",
B"11111100", B"10100010", B"00100000", B"00001011", B"00001000",
B"00000110", B"11000111", B"11111001", B"10111010", B"01000011",
B"00011100", B"00100010", B"10011011", B"00101100", B"11011111",
B"01001000", B"00001010", B"01010110", B"00010101", B"11110000",
B"10111000", B"11101100", B"11111110", B"11110001", B"00000100",
B"11001000", B"00110010", B"11011000", B"10101010", B"11011001",
B"00100010", B"00011101", B"11011111", B"00111100", B"00001111",
B"00011000", B"00001000", B"11110000", B"00000100", B"11110100",
B"01010000", B"00110010", B"11110111", B"11100010", B"10111110",
B"00101111", B"00010000", B"11110010", B"00000000", B"00100100",
B"00001110", B"11011110", B"00001010", B"00001101", B"10010100",
B"11111010", B"11101111", B"00101010", B"11100100", B"00001010",
B"00010000", B"11110010", B"00001001", B"00000100", B"11011000",
B"00111100", B"01010000", B"11100001", B"00001000", B"00011111",
B"11101011", B"11000100", B"11011100", B"11100000", B"00010100",
B"10101111", B"11010110", B"11110110", B"00101100", B"00011000",
B"11011111", B"10111111", B"11010010", B"00011111", B"00100001",
B"00110100", B"11101111", B"11111000", B"00000101", B"10110001",
B"10101010", B"11011011", B"00111011", B"11111111", B"11110100",
B"00111001", B"11101100", B"11100010", B"11000011", B"00000110",
B"00111011", B"00010001", B"00000111", B"00000001", B"11111000",
B"11111110", B"00000001", B"01000110", B"11111010", B"01000000",
B"11100111", B"11000000", B"00101001", B"11001101", B"10111001",
B"11101010", B"00110000", B"11101111", B"11001100", B"00110100",
B"11101000", B"11110111", B"00000001", B"00110101", B"11000011",
B"10110111", B"11101100", B"10111000", B"11001111", B"11100100",
B"00011010", B"11101101", B"00010010", B"10101011", B"00101001",
B"00101101", B"11010011", B"00001111", B"10101001", B"11010011",
B"00000110", B"11111101", B"01000111", B"00101101", B"00000010",
B"01010101", B"00001111", B"11011111", B"11010000", B"11111010",
B"11101000", B"11101101", B"00011000", B"00000001", B"00001010",
B"11111010", B"11001001", B"11100100", B"10010110", B"11011101",
B"11101000", B"00111011", B"00110001", B"11111001", B"11011011",
B"00101001", B"11111110", B"00010100", B"11011100", B"00000110",
B"00000111", B"11000011", B"00000010", B"01001000", B"00010001",
B"00011101", B"00011000", B"11111001", B"10111111", B"00001011",
B"00001010", B"11011100", B"00101111", B"00101010", B"11101000",
B"11110110", B"00011011", B"00010011", B"00110000", B"11100001",
B"00100000", B"11110101", B"11111100", B"11001010", B"11111001",
B"11010111", B"01000000", B"11011001", B"11101001", B"00011100",
B"11010000", B"11011000", B"01101011", B"00101011", B"11000110",
B"11101100", B"11100111", B"00001011", B"00000100", B"11111110",
B"10100000", B"11011010", B"11011011", B"01001100", B"01000100",
B"00110101", B"00101000", B"10110001", B"00001011", B"11011110",
B"00100100", B"00101010", B"11010011", B"01001011", B"11101001",
B"11000111", B"11000100", B"00111111", B"00100001", B"00011010",
B"11111011", B"11100000", B"11011010", B"11100110", B"00011011",
B"11000010", B"10110110", B"11101100", B"00101000", B"00010101",
B"00010000", B"00001011", B"11001001", B"11010011", B"11011010",
B"11111000", B"00101100", B"11011001", B"01001011", B"11000010",
B"00101000", B"00000011", B"11010101", B"11111111", B"11001010",
B"00010001", B"11111110", B"00010000", B"01001010", B"00111001",
B"01001011", B"00001011", B"00011100", B"11111101", B"00110100",
B"00001000", B"11001011", B"00011101", B"00110010", B"00000010",
B"11111110", B"00000000", B"11010010", B"11110110", B"11111111",
B"11010001", B"00100000", B"00000011", B"11101010", B"00101110",
B"00100100", B"00101000", B"11100100", B"11110011", B"11010000",
B"11011111", B"00011010", B"00111101", B"00101100", B"01011000",
B"00001101", B"11101000", B"00111011", B"11100100", B"11101010",
B"11010001", B"10111011", B"11011111", B"11101010", B"00100010",
B"11000011", B"11101110", B"11000011", B"00000001", B"11010110",
B"00011110", B"11011111", B"11010101", B"00100011", B"00000111",
B"00011100", B"10111010", B"11101000", B"00001100", B"11001111",
B"10110000", B"11100010", B"10110011", B"00010011", B"11110101",
B"00101011", B"11111000", B"11110001", B"00000010", B"11000111",
B"00001110", B"01001001", B"11101100", B"01000011", B"11111101",
B"10010101", B"11010001", B"11111101", B"00000010", B"00110111",
B"11101110", B"00010000", B"00111101", B"11011011", B"11010011",
B"00011011", B"10111101", B"11010000", B"10111010", B"00110111",
B"11011110", B"01001100", B"01100101", B"00011101", B"10111111",
B"00001110", B"11111111", B"00111101", B"00000111", B"11010000",
B"00101100", B"11011010", B"00100000", B"10111000", B"11010011",
B"00010110", B"01000110", B"00000010", B"11000001", B"11100001",
B"00110101", B"00011111", B"10111100", B"11100001", B"00110000",
B"11110011", B"00000000", B"11000111", B"11001001", B"00000110",
B"11100011", B"00110010", B"10111001", B"00000110", B"00110011",
B"00001001", B"00100000", B"10111111", B"00000010", B"00010000",
B"11100000", B"00110101", B"00001101", B"00000111", B"00001110",
B"00000010", B"11100101", B"10110001", B"11101101", B"01010001",
B"00101110", B"00100000", B"00111110", B"00111011", B"00110111",
B"00001110", B"00100110", B"00111010", B"00010001", B"00010001",
B"00100101", B"00100011", B"11100001", B"00011111", B"10100111",
B"00001100", B"00011110", B"11101010", B"00111011", B"11010101",
B"11100000", B"11111101", B"00011100", B"10111010", B"00011110",
B"00100000", B"11010101", B"00010011", B"00011100", B"11101011",
B"11001011", B"11000011", B"11101110", B"11101111", B"00111001",
B"11111101", B"00101011", B"11000111", B"11011110", B"11100001",
B"11001110", B"00110001", B"11010101", B"11111011", B"11000101",
B"11100001", B"11100000", B"00010000", B"11101010", B"00110110",
B"11000011", B"11110101", B"00001010", B"11111100", B"11001011",
B"11111111", B"00100111", B"01001110", B"11100101", B"00110010",
B"00011101", B"10111101", B"11011010", B"10000110", B"00100100",
B"00001111", B"00011011", B"00101101", B"00101111", B"00110001",
B"10111110", B"11101101", B"10111011", B"00001101", B"10110111",
B"11110011", B"00100101", B"00110011", B"11000101", B"11001111",
B"00010011", B"10111110", B"00100000", B"10110100", B"11000001",
B"00010110", B"11100101", B"11100001", B"11110000", B"11111010",
B"00011110", B"11010111", B"11101001", B"11111001", B"00010010",
B"11100001", B"11100111", B"01101001", B"11010011", B"11110011",
B"10110010", B"11101011", B"11001111", B"11110011", B"00010101",
B"00110011", B"00011111", B"01011001", B"11111111", B"10110001"
 
);
 
signal input_counter : integer range 0 to 19999 := 0;
signal start_fifo : bit_vector (7 downto 0) := ( B"0100_0000" );
signal clk : bit;
 
begin
 
process (clk, clear)
begin
if (clear = '1') then
rxin <= (others => '0');
elsif (clk = '1' and clk'event) then
rxin <= input_bank(input_counter);
end if;
end process;
 
process (clk, clear)
begin
if (clear = '1') then
input_counter <= 0;
elsif (clk = '1' and clk'event) then
if (input_counter < 19999) then
input_counter <= input_counter + 1;
else
input_counter <= 0;
end if;
end if;
end process;
 
rom_pos <= input_counter;
 
process (clk, clear)
begin
if (clear = '1') then
start_fifo <= B"0100_0000";
elsif ( clk = '1' and clk'event) then
start_fifo <= start_fifo (6 downto 0) & start_fifo (7);
end if;
end process;
 
clk <= clock;
start <= start_fifo (7);
 
end test_bench;
/trunk/xilinx/input3DB.vhdl
0,0 → 1,4109
-- $Id: input3DB.vhdl,v 1.1 2006-01-16 03:40:22 arif_endro Exp $
-------------------------------------------------------------------------------
-- Title :
-- Project :
-------------------------------------------------------------------------------
-- File :
-- Author : "Arif E. Nugroho" <arif_endro@yahoo.com>
-- Created : 2005/12/18
-- Last update :
-- Simulators :
-- Synthesizers: ISE Xilinx 6.3i
-- Target :
-------------------------------------------------------------------------------
-- Description :
-------------------------------------------------------------------------------
-- Copyright (C) 2005 Arif E. Nugroho
-- This VHDL design file is an open design; you can redistribute it and/or
-- modify it and/or implement it after contacting the author
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
-- ASSOCIATED DISCLAIMER.
--
-------------------------------------------------------------------------------
--
-- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
 
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
 
entity input is
port (
clock : in bit;
clear : in bit;
start : out bit;
rom_pos : out integer;
rxin : out bit_vector (07 downto 00)
);
end input;
 
architecture test_bench of input is
 
type rom_bank is array ( 00000 to 19999 ) of bit_vector (7 downto 0);
 
constant input_bank : rom_bank :=
(
 
B"01000001", B"00101000", B"11100100", B"00110100", B"00100111",
B"11101010", B"11111011", B"00011101", B"00110001", B"00100010",
B"00010011", B"11010001", B"00111001", B"11100111", B"11110100",
B"11010010", B"11011101", B"11011100", B"00001110", B"11001110",
B"00000000", B"11011101", B"10010001", B"00001110", B"11010001",
B"00011110", B"11011001", B"11101101", B"11110001", B"01000001",
B"00100111", B"11010010", B"11110011", B"00010100", B"00010110",
B"00001100", B"11101001", B"00100011", B"11101101", B"00110010",
B"00000010", B"00101100", B"11010001", B"11101000", B"11010010",
B"00001011", B"00010110", B"00011011", B"10111100", B"11110110",
B"00011101", B"11000100", B"00100100", B"11000010", B"11101000",
B"00000010", B"11001111", B"00000100", B"00110100", B"00000010",
B"00101011", B"00001100", B"11101011", B"11100000", B"00100111",
B"00010111", B"11100101", B"00001100", B"00100111", B"11100001",
B"11010100", B"00101001", B"00100010", B"00010000", B"11110000",
B"11110011", B"01000110", B"11111011", B"11111001", B"11110001",
B"11011111", B"00010101", B"00000111", B"11111001", B"00101000",
B"00011000", B"11111011", B"00000100", B"10111101", B"01000101",
B"11101011", B"00011000", B"11100111", B"11110011", B"00011001",
B"00000101", B"11110010", B"00000010", B"00110110", B"11110101",
B"11000111", B"11011011", B"11010100", B"10111011", B"11101010",
B"11001100", B"11000011", B"11011101", B"00011011", B"00010011",
B"00000111", B"00001010", B"00011110", B"11100100", B"11000001",
B"00010010", B"11101010", B"11001110", B"11101110", B"00001011",
B"11110110", B"00110111", B"11100111", B"00010000", B"00100111",
B"11101011", B"11001100", B"00011010", B"11100110", B"11100001",
B"00110000", B"00010101", B"00111010", B"00000001", B"11011100",
B"11010011", B"11110001", B"00101001", B"00010010", B"00001110",
B"00000100", B"00010101", B"00000010", B"11111110", B"00010001",
B"00000111", B"00100101", B"11110101", B"00001100", B"11010110",
B"00110010", B"11000101", B"11011111", B"00010111", B"11000010",
B"01011101", B"11011010", B"11010101", B"00100001", B"00100101",
B"00010011", B"11011101", B"11101010", B"00010110", B"11010010",
B"11000010", B"11111111", B"11111100", B"11010010", B"00000101",
B"11011010", B"00001111", B"11110110", B"00000001", B"00000001",
B"11011101", B"01010000", B"11010000", B"00111100", B"11001110",
B"11110101", B"11000100", B"00011101", B"00001011", B"00101100",
B"00010000", B"00110101", B"11010101", B"00010100", B"11100100",
B"00111010", B"11111001", B"11110110", B"11101001", B"01000011",
B"01000001", B"00101000", B"00001111", B"11100011", B"11100011",
B"11000001", B"00100101", B"00101011", B"11010101", B"11010111",
B"11011010", B"11110111", B"11101100", B"11010000", B"00000001",
B"11111110", B"00000101", B"00010010", B"01011100", B"11010110",
B"00000110", B"11010101", B"00011101", B"00010000", B"00100010",
B"11010010", B"00010010", B"10111001", B"00000101", B"00111001",
B"11010010", B"11010010", B"00010110", B"11100110", B"11011010",
B"11100100", B"11010110", B"11110011", B"11110010", B"00010100",
B"11011100", B"00111001", B"11011100", B"11011010", B"00010100",
B"00001101", B"00100100", B"00101000", B"01000001", B"00011100",
B"00011001", B"00100010", B"00001010", B"00001111", B"11010110",
B"00011011", B"00111101", B"11010000", B"00111010", B"00111000",
B"11011100", B"00101010", B"11110101", B"00000000", B"00101000",
B"00010111", B"00100010", B"00100011", B"11110101", B"00010100",
B"10111100", B"11010010", B"00001011", B"11101100", B"11010010",
B"11110100", B"11010110", B"11000111", B"11101000", B"00001111",
B"00000011", B"00001101", B"00000000", B"11100011", B"00000110",
B"00000100", B"00010110", B"00001010", B"11100110", B"11010000",
B"00110000", B"01001001", B"11110010", B"00010110", B"00010100",
B"00100000", B"00001010", B"00001011", B"00011011", B"00000100",
B"00000011", B"10111010", B"00011001", B"00110110", B"11011101",
B"11001001", B"11110111", B"11100111", B"11010100", B"11001010",
B"00001101", B"00110001", B"11100110", B"11100001", B"11001111",
B"11100000", B"00000011", B"11011001", B"00110001", B"00010110",
B"00111010", B"11101000", B"00001011", B"11001110", B"00011001",
B"00101100", B"11101101", B"11001011", B"00101010", B"11011001",
B"00001001", B"11100110", B"11111000", B"00000000", B"11011100",
B"00100101", B"00010001", B"00101010", B"00000001", B"11011001",
B"11010100", B"00011110", B"11010001", B"11101101", B"00100110",
B"11111111", B"11110110", B"11000011", B"11001110", B"11110111",
B"11001100", B"00011111", B"00000101", B"00011100", B"11100111",
B"11110111", B"00101000", B"00000001", B"11101101", B"11111110",
B"11010011", B"00000000", B"11111001", B"11001011", B"00010011",
B"11010001", B"00000100", B"11101010", B"11000110", B"11010000",
B"00101011", B"00010110", B"11110110", B"00001001", B"11011011",
B"00100011", B"11011101", B"11011001", B"11101111", B"00110111",
B"00011100", B"11100001", B"11011101", B"00100101", B"11001001",
B"00100100", B"11011000", B"11110011", B"00110000", B"11100100",
B"11011110", B"11010000", B"11011000", B"00010001", B"00101111",
B"00101110", B"00011100", B"00010100", B"11111011", B"11101100",
B"01001100", B"11111001", B"11100011", B"11100000", B"00000001",
B"11100111", B"00001111", B"00011001", B"00101000", B"11001100",
B"00111001", B"11101110", B"00011100", B"00111000", B"00111000",
B"11010000", B"00101101", B"00101010", B"11110110", B"11000000",
B"11100010", B"00010001", B"11010100", B"00001000", B"11111110",
B"00101111", B"00010000", B"00101001", B"00110111", B"00111110",
B"11100011", B"11000000", B"00110010", B"11110110", B"11101010",
B"10111000", B"11000101", B"00011010", B"00010001", B"00100000",
B"00001010", B"00110000", B"00100000", B"01000100", B"00101000",
B"11110101", B"11110100", B"11011001", B"00011011", B"00101010",
B"00000001", B"00100011", B"11001010", B"00001101", B"00110111",
B"00111100", B"00000001", B"00010101", B"11110101", B"00100000",
B"00000100", B"00110101", B"11001011", B"01000011", B"11000011",
B"11001100", B"11011010", B"00010101", B"00101110", B"00111111",
B"11001111", B"11001101", B"11010110", B"11001000", B"00100101",
B"00000001", B"00111011", B"11010111", B"10101110", B"11011111",
B"11011101", B"00011101", B"00011111", B"00011000", B"00110001",
B"00100011", B"00010000", B"00010001", B"00010100", B"11110001",
B"00101010", B"00011110", B"11001101", B"10111101", B"00001010",
B"11010110", B"00101101", B"11010000", B"10110110", B"00011101",
B"00111010", B"11110001", B"01000101", B"11110000", B"11001111",
B"10101110", B"00000001", B"00001100", B"11011010", B"00110101",
B"11110010", B"11001001", B"11101111", B"11010111", B"01001011",
B"11100010", B"00110110", B"00010100", B"00110001", B"11010101",
B"11100000", B"00100101", B"00110100", B"10111100", B"11101001",
B"11100110", B"11111101", B"00100011", B"11011111", B"00110010",
B"11011101", B"10111110", B"00100010", B"11010001", B"00110101",
B"01000101", B"00111000", B"11010001", B"00100001", B"11001101",
B"00001111", B"11110001", B"00010001", B"00100110", B"00101010",
B"11101000", B"00100110", B"11111011", B"00011110", B"01000011",
B"00000101", B"00001110", B"10110001", B"00010111", B"11111011",
B"00001000", B"11001100", B"11101100", B"01001110", B"01001100",
B"11101110", B"11101001", B"00111100", B"11001001", B"00011000",
B"11101000", B"10111111", B"11000011", B"00101011", B"01010000",
B"10111110", B"00101101", B"11001101", B"00011011", B"11010100",
B"11100001", B"01000010", B"10111110", B"11100111", B"10111010",
B"11001110", B"11001100", B"11001100", B"11001100", B"11100001",
B"01010010", B"11111111", B"00110010", B"00001101", B"11111011",
B"11001111", B"00101101", B"11011001", B"00010100", B"00000011",
B"11001100", B"00011100", B"00111110", B"00001000", B"00100111",
B"00011011", B"00100101", B"11110010", B"00010111", B"00100001",
B"01000001", B"00111100", B"00011001", B"00000000", B"00100101",
B"00110011", B"00101111", B"00100111", B"11101000", B"11010010",
B"00010010", B"11001010", B"00110001", B"00100010", B"00110010",
B"00110111", B"11101000", B"00010011", B"11100000", B"11011000",
B"11001100", B"11101100", B"00101111", B"00101011", B"11010101",
B"00001110", B"00011000", B"10111101", B"11001111", B"11011100",
B"11101111", B"10110100", B"01010111", B"00101000", B"00011100",
B"11101000", B"00101001", B"00101010", B"11011110", B"00100100",
B"11111100", B"11011011", B"11101010", B"00100111", B"00100010",
B"00010111", B"11111001", B"11101101", B"00110101", B"00011100",
B"11110001", B"00000110", B"00100110", B"11010100", B"11101000",
B"01000001", B"00000110", B"00000011", B"10111100", B"00000000",
B"00010010", B"10111110", B"11011001", B"00110010", B"00100111",
B"00010011", B"11010000", B"11110110", B"00100000", B"00101100",
B"11010111", B"11011110", B"00010100", B"00001100", B"00100100",
B"00010001", B"10111110", B"00100010", B"11111011", B"00010110",
B"11011011", B"11100000", B"00101111", B"11100010", B"00100001",
B"10111100", B"11101110", B"00111011", B"11100010", B"10111010",
B"00010010", B"00000111", B"00010010", B"11101001", B"11101011",
B"00110101", B"00100101", B"00101111", B"00001110", B"00100011",
B"00101111", B"00111100", B"00011010", B"00111111", B"00011001",
B"00000111", B"00011000", B"00000011", B"00100010", B"00001111",
B"00110001", B"00011001", B"11001110", B"00101101", B"00010001",
B"11101010", B"11101101", B"11101010", B"11111101", B"11110101",
B"11000000", B"00101000", B"11001111", B"00000001", B"11101100",
B"00100010", B"00100001", B"00101111", B"11111101", B"00100101",
B"11100110", B"00110010", B"11111010", B"11011011", B"00100011",
B"00101011", B"00101010", B"00100101", B"00111001", B"00100100",
B"00010100", B"00110111", B"00010110", B"00101000", B"00101011",
B"11110011", B"11001000", B"11100010", B"11010110", B"00101001",
B"11001001", B"00101111", B"00010110", B"00111000", B"00010101",
B"11000110", B"00100101", B"11011110", B"00010100", B"11011110",
B"11010100", B"11011110", B"11011000", B"11101111", B"00110111",
B"00110100", B"00110010", B"00001001", B"11100110", B"11111111",
B"10111101", B"00111000", B"10111001", B"11001101", B"01010110",
B"00110011", B"00111110", B"10100001", B"11100110", B"11111101",
B"11110110", B"11100001", B"11100111", B"11011011", B"00011100",
B"11101011", B"11110100", B"01000100", B"00001100", B"11101101",
B"00101000", B"00001100", B"00100011", B"00000000", B"00010101",
B"00101100", B"00010100", B"00010111", B"11110000", B"00000111",
B"11110011", B"00010010", B"11011100", B"11110110", B"10111111",
B"00100101", B"00010101", B"11000001", B"11110000", B"11110110",
B"00011011", B"01001010", B"00110111", B"11111111", B"11001101",
B"11000100", B"00010111", B"00101111", B"00001110", B"00011111",
B"01000011", B"00011001", B"00011010", B"00110000", B"00001010",
B"00010000", B"11001100", B"11001110", B"00111100", B"00000110",
B"11100010", B"11110000", B"11111001", B"11100110", B"10100100",
B"11100101", B"00011000", B"00001110", B"00011000", B"00100110",
B"11111000", B"01001000", B"00001011", B"11100110", B"11111111",
B"11100010", B"00011101", B"11011111", B"00110001", B"00101100",
B"00001000", B"00101011", B"00000000", B"00010111", B"00001001",
B"00010100", B"00011110", B"00011001", B"00110010", B"00010010",
B"00000001", B"00000100", B"00101110", B"00100100", B"11100100",
B"00001000", B"00001011", B"10110000", B"11111001", B"00110101",
B"00101100", B"00001011", B"00100001", B"11111001", B"00100001",
B"00100101", B"11110111", B"00010111", B"00101000", B"11011101",
B"11011001", B"11110011", B"00100000", B"10111110", B"00010011",
B"11110100", B"11010110", B"11100110", B"00111010", B"00001010",
B"11001111", B"10100000", B"00100011", B"11110000", B"11101111",
B"11001011", B"11101110", B"11111110", B"00101100", B"11001100",
B"00111111", B"11010001", B"11111001", B"11000110", B"11010010",
B"00110011", B"01001111", B"00110011", B"11101111", B"00101010",
B"11000000", B"00100010", B"00011100", B"11001110", B"00111001",
B"11100101", B"11101100", B"11110101", B"00010001", B"00101001",
B"00011001", B"00100000", B"00100100", B"00110101", B"00100001",
B"00011101", B"01000001", B"00001001", B"01000110", B"11111110",
B"00010111", B"00101010", B"11010000", B"00011101", B"11111010",
B"10111101", B"11011100", B"11000101", B"11001111", B"11011111",
B"00011011", B"00011010", B"00101110", B"00101111", B"00101010",
B"11110011", B"00010001", B"00100111", B"11100110", B"00011111",
B"00110100", B"11101001", B"00100110", B"00110101", B"11110010",
B"00111101", B"00100111", B"11101001", B"11010101", B"00011000",
B"00110001", B"11111001", B"00110000", B"11001010", B"11110110",
B"11011010", B"00100100", B"00000011", B"00100010", B"00010100",
B"01010000", B"00100011", B"00100000", B"00010110", B"11100001",
B"00100100", B"00101110", B"00011000", B"00101101", B"00000001",
B"00011111", B"11101011", B"00010110", B"11111110", B"01011000",
B"11100010", B"00100010", B"00111010", B"11010101", B"00000011",
B"00010010", B"11100100", B"11010110", B"00010010", B"11111010",
B"00101100", B"11101001", B"00100001", B"11111010", B"00011101",
B"01011101", B"11111011", B"11110010", B"11111110", B"00011111",
B"00100011", B"00010100", B"00100000", B"00000011", B"11100000",
B"00110101", B"11011110", B"00001100", B"11100101", B"11001010",
B"00010011", B"11101011", B"11101000", B"00111011", B"00101010",
B"00101111", B"00100111", B"11001100", B"11100111", B"10101001",
B"11011011", B"00010001", B"00110101", B"00101101", B"11111001",
B"00001110", B"11101110", B"11110100", B"11111100", B"00110111",
B"00011011", B"11110010", B"00100111", B"11100010", B"00000000",
B"11011100", B"00100000", B"00110000", B"11100011", B"11011100",
B"11100010", B"00000110", B"11010011", B"00011010", B"00001110",
B"11100001", B"00100001", B"00000001", B"11001001", B"00001100",
B"00101001", B"00000101", B"11111000", B"11110001", B"11110110",
B"11100111", B"11010110", B"00100010", B"00100011", B"00110000",
B"00011000", B"00010110", B"11011000", B"00000101", B"11101101",
B"00011011", B"11101101", B"00100101", B"11111011", B"00000101",
B"11011001", B"11101010", B"11001110", B"00010000", B"00011011",
B"11000010", B"00000011", B"00010100", B"11000100", B"11110100",
B"11010011", B"11110011", B"10110000", B"00100000", B"00110101",
B"11010101", B"11100010", B"00100101", B"11111011", B"11101100",
B"11001010", B"11010101", B"00011011", B"11011000", B"00111011",
B"11100010", B"11111101", B"00010100", B"00001000", B"00011100",
B"11001100", B"11101100", B"11000111", B"11001000", B"00110000",
B"11011111", B"00000000", B"11111001", B"11101000", B"00010111",
B"11110101", B"00111111", B"10100010", B"10101111", B"00100011",
B"00110011", B"00010010", B"10100001", B"00001100", B"00101011",
B"11000010", B"11110111", B"00010011", B"11000011", B"11110111",
B"11011111", B"11010001", B"11110000", B"01010011", B"00010001",
B"00100101", B"11001101", B"00011111", B"11110010", B"00001001",
B"11000010", B"11100100", B"00010101", B"00001011", B"00001100",
B"00011001", B"11110111", B"11101011", B"01010101", B"00001110",
B"10111001", B"11100010", B"00100000", B"00011001", B"11100010",
B"11100001", B"00111100", B"00010010", B"11011110", B"11000100",
B"00100001", B"00100010", B"11001111", B"11111100", B"00100011",
B"11111001", B"11101010", B"00000011", B"00100110", B"11000100",
B"11101010", B"00000111", B"11000100", B"00101011", B"00000000",
B"00001011", B"00011010", B"11111001", B"11110101", B"00110111",
B"00000010", B"11100011", B"11100100", B"00110001", B"00011000",
B"00011010", B"11111010", B"00001100", B"00010011", B"00100010",
B"00110111", B"00001111", B"00101101", B"00110000", B"00000010",
B"11011111", B"00100000", B"11111010", B"00100011", B"11000000",
B"11110100", B"11110110", B"11101001", B"00000110", B"11100100",
B"11010110", B"11011111", B"11011000", B"11100110", B"11010110",
B"00110100", B"00011011", B"00101110", B"11111101", B"11110001",
B"00011011", B"11111011", B"00001001", B"00001110", B"11001110",
B"00100110", B"11101100", B"00101000", B"11011010", B"00011100",
B"00010110", B"11101110", B"00100110", B"11100000", B"11110110",
B"11001011", B"10111001", B"11100100", B"11111000", B"11111110",
B"00011100", B"00101011", B"11100101", B"00000100", B"10111100",
B"00011000", B"11011101", B"11100111", B"11101110", B"11001100",
B"00000011", B"11110010", B"10111001", B"11100010", B"11000010",
B"00110000", B"11100101", B"00101110", B"11110000", B"11010101",
B"00001000", B"00101110", B"00011011", B"11111100", B"11011001",
B"00011100", B"11110010", B"11110100", B"11001000", B"11101101",
B"11010110", B"00101000", B"00001101", B"00011000", B"11010100",
B"11000001", B"00101110", B"00101101", B"00100010", B"00110100",
B"11011000", B"00000000", B"11001111", B"00011100", B"11110110",
B"00111001", B"01001111", B"00011111", B"11110000", B"01011101",
B"00111111", B"10011101", B"11110001", B"11011111", B"00101011",
B"00110111", B"00001010", B"11000011", B"01001011", B"11010011",
B"11111010", B"11011010", B"00010110", B"00000111", B"11011111",
B"11011111", B"11001100", B"10110110", B"11000000", B"11100110",
B"00111000", B"11000100", B"00001011", B"11110001", B"11011110",
B"00110100", B"00001001", B"00100011", B"11011010", B"11100011",
B"01010001", B"11101111", B"11010101", B"11010011", B"11000101",
B"11110100", B"11010010", B"00000011", B"11101111", B"01001100",
B"11101011", B"11000110", B"11110101", B"00111000", B"11010111",
B"11010010", B"11111100", B"11001110", B"11011001", B"11111110",
B"11110111", B"11011010", B"00001001", B"10111011", B"00111001",
B"11011010", B"11000010", B"11100111", B"00011001", B"00111011",
B"00100111", B"00001101", B"11011111", B"01010010", B"00101001",
B"11010101", B"11011110", B"00010000", B"00011111", B"00001001",
B"00011101", B"00001111", B"11110001", B"11011101", B"00010110",
B"00001001", B"11110000", B"00000010", B"00100100", B"00101110",
B"11011011", B"00010010", B"11101101", B"11010011", B"11111110",
B"00110111", B"11000001", B"00001001", B"11111001", B"11111100",
B"00101100", B"11110011", B"00011100", B"11110000", B"11010111",
B"11011110", B"00010010", B"00100001", B"11011101", B"01001010",
B"00111010", B"11100010", B"00100010", B"01010011", B"11100011",
B"11101011", B"00110111", B"11101000", B"11110001", B"11100011",
B"00111001", B"00100010", B"11100010", B"00110111", B"11100010",
B"11101011", B"11011111", B"00111011", B"00100111", B"11001101",
B"00001110", B"11011010", B"00100001", B"00011010", B"00100101",
B"11011110", B"00001100", B"01011110", B"11100101", B"11100010",
B"00101110", B"11011101", B"11100010", B"00000010", B"11110001",
B"01000011", B"00110101", B"00010101", B"11110010", B"00111110",
B"00010010", B"11011010", B"00101100", B"00011101", B"11011000",
B"11010100", B"00011111", B"00100010", B"11001010", B"10111100",
B"00111010", B"10110111", B"11111101", B"11011001", B"11100101",
B"11001110", B"11011110", B"00010010", B"00110010", B"11101101",
B"11100100", B"00110111", B"11101000", B"11101001", B"11100001",
B"00001011", B"00010010", B"01010110", B"00010001", B"11101000",
B"10100011", B"00110011", B"00010011", B"00101000", B"11111111",
B"00000001", B"00000111", B"11101111", B"00000011", B"00110011",
B"00000010", B"11101001", B"00110101", B"00010010", B"00101011",
B"00010010", B"11110010", B"11101100", B"00010011", B"00011111",
B"00111111", B"00010010", B"00011010", B"00101001", B"00110101",
B"00111100", B"11110010", B"11001110", B"00000100", B"10100101",
B"11000011", B"11110011", B"11101110", B"11111111", B"11110110",
B"11000100", B"11111010", B"00010000", B"11110000", B"00010110",
B"11101101", B"00100010", B"11011001", B"00110101", B"11010010",
B"11100100", B"11100011", B"00000101", B"01000011", B"11111000",
B"00010100", B"11011011", B"00001100", B"11100110", B"11111110",
B"00010101", B"00101101", B"11111101", B"00010110", B"00010101",
B"00110001", B"11010011", B"00100111", B"10110101", B"00011001",
B"00010010", B"11011010", B"00111011", B"00001100", B"11101101",
B"00111111", B"00001101", B"11110001", B"01001011", B"00001110",
B"00001001", B"11111111", B"00001101", B"11101010", B"00111001",
B"10111010", B"00100110", B"00011001", B"00101011", B"11011000",
B"11100010", B"11100000", B"00101000", B"00010111", B"00000100",
B"11001101", B"11001111", B"00010000", B"11101001", B"00001010",
B"11110001", B"11011111", B"11011010", B"11010010", B"00110011",
B"00000000", B"00001000", B"11000110", B"10110011", B"00101001",
B"11111100", B"00101010", B"11100110", B"11010110", B"11110101",
B"00011111", B"00100110", B"11101000", B"11011010", B"00100011",
B"00111000", B"00100100", B"11011100", B"01000000", B"11000101",
B"00110001", B"11101011", B"11101001", B"11011001", B"11111011",
B"00100000", B"00010000", B"00101001", B"00110000", B"11011111",
B"11101011", B"11001011", B"00000111", B"00101010", B"00101011",
B"00101101", B"01010100", B"11111010", B"11001011", B"00011101",
B"11001000", B"00001010", B"11010000", B"11101010", B"00000011",
B"00101011", B"00000111", B"00011000", B"11110001", B"00100111",
B"11011011", B"00100001", B"11100101", B"11011100", B"00101110",
B"11000110", B"11101101", B"11011001", B"00000110", B"11111101",
B"11100011", B"11011100", B"01000011", B"00010101", B"00010010",
B"11010100", B"00110000", B"10111000", B"01000000", B"01000101",
B"00100100", B"11001101", B"11000100", B"01101010", B"00101010",
B"11010000", B"11111110", B"00110010", B"11110110", B"11101001",
B"01001100", B"00011110", B"00000110", B"11011010", B"00101001",
B"00110111", B"11110010", B"00010100", B"00001110", B"11010100",
B"00101010", B"00001111", B"11101000", B"00010101", B"00101111",
B"11000001", B"00000011", B"00100110", B"10110010", B"11000101",
B"00100011", B"00101101", B"00110100", B"00010011", B"01001100",
B"00101000", B"11110001", B"11010000", B"00011101", B"00101100",
B"01100000", B"11110111", B"01000011", B"00100001", B"11110111",
B"11001001", B"00011000", B"00011111", B"11111011", B"01000100",
B"11001001", B"11000101", B"11010101", B"00011010", B"00111010",
B"00011010", B"11010110", B"11011011", B"10110000", B"11111111",
B"00001110", B"11111010", B"00111010", B"11100000", B"11101010",
B"00000001", B"11110001", B"00000100", B"11011000", B"11101011",
B"00010100", B"11011111", B"11101100", B"11111111", B"00111011",
B"00000100", B"00010010", B"11101101", B"11111011", B"10111011",
B"10111011", B"00101111", B"11000011", B"00110000", B"11000100",
B"11000101", B"00001100", B"11011110", B"00010000", B"00011111",
B"11000101", B"11110101", B"11110000", B"11010001", B"11000000",
B"11011011", B"00001001", B"00110010", B"11100000", B"00000110",
B"11000001", B"11111100", B"11110011", B"00011000", B"11000011",
B"00101010", B"11010101", B"11110010", B"11101000", B"00100001",
B"00001110", B"00011000", B"00001111", B"11111010", B"11001011",
B"00001010", B"00010111", B"11111011", B"11110010", B"00101101",
B"11110110", B"11111011", B"00001000", B"11100111", B"00101100",
B"00100011", B"11011011", B"00011011", B"00011101", B"00001110",
B"00010001", B"00011111", B"00110010", B"00010101", B"00100100",
B"10111100", B"11010000", B"00011101", B"00010100", B"00100001",
B"00010001", B"11010101", B"11011010", B"11011111", B"11111010",
B"00101101", B"00100010", B"00110011", B"00100110", B"11010010",
B"11110000", B"11000001", B"11101110", B"00010011", B"00010110",
B"11011110", B"00100111", B"11011110", B"00011111", B"11011100",
B"00101100", B"00100001", B"11101110", B"11100101", B"11100010",
B"11110101", B"11011110", B"00011101", B"00000010", B"00001000",
B"11111111", B"00101101", B"00001110", B"11111111", B"00111001",
B"11101001", B"11011111", B"00000000", B"00000001", B"00110101",
B"11011000", B"11110110", B"11101101", B"00000000", B"11100111",
B"11010000", B"11111110", B"11110010", B"11010011", B"11100010",
B"11100110", B"11011101", B"11011100", B"00010010", B"11000001",
B"00010110", B"11111110", B"11011101", B"01000111", B"00110001",
B"00100010", B"11101101", B"00011001", B"00011111", B"11011011",
B"11010101", B"00101010", B"00011010", B"01001010", B"01001111",
B"00011011", B"00011100", B"00001101", B"01001011", B"00101000",
B"11111001", B"11110011", B"11101011", B"10100110", B"00110010",
B"00111001", B"00111001", B"00110011", B"11000000", B"11111011",
B"11100110", B"00111101", B"11011000", B"10101111", B"00011001",
B"00100011", B"11001110", B"00111001", B"11101110", B"00101100",
B"11011101", B"11110001", B"11110000", B"01000100", B"01000110",
B"11101100", B"11101010", B"00101000", B"11000001", B"01001110",
B"00101110", B"11100001", B"11100011", B"11000010", B"00100000",
B"11011000", B"00010000", B"11100011", B"11100100", B"00110100",
B"00001000", B"00011110", B"11110011", B"00110010", B"00100011",
B"11111010", B"11000110", B"00101001", B"11110110", B"11110011",
B"01000000", B"11010011", B"11111001", B"11011110", B"11101001",
B"11010100", B"10111001", B"00111111", B"11111111", B"11011001",
B"11111111", B"11101111", B"11000110", B"11110110", B"11100110",
B"00000101", B"11011010", B"11100110", B"11011111", B"00110000",
B"00101011", B"11100001", B"11010110", B"00010110", B"00111010",
B"00001100", B"11110011", B"00001011", B"10111010", B"11101110",
B"00000100", B"00001000", B"00110000", B"00010011", B"00011001",
B"11100111", B"00111001", B"00011000", B"11100011", B"00101010",
B"00011101", B"00000001", B"11010100", B"11001000", B"11111101",
B"11010001", B"10111100", B"11100110", B"11111000", B"00110001",
B"00000001", B"00001010", B"00100110", B"11110001", B"00001101",
B"00111100", B"00111000", B"00101110", B"00100010", B"11111111",
B"00100010", B"00000111", B"00101010", B"00000101", B"11001110",
B"00100011", B"11000111", B"11010101", B"11011110", B"11110100",
B"00000011", B"00100001", B"11111111", B"00011101", B"11100101",
B"00100100", B"00100011", B"01000001", B"00101110", B"11010111",
B"00001000", B"10110111", B"11111110", B"11000101", B"00101100",
B"11111100", B"11100101", B"00100000", B"11010101", B"00001110",
B"01010110", B"11000010", B"11010110", B"00000111", B"11011001",
B"11100011", B"00101101", B"00100100", B"00100010", B"00101011",
B"11011000", B"11110010", B"01010110", B"11011010", B"00101000",
B"00010010", B"10111110", B"00100011", B"00110100", B"11001001",
B"11101000", B"00101111", B"00000100", B"00111110", B"11110010",
B"00000010", B"11010010", B"00010111", B"00011111", B"11010101",
B"10111111", B"00010010", B"11100110", B"11011110", B"00000110",
B"11100111", B"00010101", B"11011011", B"00010110", B"00011110",
B"11110001", B"01000010", B"00011010", B"11011001", B"11001110",
B"11100001", B"11111101", B"11111001", B"00100111", B"00011000",
B"00010101", B"00100110", B"11100111", B"00100011", B"10111111",
B"11010111", B"00111000", B"00101010", B"11001100", B"11100011",
B"00101010", B"11110101", B"00001100", B"11110001", B"11100010",
B"11000001", B"11110100", B"00011100", B"00011000", B"00100010",
B"00111100", B"00010010", B"00010101", B"00100000", B"00111111",
B"00101101", B"11010010", B"11011010", B"00011011", B"01010010",
B"00110001", B"00011101", B"11010001", B"11011010", B"00111010",
B"11000001", B"11101111", B"00100100", B"00001010", B"11011101",
B"11001111", B"00000010", B"11011111", B"11110100", B"11010001",
B"00010010", B"00011010", B"11010011", B"00001110", B"11101110",
B"11101100", B"11100100", B"11010001", B"11100010", B"00001001",
B"00100110", B"00101011", B"00010100", B"10111001", B"01001000",
B"00011100", B"00011000", B"00001110", B"00100100", B"11110011",
B"00010111", B"11100100", B"00001110", B"00100011", B"00011010",
B"11011001", B"00001011", B"11000001", B"00001101", B"00010010",
B"11100000", B"11010100", B"00001001", B"11010110", B"11011011",
B"11011110", B"11011010", B"11010000", B"00100111", B"00011001",
B"00011001", B"11110101", B"00011100", B"11100111", B"00101100",
B"11100101", B"00010000", B"11111000", B"00110011", B"11010100",
B"00000011", B"11100100", B"01001010", B"11100000", B"11011000",
B"00111000", B"00101101", B"00011001", B"00100010", B"11010011",
B"00001001", B"11100010", B"00100001", B"11111110", B"00011010",
B"11101000", B"00010111", B"10110100", B"00010001", B"10111001",
B"11111100", B"00101010", B"00000111", B"00100010", B"11111010",
B"00010001", B"11100100", B"00111101", B"00011011", B"11110110",
B"11100010", B"00011100", B"00110010", B"11010000", B"11011110",
B"00010100", B"11000101", B"11101010", B"00011111", B"11110001",
B"11101010", B"11011001", B"11110100", B"11001111", B"11111111",
B"00011011", B"11111011", B"11010000", B"11101001", B"11110001",
B"11010000", B"00110100", B"11110001", B"00011011", B"11100011",
B"11010001", B"11111001", B"00011001", B"00100011", B"01011000",
B"00101010", B"11110101", B"11100101", B"00111001", B"00110011",
B"11010111", B"11101100", B"01001011", B"11011000", B"11101111",
B"00000101", B"10111100", B"01010001", B"11110001", B"01000100",
B"00011010", B"11101111", B"00001101", B"11111110", B"11011100",
B"00100110", B"00010001", B"10111110", B"00001001", B"00011100",
B"11001010", B"00011000", B"00000011", B"11010011", B"11101000",
B"00101000", B"11100011", B"00011001", B"00010100", B"11100110",
B"11110110", B"00010110", B"00010110", B"11101010", B"11010010",
B"00001100", B"01000100", B"00000100", B"11010000", B"11000100",
B"11101101", B"00000011", B"00101011", B"11011000", B"10110101",
B"11010110", B"11110001", B"01010011", B"11001101", B"00001000",
B"11001101", B"11011111", B"11011110", B"00100111", B"00100101",
B"11110000", B"00110010", B"11001101", B"01001000", B"01000110",
B"00111001", B"11110010", B"00100110", B"11100010", B"00001111",
B"11001010", B"11110001", B"00000100", B"00010100", B"11001111",
B"11011000", B"11100011", B"00001011", B"10111101", B"00010001",
B"11110000", B"11101000", B"00010100", B"11101101", B"11111010",
B"11111000", B"11110000", B"00010000", B"00111000", B"00101011",
B"00000111", B"11111111", B"11111010", B"11100110", B"10101110",
B"11100110", B"11000100", B"11001110", B"11010011", B"00000101",
B"00010101", B"11111101", B"00101001", B"11001000", B"11111010",
B"11011011", B"11001011", B"00101000", B"00101110", B"00011111",
B"00110011", B"00000000", B"00011000", B"00000000", B"00111111",
B"00000000", B"11011111", B"11010001", B"00011011", B"11011001",
B"00101001", B"00000100", B"00001000", B"11111110", B"00001100",
B"00100111", B"00011111", B"00010000", B"00011000", B"11010100",
B"11101110", B"11111111", B"00101111", B"11100011", B"11101110",
B"00111101", B"11010011", B"00101100", B"11100000", B"11001110",
B"11011101", B"00111001", B"00001010", B"11010101", B"01000001",
B"11011100", B"00101100", B"11110010", B"11111001", B"00111010",
B"00110101", B"00101000", B"00001111", B"00110101", B"00100000",
B"00011000", B"11011011", B"11110001", B"00100111", B"00011000",
B"00001110", B"01001000", B"11110101", B"00011111", B"00110011",
B"00100101", B"00100100", B"00110111", B"00110011", B"00101000",
B"11100100", B"00001110", B"11001001", B"11101010", B"11001011",
B"01001000", B"11010101", B"11110100", B"00011011", B"11100111",
B"00000000", B"11000011", B"11011111", B"11010100", B"10101101",
B"11000100", B"11001111", B"11110110", B"00000101", B"00010010",
B"00101010", B"00010010", B"00111010", B"11101010", B"00010111",
B"11110001", B"11101110", B"10110010", B"01000011", B"00100000",
B"11011000", B"00111010", B"00011010", B"11001110", B"00100100",
B"00011001", B"11011101", B"11010011", B"11101010", B"00110100",
B"00101101", B"00010100", B"00101100", B"11001101", B"00011101",
B"00010010", B"11001100", B"00101110", B"00101111", B"11010110",
B"00010110", B"00100000", B"11001111", B"00010000", B"00011101",
B"01000000", B"00010010", B"00100010", B"11100010", B"00001111",
B"11101011", B"00101111", B"00100110", B"00101101", B"00010011",
B"00011101", B"00111000", B"01000111", B"00011110", B"11010010",
B"00000100", B"11101111", B"00001010", B"11100101", B"11011001",
B"00010010", B"00010011", B"11101101", B"00101000", B"00100011",
B"11000011", B"11011100", B"00000011", B"11001110", B"11010101",
B"11100001", B"00010110", B"11011101", B"11010010", B"11010100",
B"01001001", B"00001111", B"11100000", B"00000100", B"11011111",
B"00010010", B"11110000", B"11011011", B"10101101", B"00000011",
B"00100110", B"11111011", B"11110011", B"00010110", B"11111001",
B"00100100", B"11110010", B"11011101", B"00100010", B"11010101",
B"10111100", B"00010111", B"00100011", B"00100001", B"00100000",
B"11010101", B"11001001", B"00010101", B"00001011", B"11110010",
B"00110011", B"11110110", B"11101101", B"00001010", B"00011100",
B"00100101", B"00101111", B"11001001", B"00010111", B"11101110",
B"11101001", B"11001110", B"00011111", B"11110001", B"11110011",
B"00010110", B"11011010", B"00101111", B"11100111", B"11010010",
B"00010111", B"00000000", B"11000110", B"11010001", B"11101001",
B"00100100", B"11110011", B"00110100", B"00001101", B"11100100",
B"00011111", B"00010110", B"00010010", B"11101011", B"00000011",
B"11111001", B"00010001", B"00001101", B"11011111", B"00011100",
B"11100100", B"11010100", B"01011100", B"11001001", B"00001101",
B"00011110", B"11101010", B"01000000", B"00000011", B"11100111",
B"10111000", B"00110001", B"00110001", B"00011111", B"00010011",
B"11110001", B"11110111", B"11111101", B"11101000", B"11101111",
B"00111100", B"11011001", B"11011011", B"00000011", B"11001110",
B"01000101", B"11100001", B"11011001", B"00001111", B"11111100",
B"11010101", B"11111011", B"11101101", B"11110001", B"11111110",
B"11111110", B"00101000", B"11110001", B"11010100", B"11001101",
B"11111110", B"00101100", B"11111000", B"00101001", B"11110000",
B"11100101", B"00101101", B"11101110", B"11100100", B"11100111",
B"00100011", B"01011011", B"11000100", B"00101011", B"11101010",
B"10110000", B"00001001", B"00001111", B"11100110", B"00000100",
B"11000001", B"11111000", B"11101011", B"00010001", B"11011000",
B"11100111", B"11001100", B"00101001", B"00101100", B"11010111",
B"00100000", B"00000011", B"11111101", B"11100001", B"11010000",
B"00011101", B"00011001", B"11001101", B"00001011", B"00101000",
B"11100101", B"11011011", B"11100101", B"11110100", B"11010111",
B"00110100", B"01001001", B"00010100", B"11110100", B"00101000",
B"11010000", B"00011110", B"11100000", B"00011111", B"00001110",
B"00011110", B"11000100", B"00100101", B"11011100", B"00010000",
B"11100101", B"11101000", B"00010000", B"00001011", B"00000101",
B"11011010", B"00011111", B"11000000", B"00011110", B"00011100",
B"11001001", B"01000111", B"00010011", B"11100011", B"00010011",
B"01000110", B"11100111", B"11010011", B"00010111", B"11001001",
B"01000000", B"11100001", B"11110010", B"00010010", B"01001101",
B"11011101", B"11100010", B"11100011", B"11011001", B"00000010",
B"00000101", B"00111010", B"00111010", B"11100000", B"11101011",
B"01010110", B"00100011", B"00110000", B"00010010", B"10111110",
B"11011011", B"11100101", B"00110101", B"00101110", B"00011101",
B"11100100", B"00101001", B"11011111", B"00001010", B"00111011",
B"11100110", B"00010011", B"11010011", B"11011000", B"11111011",
B"00101011", B"00011011", B"11011100", B"00000010", B"11100111",
B"00100000", B"00000000", B"11111101", B"00101111", B"00010100",
B"00100000", B"00110110", B"10111011", B"11100011", B"00010100",
B"00011001", B"11100001", B"11110011", B"00110100", B"00010011",
B"11010100", B"00010011", B"00100111", B"11100010", B"11101111",
B"00101001", B"11111111", B"00110000", B"11110001", B"00100000",
B"00001000", B"11001011", B"00111001", B"00010001", B"10111110",
B"00011111", B"11100001", B"00101010", B"11101111", B"11100110",
B"00111100", B"00100011", B"00011100", B"11100100", B"11101100",
B"00110010", B"11100100", B"11011000", B"11001001", B"11100100",
B"00000111", B"11111011", B"00101100", B"11101010", B"11010110",
B"11110110", B"00011101", B"00101111", B"00011110", B"00001010",
B"00011000", B"00011111", B"00011001", B"01001110", B"00011110",
B"00011001", B"00000100", B"00010001", B"10110101", B"11100100",
B"00010011", B"00100111", B"00000000", B"11100001", B"00000011",
B"00001110", B"00000011", B"11100111", B"00011011", B"00010000",
B"11101111", B"10101101", B"00100011", B"10110111", B"11101110",
B"00011001", B"11010111", B"11100000", B"11010101", B"11101010",
B"11110101", B"00101101", B"11101111", B"11010101", B"00001100",
B"01000100", B"11001001", B"10110001", B"11000110", B"11101111",
B"00100111", B"00010101", B"00010111", B"00100001", B"11000100",
B"11001010", B"11111010", B"11010011", B"11110110", B"11110010",
B"11101000", B"00101001", B"00011101", B"00110111", B"01010010",
B"00111101", B"00011001", B"11100001", B"01100110", B"11010001",
B"00101110", B"10101100", B"11110000", B"11110110", B"00001011",
B"11010001", B"00011110", B"11000011", B"11001101", B"00001010",
B"11010111", B"00001100", B"11001101", B"11101000", B"11010100",
B"00101100", B"00010011", B"11010010", B"11100000", B"11110001",
B"11000011", B"11100101", B"00001110", B"00000000", B"11111010",
B"11111101", B"11000101", B"10111111", B"00011011", B"00000001",
B"00011001", B"00110010", B"11011111", B"11110101", B"00010001",
B"00011011", B"00001001", B"00101011", B"11011011", B"00101001",
B"11001000", B"00011101", B"11100011", B"00111011", B"11011010",
B"11111000", B"00011001", B"11011000", B"11110000", B"00011100",
B"11000111", B"10111110", B"00000111", B"00011101", B"00011111",
B"00000010", B"11101001", B"00010010", B"00011010", B"00011011",
B"11010001", B"00100011", B"00011111", B"11100000", B"11110111",
B"00100001", B"11101101", B"11100100", B"00100011", B"00001010",
B"00100110", B"00010011", B"11100001", B"00000101", B"00101100",
B"00011010", B"00100010", B"11011110", B"00101010", B"11001010",
B"00110111", B"00000000", B"11100101", B"11000011", B"11111111",
B"11101100", B"00100111", B"11001001", B"00010111", B"00000000",
B"00100111", B"11110101", B"11101110", B"11110000", B"11100011",
B"00010010", B"11001101", B"00100010", B"00010111", B"00101001",
B"00011001", B"11001101", B"00000001", B"11001010", B"00001010",
B"11010101", B"11100111", B"11011010", B"11110100", B"00110010",
B"00111010", B"00001001", B"00100100", B"11101101", B"11101111",
B"00101010", B"11101110", B"00000111", B"11011010", B"11100000",
B"00111011", B"00110101", B"00000011", B"00010101", B"11001000",
B"00010111", B"00101000", B"11000111", B"11101100", B"00101001",
B"11111101", B"11011011", B"11110100", B"10111100", B"11110110",
B"00110001", B"00100111", B"00101001", B"01001100", B"11010011",
B"00010110", B"11011101", B"11011111", B"11010100", B"00110001",
B"00101010", B"00000000", B"00011110", B"00000101", B"11110110",
B"11111000", B"00100001", B"00011100", B"11010111", B"00000011",
B"11101001", B"11010000", B"00011010", B"00100001", B"11101110",
B"00011001", B"11011111", B"00101001", B"00010001", B"00101101",
B"11111101", B"01001111", B"11101100", B"00101011", B"00001011",
B"00010011", B"00010110", B"00000011", B"11110001", B"00011010",
B"00000010", B"00001001", B"11010010", B"11100100", B"00001110",
B"01000000", B"00011011", B"00001001", B"00111100", B"11011111",
B"01001100", B"11101101", B"00100001", B"00001010", B"00100001",
B"11101001", B"00101000", B"00011100", B"00010110", B"11001100",
B"00011011", B"00111001", B"00010000", B"00000100", B"00110111",
B"00000000", B"01000101", B"11101100", B"00001011", B"11100111",
B"11101001", B"11011111", B"00100101", B"11110100", B"10111010",
B"00011000", B"11101011", B"01001101", B"11110110", B"11100110",
B"11001001", B"11111011", B"00101001", B"11010000", B"00110000",
B"00010101", B"00011011", B"11011111", B"00000011", B"11000010",
B"00000010", B"11111010", B"00111010", B"11001100", B"11101000",
B"11011010", B"00010010", B"00101110", B"11011110", B"00111000",
B"00011101", B"11111101", B"11011000", B"00011010", B"00100010",
B"11000000", B"11111010", B"11111100", B"00101110", B"01000001",
B"11110111", B"00101110", B"10111011", B"00101100", B"11111110",
B"11011011", B"11011000", B"10110101", B"00100110", B"01001000",
B"11010010", B"01001100", B"11010001", B"11101010", B"00000001",
B"11110111", B"11100101", B"11101001", B"00111011", B"00010001",
B"00101101", B"11111111", B"11000001", B"11101010", B"11010011",
B"11111001", B"00110100", B"11001101", B"00000011", B"00001001",
B"00100001", B"11001010", B"00000101", B"00000000", B"11101000",
B"11001001", B"00100101", B"00000111", B"11100110", B"00111101",
B"11000011", B"11010001", B"11110011", B"11111001", B"00100100",
B"01000100", B"11010001", B"10101000", B"00001100", B"11010111",
B"11101100", B"11011110", B"11110101", B"00111100", B"00010100",
B"00011001", B"00111100", B"00101100", B"00001010", B"00011001",
B"11111100", B"11100100", B"11110110", B"11111011", B"00011100",
B"00011001", B"11101001", B"00011011", B"11100100", B"00110101",
B"00011011", B"00101001", B"01000111", B"00101110", B"00001001",
B"00101010", B"00100100", B"00010000", B"11100011", B"11101001",
B"11010101", B"11010011", B"11101010", B"11010100", B"11100001",
B"11010100", B"00011101", B"11100101", B"11101110", B"00001001",
B"00101111", B"00101111", B"11110111", B"00000100", B"11011101",
B"00000100", B"11111111", B"11010110", B"11010011", B"11111000",
B"00001111", B"00010111", B"00011110", B"01000000", B"11010010",
B"00100011", B"00000100", B"01010110", B"00001000", B"00101110",
B"00010110", B"00100110", B"11001000", B"11101001", B"11000000",
B"01010001", B"11100001", B"11100110", B"11011111", B"11110010",
B"10111110", B"00001100", B"00101110", B"00001011", B"01000100",
B"11011000", B"11111001", B"00010001", B"00010110", B"11100010",
B"01000001", B"11110101", B"11101111", B"11001101", B"11011111",
B"00000000", B"00010000", B"00110000", B"11111000", B"00100100",
B"11011010", B"00001111", B"00101111", B"00100101", B"00111010",
B"01001001", B"00110100", B"01010010", B"00001000", B"00110011",
B"11011001", B"00101101", B"10111001", B"11111101", B"11000101",
B"00101010", B"00010110", B"11001001", B"00101010", B"11001101",
B"11011001", B"11010001", B"01000110", B"00101101", B"11100101",
B"00001011", B"00100100", B"11110001", B"11101100", B"00000000",
B"00000110", B"01011010", B"11111101", B"11110101", B"00111100",
B"00100000", B"00010010", B"00010000", B"00110010", B"00100010",
B"00100111", B"00110100", B"11110011", B"11001000", B"00010001",
B"11101000", B"11011110", B"11111110", B"11100010", B"00001010",
B"11011000", B"11011110", B"11000101", B"11010011", B"01100001",
B"11101001", B"00110100", B"00010110", B"11101000", B"11111001",
B"11011011", B"10111011", B"00010011", B"11010010", B"00010011",
B"11100111", B"10011101", B"11100110", B"00011000", B"00100011",
B"10110011", B"00011010", B"11101111", B"00100100", B"00101100",
B"11111110", B"11110000", B"00011100", B"00001011", B"11101100",
B"11100110", B"11111100", B"11100100", B"00010001", B"00101000",
B"01001110", B"00010110", B"00010010", B"00010011", B"10111111",
B"00010100", B"00010101", B"00100111", B"01000011", B"11110010",
B"11000111", B"11010011", B"00011101", B"11011011", B"11000100",
B"00111011", B"11111011", B"11101000", B"11100000", B"11010000",
B"00100101", B"00011010", B"00011000", B"00110101", B"00001110",
B"00001000", B"00101010", B"00010101", B"11011001", B"00010111",
B"00010101", B"00101110", B"10011111", B"00101000", B"11011000",
B"01000110", B"00100000", B"00001100", B"11100101", B"00101010",
B"01000000", B"11110001", B"11010001", B"00100111", B"00010111",
B"11101111", B"00101010", B"00001001", B"11011000", B"00111001",
B"00001000", B"11110011", B"11010001", B"11101101", B"00010101",
B"11111001", B"00110000", B"11011100", B"11001110", B"11101111",
B"00000010", B"00010000", B"11111001", B"01000100", B"00110001",
B"00001000", B"00111010", B"00110011", B"00110001", B"00010010",
B"00110001", B"00011101", B"00011101", B"00010111", B"00011010",
B"00110010", B"00011100", B"00001001", B"11111000", B"11010100",
B"11111000", B"11000100", B"00001110", B"11110100", B"00101010",
B"11010010", B"00100111", B"11111010", B"11100011", B"00101011",
B"00011101", B"11110010", B"11101010", B"11110001", B"00010011",
B"11011110", B"00111010", B"11010101", B"00010110", B"00111101",
B"00011111", B"00100100", B"00001000", B"11001001", B"00010110",
B"00100110", B"11101101", B"11010001", B"11000111", B"00111010",
B"00100010", B"11010101", B"11101101", B"11101110", B"11011110",
B"10111100", B"00011111", B"11100000", B"11100101", B"00000001",
B"11101000", B"11101010", B"11111010", B"11001010", B"11011100",
B"00111101", B"00101011", B"00000110", B"10111010", B"11011100",
B"11100100", B"11101111", B"01010000", B"00101111", B"11011100",
B"00000101", B"00010100", B"00101000", B"00110001", B"00101111",
B"00100111", B"00100110", B"00010011", B"00011100", B"00110001",
B"00111000", B"00010101", B"00111010", B"00010110", B"00101001",
B"00010100", B"11110100", B"00101110", B"11111111", B"01000001",
B"11011111", B"11011111", B"11110111", B"11101001", B"11010101",
B"11110110", B"01000000", B"00011110", B"11100110", B"11011111",
B"00011000", B"00000111", B"00001101", B"10110000", B"00001101",
B"11010001", B"00010011", B"11001101", B"00010000", B"00001001",
B"11111010", B"11011001", B"11011100", B"11001010", B"11110011",
B"00010100", B"11101000", B"00001101", B"00001111", B"00100101",
B"00010111", B"00010010", B"00101000", B"11100000", B"00100000",
B"00001001", B"00110010", B"00000111", B"00100101", B"10110101",
B"00110101", B"11110001", B"11110100", B"00011010", B"11110101",
B"00011000", B"11010011", B"11111010", B"00011110", B"00010111",
B"00001011", B"11100110", B"11011010", B"11011100", B"00011100",
B"11011100", B"00010000", B"00100101", B"11101110", B"11111111",
B"11101101", B"11100001", B"00010011", B"00000101", B"11101111",
B"01000001", B"11011101", B"00100001", B"00001000", B"10111011",
B"00100111", B"01011101", B"00000011", B"11101101", B"00011000",
B"11011101", B"11110101", B"11101110", B"00111011", B"00100100",
B"11000110", B"00101100", B"00110110", B"00000100", B"00100111",
B"00011010", B"11111000", B"11101011", B"00011010", B"00101001",
B"00101111", B"00001110", B"01000100", B"11110111", B"01010000",
B"00001011", B"00011111", B"01010010", B"10111111", B"00101001",
B"00110000", B"11010000", B"00010010", B"00000010", B"10101011",
B"00000001", B"00010101", B"11001111", B"00101110", B"11100110",
B"11111101", B"00111111", B"00011000", B"10110011", B"10111110",
B"00001010", B"11100101", B"00000110", B"10111110", B"11100110",
B"00111001", B"11100011", B"00010100", B"11111111", B"11111100",
B"11111001", B"00010101", B"00100101", B"11110010", B"11111011",
B"10101000", B"00010110", B"00010011", B"00010111", B"00100111",
B"10111000", B"11010001", B"00001001", B"11001111", B"11010000",
B"11111000", B"11001111", B"00011100", B"10111101", B"00101000",
B"11011001", B"11000001", B"11111001", B"11011100", B"00110100",
B"00011101", B"00001001", B"00000110", B"00100100", B"10111001",
B"11100011", B"00001010", B"11111100", B"11011011", B"11100111",
B"11010101", B"01001111", B"00010011", B"11001110", B"11000101",
B"00100001", B"00011110", B"11111010", B"11101011", B"00101111",
B"11111010", B"00011110", B"11001110", B"00110001", B"11001010",
B"00000111", B"11011100", B"00010000", B"11010100", B"00010000",
B"00100101", B"11001001", B"00011100", B"00010001", B"11000101",
B"11111100", B"00011001", B"00100101", B"11011011", B"00111010",
B"11110111", B"00010101", B"10111111", B"11100001", B"00100000",
B"11111100", B"00111010", B"10110100", B"11110101", B"00001100",
B"11111100", B"11001101", B"00101110", B"11011001", B"11110001",
B"11010101", B"00111000", B"00100101", B"11100101", B"00011000",
B"00011011", B"11000010", B"00000000", B"10111100", B"00000000",
B"11101001", B"11100001", B"11011100", B"11011101", B"11110010",
B"10111100", B"00101110", B"11111100", B"00101011", B"00110011",
B"00011010", B"01000101", B"11101100", B"00010000", B"11101110",
B"11100100", B"00100100", B"00011011", B"11010110", B"11000000",
B"11010000", B"00111101", B"00100110", B"11100011", B"00101111",
B"11111011", B"00001000", B"00111011", B"11001001", B"00110001",
B"00011011", B"11101011", B"11010000", B"00101110", B"11110110",
B"00001101", B"00101011", B"00110001", B"11100111", B"00110101",
B"10111110", B"00001010", B"00011011", B"11010111", B"00010110",
B"00110011", B"10111101", B"00100101", B"00010100", B"11111000",
B"00011110", B"01001111", B"11010101", B"00111000", B"00111100",
B"11101111", B"11010100", B"11110111", B"10101101", B"01001011",
B"11101110", B"00111011", B"11100011", B"11000000", B"00101101",
B"00111000", B"10111110", B"00100010", B"11111111", B"00010010",
B"11001000", B"11000100", B"00011001", B"00001001", B"11011000",
B"00010011", B"11111111", B"00001110", B"11010000", B"11010000",
B"00111000", B"00010100", B"00011101", B"00001111", B"00101000",
B"11011101", B"11111000", B"11100111", B"00010101", B"11010100",
B"00010100", B"01000110", B"11010110", B"01001001", B"00000101",
B"11101001", B"01001010", B"00101110", B"00111010", B"00101101",
B"00011001", B"00101011", B"00010110", B"00011011", B"00001000",
B"00110100", B"11001101", B"00110000", B"11110001", B"01001001",
B"00001000", B"00011001", B"00110101", B"00000111", B"00010010",
B"10101101", B"00001100", B"11011110", B"11100001", B"10110111",
B"00111001", B"00101111", B"11010011", B"01010101", B"11100110",
B"11001010", B"11010001", B"00101000", B"00000110", B"11011000",
B"00110010", B"01011111", B"01000110", B"00101011", B"00001001",
B"00111100", B"00100000", B"00011011", B"00101000", B"11101001",
B"00101110", B"00100110", B"11001100", B"00000001", B"00110110",
B"11101010", B"11001110", B"00000110", B"00000101", B"00001010",
B"00000000", B"00101110", B"11100000", B"00100110", B"11110001",
B"11100010", B"11011001", B"11101011", B"00110001", B"00110100",
B"00010010", B"00011111", B"00111011", B"11011111", B"00100000",
B"00110101", B"11111000", B"00100011", B"00010110", B"11010010",
B"11111101", B"00011101", B"11110111", B"00011000", B"11101000",
B"10111111", B"00111000", B"11011010", B"11011100", B"11001111",
B"10101111", B"10111000", B"00100001", B"01001010", B"00001100",
B"00101110", B"11011111", B"00010010", B"00001011", B"00101110",
B"11111010", B"00010100", B"11100110", B"00110000", B"11010101",
B"11000100", B"11001100", B"00110111", B"00110110", B"11100010",
B"00111101", B"11100111", B"00010101", B"00011100", B"10111001",
B"11111111", B"11100100", B"00110100", B"00010111", B"00001001",
B"11011011", B"10101010", B"11001011", B"11111011", B"00011010",
B"11011100", B"00111000", B"11011001", B"10110011", B"00111001",
B"11000111", B"00001001", B"10111111", B"00101100", B"00111010",
B"11100101", B"00101100", B"01000110", B"11110110", B"00001100",
B"01010000", B"00010000", B"00011000", B"00001001", B"11001111",
B"11011010", B"00111100", B"00011101", B"00101001", B"00010100",
B"11111001", B"11000111", B"00001000", B"00110100", B"11010000",
B"10111110", B"00111100", B"00010011", B"11011000", B"11011000",
B"00011111", B"00010110", B"00101010", B"00100101", B"00111000",
B"00100000", B"00000000", B"00010000", B"11001001", B"00011011",
B"00000011", B"11011100", B"11010010", B"00100011", B"01010010",
B"11110011", B"11001111", B"11011011", B"00010100", B"00101010",
B"00111101", B"11110101", B"11010001", B"11010011", B"00100000",
B"00010001", B"11011110", B"00101100", B"00110101", B"11011110",
B"11010001", B"00010011", B"00001000", B"00100000", B"00110101",
B"00111000", B"11101110", B"00010111", B"11110001", B"00010110",
B"11010011", B"00011011", B"11011100", B"11100101", B"11011010",
B"00001110", B"00011101", B"11110000", B"00101110", B"11101010",
B"11110010", B"00011100", B"10111010", B"11110001", B"11000011",
B"11000110", B"10111001", B"00010111", B"00010100", B"00110101",
B"11101010", B"00100101", B"10111111", B"00111110", B"11111100",
B"11010010", B"10110100", B"11100100", B"00110000", B"00001111",
B"00010010", B"00101000", B"11101010", B"00000001", B"11101100",
B"00111000", B"11001101", B"00100110", B"11111011", B"00100011",
B"00100111", B"11100101", B"11100000", B"00011100", B"11011010",
B"11101000", B"11111010", B"11100000", B"11100110", B"11010101",
B"00010101", B"00010001", B"01010001", B"00100010", B"11011100",
B"11111111", B"11110100", B"00001111", B"00000001", B"11000110",
B"11100101", B"11010101", B"00000101", B"11010010", B"11011010",
B"10101100", B"01000110", B"11001111", B"00111001", B"11000100",
B"11011011", B"01000011", B"11011101", B"00011100", B"00100100",
B"11011110", B"11100011", B"11101011", B"11010011", B"11011100",
B"00000110", B"00100110", B"11011110", B"00110111", B"00011111",
B"11010101", B"11000001", B"01000101", B"00011110", B"11101100",
B"00011111", B"11110001", B"11011000", B"00111010", B"01010010",
B"11101011", B"00000000", B"11110001", B"00010100", B"11010110",
B"11011111", B"11101001", B"00001101", B"00101100", B"01010011",
B"11101101", B"00101000", B"11001011", B"11011100", B"11110111",
B"00010001", B"00010100", B"11001111", B"00111101", B"11110010",
B"00000110", B"11101011", B"11100111", B"01000011", B"11111100",
B"00100010", B"00010010", B"00110010", B"00111000", B"00001100",
B"00010010", B"11111001", B"00100000", B"11110101", B"11111110",
B"01000100", B"00010001", B"00011110", B"00001101", B"00000010",
B"00011110", B"11110111", B"00001101", B"00000010", B"00110111",
B"11101111", B"11101011", B"11101111", B"00100100", B"11110011",
B"11100100", B"00010010", B"01000000", B"00101111", B"00000101",
B"11010001", B"11101001", B"00111001", B"11101100", B"11111010",
B"00101111", B"11110011", B"11100010", B"11011100", B"11011110",
B"00101011", B"11110100", B"11010001", B"00110010", B"11110110",
B"11110110", B"11001010", B"11010011", B"11010001", B"11110010",
B"00011000", B"00011001", B"00010110", B"00011100", B"11011110",
B"11000010", B"00101010", B"01000111", B"11100010", B"11010100",
B"11101101", B"00111011", B"11010010", B"11100000", B"00110000",
B"11111110", B"11001101", B"00000001", B"00010101", B"11101011",
B"10110110", B"00100001", B"00010110", B"00110000", B"00100001",
B"11101111", B"00101000", B"00001111", B"01000010", B"00011101",
B"00011000", B"11000001", B"01101000", B"00101100", B"11011000",
B"00100010", B"00010111", B"11101110", B"00000110", B"00010000",
B"11000111", B"11110011", B"00111000", B"11110000", B"11111100",
B"01000001", B"11100110", B"00000010", B"11101001", B"00100101",
B"11011010", B"11000100", B"00101110", B"00110001", B"11111110",
B"00100010", B"11100010", B"00111001", B"11110001", B"10110001",
B"00000011", B"00101101", B"00000011", B"11010011", B"01000101",
B"11010001", B"11100111", B"11101101", B"00010101", B"00110001",
B"00101001", B"11010100", B"11100110", B"00110010", B"11100011",
B"11110011", B"11101111", B"11010100", B"11110010", B"00000100",
B"00100011", B"00111100", B"11100101", B"00101011", B"11110101",
B"00001001", B"01001010", B"00010101", B"00101000", B"11101001",
B"00100100", B"11010111", B"00001111", B"00001101", B"00100110",
B"10111010", B"00001110", B"00010100", B"11110111", B"01010000",
B"11100100", B"11011100", B"11010001", B"11001010", B"11101110",
B"11011000", B"00011100", B"00011001", B"00100000", B"00001110",
B"00101110", B"00011010", B"10101110", B"11000011", B"00010110",
B"00111100", B"00000001", B"11110110", B"11111111", B"11110001",
B"11110011", B"11011111", B"11101001", B"00010111", B"11011001",
B"00001001", B"11011000", B"00100110", B"00100011", B"11101111",
B"11010010", B"10111000", B"11111001", B"11111001", B"00100110",
B"11111000", B"11000100", B"11011100", B"11001110", B"01001010",
B"11110000", B"00000101", B"00001101", B"11000101", B"11101100",
B"00100000", B"10100100", B"11011101", B"11000101", B"11001001",
B"11100000", B"11011110", B"00100000", B"00011101", B"00110000",
B"00010000", B"11011010", B"11101001", B"11101101", B"00001101",
B"11011000", B"00100001", B"00000000", B"00001011", B"00101101",
B"00010110", B"11100110", B"00001000", B"00010101", B"11001100",
B"11010010", B"11111011", B"11101000", B"10100111", B"00010001",
B"00110111", B"11100100", B"11100001", B"00100010", B"00001101",
B"11010011", B"11000111", B"00001000", B"00010100", B"00001001",
B"00100101", B"00100110", B"01000101", B"00111010", B"00000001",
B"00011001", B"01000001", B"11100111", B"00010110", B"00000110",
B"11101101", B"11101111", B"01001001", B"00010101", B"11110011",
B"11011110", B"00011100", B"11011100", B"11101010", B"11101111",
B"11111011", B"11111110", B"00000100", B"00100111", B"00111000",
B"00101101", B"00100011", B"11111110", B"00010000", B"11010000",
B"11101010", B"11111101", B"00110010", B"00100001", B"00010011",
B"11101010", B"11010100", B"11011001", B"11101101", B"00110101",
B"11000111", B"00101000", B"11010110", B"11010101", B"00100100",
B"00110001", B"10110010", B"00101110", B"00111011", B"11011111",
B"00110100", B"00011100", B"11100100", B"00110001", B"00010000",
B"11100011", B"11101111", B"00110000", B"11010110", B"11100000",
B"00110010", B"11011011", B"11110111", B"11000101", B"00100000",
B"00100111", B"11100011", B"00101110", B"10110011", B"00000001",
B"00111000", B"11101010", B"11100000", B"00000000", B"00100000",
B"11110110", B"00000110", B"00100101", B"00111011", B"00100011",
B"00000001", B"00110011", B"00001000", B"00110111", B"11111101",
B"10101111", B"00001111", B"11011010", B"11100110", B"11010101",
B"00101001", B"01000001", B"11100100", B"00110110", B"00111110",
B"00110110", B"00001010", B"11110111", B"00011000", B"00100011",
B"11111010", B"11010001", B"00001011", B"11111101", B"00110101",
B"00000101", B"11111001", B"00011111", B"00111010", B"00100001",
B"00010110", B"11011011", B"11100010", B"00001110", B"00010100",
B"11101101", B"11011100", B"00100001", B"00111101", B"00000110",
B"11000011", B"00001111", B"00001100", B"00010001", B"10111111",
B"00110100", B"11110100", B"11000111", B"11100000", B"00000010",
B"00011010", B"11010111", B"01000000", B"00110010", B"11011100",
B"00111101", B"00100001", B"11001100", B"00111110", B"00101111",
B"11110111", B"00110110", B"00000111", B"00000110", B"11010011",
B"00100100", B"00000101", B"00000110", B"11100001", B"11001001",
B"11101010", B"00001111", B"00010010", B"00011101", B"00100010",
B"11011100", B"11010011", B"11010010", B"00101111", B"00011111",
B"00000110", B"10111011", B"11110011", B"11000011", B"00010100",
B"11110100", B"11011010", B"00000011", B"11100110", B"11101101",
B"11010110", B"11000101", B"00111111", B"00010101", B"11100011",
B"00001011", B"00100100", B"11100110", B"00100010", B"00010110",
B"11011010", B"00000001", B"11110101", B"01001011", B"11101001",
B"00111000", B"11100111", B"11111001", B"00100010", B"11111101",
B"00010001", B"11100111", B"00100011", B"00011111", B"11010101",
B"11100010", B"00110000", B"11110101", B"00001000", B"11101000",
B"11100011", B"11100100", B"00101110", B"00010011", B"11000111",
B"11101111", B"00000101", B"00000001", B"00001101", B"11000000",
B"11101011", B"00010010", B"11101101", B"11101011", B"00010011",
B"11011111", B"11010000", B"10101101", B"00000001", B"00110100",
B"11110010", B"00010100", B"00110001", B"11011011", B"11001000",
B"00100111", B"00001100", B"00010000", B"11100101", B"11011011",
B"11110101", B"00011011", B"00010101", B"11110011", B"00100000",
B"11100101", B"00110001", B"11111011", B"01000000", B"00010100",
B"00101001", B"11101100", B"01000001", B"11001110", B"11111111",
B"00110101", B"01001010", B"11010110", B"00111011", B"11110111",
B"00000000", B"11100110", B"00101000", B"11100011", B"00011110",
B"11100001", B"00000000", B"11100111", B"11101111", B"01000000",
B"00111001", B"00110100", B"00111000", B"11000100", B"00101001",
B"00101010", B"11100100", B"11011111", B"00001001", B"00001101",
B"11111001", B"11110010", B"01000001", B"11111110", B"11100000",
B"11001001", B"11111111", B"11110000", B"11011001", B"00000001",
B"01000100", B"00011001", B"00111011", B"11110010", B"11100101",
B"11010101", B"11101001", B"11100001", B"00101110", B"00000111",
B"11000101", B"00100101", B"11011110", B"00001110", B"00100010",
B"11000011", B"00011000", B"00011010", B"10111010", B"11101000",
B"00101011", B"00010110", B"11000011", B"11011000", B"00011101",
B"11110000", B"11111001", B"11001101", B"11110000", B"00001001",
B"00100101", B"00011010", B"10111110", B"00000001", B"11100110",
B"01001101", B"11010001", B"11110101", B"11010010", B"11110101",
B"11010000", B"11011111", B"00101111", B"11110001", B"00101001",
B"11000100", B"11000011", B"11111101", B"11110000", B"00101010",
B"11110100", B"11100000", B"00001111", B"11010011", B"11110111",
B"00100010", B"11001011", B"01001100", B"11110100", B"11101011",
B"00000001", B"11100110", B"11000111", B"11010000", B"11101010",
B"00100100", B"00110000", B"00100001", B"00000010", B"00110011",
B"00011111", B"00000000", B"00011001", B"00001100", B"11100001",
B"11010111", B"00010011", B"00011111", B"00001010", B"00011110",
B"11011001", B"00000100", B"11110001", B"00101010", B"10110111",
B"00100011", B"00111100", B"11101110", B"11000110", B"00000010",
B"00111011", B"11110110", B"10110101", B"00111110", B"11001111",
B"11001101", B"00100110", B"11010101", B"10111111", B"11100111",
B"00000010", B"00011100", B"00000011", B"00010000", B"00110010",
B"11011100", B"00111011", B"00011110", B"11011101", B"00001100",
B"11011000", B"00001101", B"11000111", B"11011001", B"10111101",
B"00001011", B"00010110", B"10110000", B"00110000", B"00010010",
B"11110010", B"00001000", B"11000110", B"11100000", B"11110010",
B"00000011", B"00100000", B"11100100", B"11100100", B"00110100",
B"00010101", B"11010000", B"11101111", B"00011001", B"00111100",
B"00110001", B"00100011", B"11110010", B"00001001", B"00011000",
B"11101001", B"00000111", B"11110000", B"01010100", B"11101101",
B"11100000", B"11100101", B"00100001", B"00100000", B"11001010",
B"11100011", B"11001101", B"11100101", B"00101011", B"00110101",
B"00011100", B"00011011", B"00010111", B"00100100", B"10111100",
B"00110100", B"00000110", B"11110101", B"11100010", B"01010001",
B"11100101", B"11100001", B"11011110", B"00001001", B"00000001",
B"11010100", B"00001110", B"11101011", B"11100101", B"01000100",
B"11011100", B"00101101", B"11100101", B"11100111", B"00110100",
B"00100000", B"11100100", B"11001101", B"11100000", B"11110011",
B"00001000", B"00110000", B"00101100", B"00111011", B"00000100",
B"00001000", B"11110101", B"11001010", B"00011100", B"00001011",
B"11001101", B"11100111", B"11110100", B"11010000", B"00101000",
B"11100011", B"01000101", B"11011001", B"11101000", B"00010101",
B"11110101", B"00111111", B"00000011", B"11011010", B"00000011",
B"00110001", B"10110011", B"10100110", B"00010111", B"00000101",
B"00110101", B"00100011", B"00101010", B"00010110", B"00010010",
B"00111011", B"00100011", B"11110110", B"00100011", B"00001001",
B"11010011", B"10110101", B"00100001", B"00010000", B"00011011",
B"00100010", B"00000001", B"11100100", B"00100101", B"00011010",
B"11001011", B"11100010", B"00010011", B"11111011", B"00110100",
B"00101011", B"11010000", B"00010101", B"00100000", B"11101010",
B"00010101", B"11101001", B"00010110", B"11001000", B"11100111",
B"00010100", B"01000000", B"00100010", B"11111010", B"11011111",
B"00000101", B"11000110", B"00001010", B"00011111", B"11100100",
B"00011011", B"10110111", B"11100001", B"01001011", B"00100000",
B"00000111", B"11100111", B"11111000", B"10111100", B"00101110",
B"00011000", B"00101101", B"11101110", B"00011001", B"00010100",
B"00010110", B"00100011", B"00010110", B"00000001", B"11110001",
B"00100010", B"01000100", B"11011000", B"11010011", B"00011100",
B"11110001", B"11001010", B"00110110", B"11110010", B"00110110",
B"11000001", B"10110110", B"00011001", B"00001111", B"00010101",
B"11101101", B"00001111", B"11111000", B"11001110", B"11001001",
B"00011101", B"11100101", B"10111101", B"00010111", B"00101101",
B"00101011", B"00010110", B"11101011", B"00000000", B"11010010",
B"11001110", B"11101000", B"00110010", B"00001011", B"11010111",
B"00000001", B"11100100", B"11001111", B"11110011", B"11110111",
B"00010011", B"01001011", B"11011101", B"00011110", B"00000100",
B"00111110", B"00110100", B"11100001", B"11100001", B"00001100",
B"00010111", B"11101110", B"11011001", B"00100011", B"11000010",
B"00111001", B"11011100", B"11010100", B"11000010", B"00110100",
B"00001001", B"11101001", B"00100010", B"11111011", B"11011010",
B"11101010", B"11011000", B"11001000", B"11001010", B"00011100",
B"11100011", B"11100001", B"11101101", B"11011101", B"00010111",
B"00000111", B"00111101", B"01000000", B"00000110", B"11111010",
B"11111010", B"01000010", B"11101101", B"00111011", B"11011010",
B"00101100", B"00110011", B"11100000", B"11101111", B"00010010",
B"00000110", B"11100100", B"11001100", B"11001010", B"00000010",
B"00010011", B"00001010", B"11011000", B"00100111", B"11100000",
B"11110010", B"11101101", B"00011011", B"11011110", B"00011001",
B"11111111", B"11100000", B"11110011", B"00011011", B"00110001",
B"01000110", B"00010110", B"11000100", B"00010010", B"11010111",
B"00000101", B"11110110", B"11011110", B"00000111", B"00010101",
B"00110111", B"00000010", B"00100011", B"11110100", B"11100011",
B"11100010", B"00110000", B"11000010", B"00011100", B"11100000",
B"11100011", B"00100111", B"00100111", B"00100100", B"11011010",
B"11101010", B"00010001", B"11000100", B"10110110", B"11100011",
B"11110100", B"00010101", B"11100110", B"00101000", B"00001000",
B"11100111", B"00000101", B"00110100", B"00001010", B"00110010",
B"00011000", B"11010101", B"00000011", B"00011110", B"00001000",
B"11011000", B"00110100", B"00110010", B"11010000", B"00010001",
B"11110011", B"11011101", B"00000011", B"00100100", B"00100110",
B"00111110", B"00101111", B"11010010", B"00101010", B"00110011",
B"11001100", B"11000111", B"00110011", B"11110001", B"00111011",
B"11010001", B"10011110", B"11011100", B"00001111", B"01010010",
B"11101101", B"00001100", B"11010001", B"11011010", B"11101111",
B"00100000", B"11110100", B"00010010", B"00111100", B"11010101",
B"11011110", B"11100000", B"00011010", B"00000111", B"10111110",
B"00100000", B"00001010", B"00011010", B"11011110", B"00101001",
B"00001000", B"11111000", B"00001100", B"00011110", B"11110010",
B"00010100", B"11100101", B"11100100", B"00100011", B"11000100",
B"11100111", B"11101010", B"11001101", B"11101101", B"00000000",
B"00010100", B"10111110", B"11011010", B"10111110", B"11001101",
B"11010000", B"00110010", B"11111101", B"11000110", B"00101010",
B"00000111", B"11001000", B"11100010", B"11101100", B"01001001",
B"00000010", B"11101001", B"00010000", B"11011001", B"00111011",
B"11001101", B"00000010", B"00110001", B"11011011", B"11111011",
B"11010000", B"11011001", B"00101010", B"11100101", B"00101100",
B"11001100", B"00011011", B"00110101", B"00100011", B"11001000",
B"00001100", B"11000111", B"01010111", B"00100101", B"00100000",
B"00000101", B"11010000", B"00010011", B"11100110", B"11111100",
B"11010101", B"11111101", B"11001111", B"11110011", B"11100110",
B"11101000", B"00110001", B"11001010", B"00100101", B"11101011",
B"11101101", B"00111001", B"11010111", B"00111001", B"11101101",
B"11010010", B"00100110", B"00000010", B"11000001", B"11101100",
B"00010101", B"11100011", B"11011110", B"01001010", B"11011001",
B"00000000", B"00100100", B"11101001", B"00000011", B"11000011",
B"00010100", B"00101101", B"11110110", B"11010000", B"11100010",
B"11011000", B"11100101", B"00100111", B"00110001", B"11111110",
B"00110101", B"11110111", B"00011001", B"10111110", B"00011010",
B"00001111", B"11110100", B"00001000", B"00101110", B"00001101",
B"11100011", B"00101111", B"11111011", B"00000000", B"00110000",
B"00101110", B"00110110", B"11100010", B"11001011", B"00010111",
B"00010010", B"00101001", B"11101101", B"11111011", B"11010000",
B"00101010", B"11101101", B"11011111", B"11111011", B"10101010",
B"00001010", B"11100100", B"00010100", B"00010111", B"00101010",
B"11111000", B"00010010", B"00100101", B"00011101", B"00010010",
B"01011111", B"11011101", B"00101001", B"11100001", B"11101000",
B"11110000", B"00101001", B"00101111", B"00001010", B"00100100",
B"00101111", B"00110000", B"11100010", B"00100010", B"11010100",
B"00110011", B"11010000", B"11110110", B"00100001", B"00010000",
B"00110010", B"00010101", B"11101001", B"11100001", B"00010001",
B"00110100", B"00101100", B"00011110", B"00011100", B"00000011",
B"00100101", B"01001011", B"00000010", B"11011100", B"00101011",
B"11010110", B"11010111", B"11010010", B"00101000", B"00010100",
B"11000111", B"00010010", B"00010010", B"00011111", B"01001110",
B"00110111", B"00110000", B"11111001", B"00010111", B"00010010",
B"11111111", B"00011101", B"11110101", B"11100011", B"11110111",
B"00101100", B"00101001", B"00111110", B"00101000", B"00001101",
B"11011010", B"00100101", B"11100000", B"00110000", B"11000110",
B"11101111", B"11101101", B"11010001", B"11011110", B"01001011",
B"00011110", B"00001000", B"00100111", B"00100101", B"00100010",
B"00101100", B"00110001", B"00111010", B"00011100", B"11111001",
B"00110110", B"11100001", B"11010110", B"00001011", B"10111110",
B"00001011", B"11110000", B"11000100", B"00001001", B"11100000",
B"11011010", B"00100111", B"11011010", B"00100111", B"11001111",
B"10110011", B"00111011", B"00101101", B"00110001", B"11100011",
B"01001011", B"01000010", B"11110010", B"10011001", B"00101000",
B"11111010", B"00001110", B"11011000", B"00100001", B"11000000",
B"01000001", B"00010001", B"11101100", B"11101000", B"11011101",
B"11110111", B"00001111", B"00001101", B"11101000", B"00100111",
B"11101111", B"11110001", B"10111111", B"11011111", B"00100110",
B"00011000", B"11010110", B"00010011", B"11101010", B"00110001",
B"00000000", B"00101011", B"11101011", B"00111100", B"11011110",
B"00001101", B"11111111", B"00011101", B"00101110", B"10110111",
B"11111101", B"00101100", B"11000100", B"11011011", B"00101011",
B"11101011", B"00110111", B"11011011", B"11100100", B"10110011",
B"00101110", B"00100001", B"11110111", B"00111100", B"00111111",
B"11011001", B"11111111", B"00100110", B"00010111", B"11001000",
B"11000010", B"10110111", B"11100001", B"11111111", B"00001101",
B"00011100", B"11011110", B"11101101", B"01000101", B"00100111",
B"11000101", B"00111101", B"11011111", B"11000010", B"00001100",
B"00110110", B"00101100", B"10110001", B"00011010", B"11100001",
B"11101011", B"10101101", B"00011011", B"01010010", B"11100011",
B"11001001", B"00000100", B"00100000", B"00010010", B"00101010",
B"00101111", B"11100000", B"11011000", B"11110011", B"11111101",
B"00001110", B"00010011", B"00011011", B"00011011", B"11000000",
B"11011101", B"11101111", B"01000101", B"00000000", B"11111110",
B"11101001", B"11011110", B"11011110", B"11000100", B"00101101",
B"11110100", B"00101101", B"00010000", B"11101011", B"11101010",
B"00110110", B"00001001", B"00011111", B"00010100", B"01000000",
B"00101010", B"00110101", B"11111100", B"00100100", B"00001010",
B"00101111", B"11110011", B"11100110", B"11101101", B"11001100",
B"00010100", B"11010000", B"00000001", B"11011010", B"11100110",
B"11001110", B"00000100", B"11111100", B"11101011", B"00101111",
B"00010001", B"00000111", B"11111000", B"00111001", B"00101010",
B"11010000", B"00001001", B"11001111", B"00110111", B"00110101",
B"01001011", B"11100000", B"00001011", B"00011001", B"11001100",
B"00000100", B"00001100", B"00010000", B"11101101", B"11111001",
B"00010101", B"11101100", B"00011011", B"00001011", B"10111000",
B"11101111", B"11011001", B"00110011", B"00011100", B"00010011",
B"00010100", B"11010101", B"10111110", B"11001101", B"00010111",
B"00011010", B"11100000", B"11100111", B"11110011", B"00000111",
B"10110010", B"00010001", B"11110001", B"11000010", B"11001000",
B"11101001", B"00101000", B"11100101", B"00010101", B"11101011",
B"00101111", B"00010000", B"11001110", B"11101100", B"11111011",
B"11101101", B"10110010", B"00100011", B"11101101", B"00011011",
B"11000110", B"11011100", B"11101011", B"00101001", B"00000010",
B"01000001", B"11111110", B"00010110", B"00101100", B"00101001",
B"00111110", B"00110010", B"00010101", B"11111100", B"11001111",
B"11010100", B"00010000", B"00100000", B"11010111", B"00010101",
B"11000000", B"11001010", B"01010000", B"01001101", B"00010101",
B"11010010", B"00100010", B"11100000", B"00001011", B"11000000",
B"00001100", B"11011001", B"11001101", B"11101110", B"00010100",
B"00010001", B"00000101", B"00101000", B"11001001", B"11110110",
B"11011001", B"11011010", B"00100010", B"11010101", B"01010011",
B"00010111", B"11011110", B"00011011", B"11011000", B"11101001",
B"11101000", B"00001100", B"00001000", B"11011110", B"00100100",
B"00010101", B"11000110", B"00010100", B"11100100", B"11000010",
B"00010001", B"00011001", B"10100100", B"00101010", B"11111101",
B"11100010", B"10111001", B"00001100", B"00110100", B"11101100",
B"11111100", B"00010100", B"00000011", B"00101000", B"00101011",
B"01001101", B"00111110", B"11110010", B"11100110", B"00001101",
B"00110111", B"00011010", B"00101100", B"11011110", B"11001011",
B"00101011", B"11111010", B"11010010", B"11100001", B"11101101",
B"00010100", B"11011101", B"01000110", B"11110000", B"00001011",
B"00101110", B"11101001", B"00000100", B"11011101", B"11110001",
B"11000100", B"11100000", B"11101101", B"11100010", B"00110011",
B"01010010", B"11000111", B"00011000", B"11110001", B"11011011",
B"00011101", B"00011101", B"11101111", B"11111100", B"11101001",
B"11111001", B"11111011", B"11111000", B"00001000", B"11110001",
B"11011101", B"11010100", B"01001000", B"01010001", B"11001000",
B"11011110", B"00111110", B"10101000", B"00000011", B"11011011",
B"11011011", B"00101101", B"00011011", B"11110101", B"11111001",
B"11111100", B"10111110", B"00111011", B"00001111", B"11100010",
B"11001110", B"11001000", B"11011010", B"11101011", B"11011001",
B"00000100", B"11111101", B"10111000", B"00011111", B"00000001",
B"11110101", B"00100111", B"11100000", B"00100101", B"11001100",
B"11110100", B"01000011", B"01000100", B"11000110", B"00110110",
B"11110011", B"00000001", B"11010010", B"00000011", B"00100100",
B"11111010", B"10110101", B"00011110", B"00001111", B"11000010",
B"00000100", B"00011101", B"00010110", B"11010001", B"11011101",
B"11101110", B"01000001", B"00010011", B"11010111", B"00011001",
B"11010100", B"10111111", B"00111100", B"11010111", B"11111101",
B"11100101", B"00001101", B"00110111", B"11010111", B"10101000",
B"00000011", B"11101110", B"11110000", B"11101000", B"00011101",
B"00010100", B"11100101", B"11110010", B"11101100", B"00010111",
B"11011101", B"00110011", B"11011000", B"11110001", B"11110011",
B"00100000", B"11100011", B"11101110", B"11001111", B"11010100",
B"11110000", B"11001000", B"00101111", B"00100011", B"11011001",
B"00001010", B"11010010", B"11000111", B"00010000", B"00011101",
B"00101000", B"11101101", B"01000101", B"11110110", B"01001000",
B"11001111", B"11011101", B"00010110", B"00111011", B"11111101",
B"11110101", B"00100010", B"10101011", B"00000110", B"11011101",
B"11111000", B"00011100", B"10110010", B"10101001", B"00111001",
B"11011111", B"00110111", B"10111110", B"11011110", B"11111011",
B"11011001", B"00101010", B"00001101", B"00011101", B"11010011",
B"01011011", B"11110100", B"00101101", B"10100111", B"11001100",
B"00011011", B"00000000", B"00111101", B"11100110", B"11001101",
B"00011100", B"00011011", B"00110101", B"00001000", B"11001001",
B"01000010", B"11010101", B"00100001", B"11101000", B"11000100",
B"11001100", B"11000110", B"00110111", B"00101011", B"11100110",
B"00110010", B"11111000", B"00110100", B"11001100", B"00011001",
B"11011111", B"11001010", B"11010010", B"01010000", B"00111101",
B"00011111", B"11100000", B"00111111", B"01011000", B"11111100",
B"00010011", B"00100101", B"11100000", B"00011111", B"00010111",
B"11111101", B"11101000", B"00100001", B"11101011", B"00010010",
B"11011110", B"00010101", B"00100110", B"11100001", B"00101001",
B"00011100", B"11010001", B"11111110", B"00110001", B"11101100",
B"11111001", B"11010101", B"11100111", B"11101001", B"00100000",
B"11111100", B"11110111", B"00001101", B"00100000", B"00111111",
B"11010101", B"11010001", B"11100000", B"11011100", B"11001111",
B"11000100", B"00100111", B"00111000", B"11100010", B"11110100",
B"11001111", B"11010110", B"11110001", B"11100100", B"11100001",
B"11001101", B"00100001", B"00001101", B"11111110", B"00010101",
B"11011100", B"11001010", B"11011011", B"00000011", B"11010100",
B"00011001", B"11100111", B"11001110", B"00100001", B"00100111",
B"11111001", B"11111110", B"11101010", B"11100001", B"11010001",
B"00010001", B"00010100", B"11100111", B"11011011", B"00000000",
B"00101111", B"11000011", B"11110010", B"11010110", B"11000110",
B"00110101", B"00101101", B"00000111", B"11100011", B"00011101",
B"11011101", B"11111000", B"11100000", B"11011011", B"11100101",
B"11111101", B"11010000", B"00001011", B"11011111", B"11001001",
B"00010011", B"00011000", B"00111000", B"11101100", B"11011101",
B"00000001", B"11110101", B"11101110", B"00001111", B"00010000",
B"11000010", B"00001101", B"10101101", B"11011000", B"01001010",
B"11110100", B"00001000", B"00010011", B"11100110", B"11000110",
B"00111110", B"11011101", B"11010100", B"11010010", B"11111110",
B"00110001", B"00111110", B"00011101", B"11110010", B"00101001",
B"00000100", B"00011010", B"11010010", B"00010000", B"00001110",
B"11001001", B"11110010", B"00011011", B"11111001", B"11101010",
B"11100100", B"11010110", B"11111001", B"11010111", B"11101100",
B"00010111", B"00101111", B"11110101", B"11111011", B"11101111",
B"11011110", B"00001111", B"11101011", B"11001111", B"11101010",
B"11101010", B"00111110", B"11011001", B"11010101", B"00100011",
B"11000000", B"00010111", B"11110100", B"11010000", B"01000010",
B"00001100", B"11101001", B"11110001", B"11010000", B"00010111",
B"00000100", B"00101010", B"00010001", B"00000001", B"00101011",
B"00101100", B"00101000", B"00010001", B"00101110", B"00101101",
B"00101100", B"00010100", B"00011011", B"01000001", B"01010100",
B"11100001", B"00101010", B"11001110", B"00010011", B"11100100",
B"00001110", B"00111111", B"11001101", B"11111001", B"11100010",
B"00000000", B"11111011", B"11100011", B"11011011", B"11110100",
B"11111100", B"00011000", B"00110101", B"00100001", B"00000001",
B"11011001", B"00000100", B"00110010", B"01000001", B"00011000",
B"00100000", B"11101100", B"11100001", B"00110100", B"00001010",
B"11000100", B"00011001", B"11011110", B"10110011", B"11011011",
B"11101111", B"00001010", B"00110001", B"00010011", B"11001110",
B"00101011", B"11111110", B"00101000", B"11010101", B"11011000",
B"00000111", B"00111001", B"00011011", B"00100000", B"00011100",
B"11011011", B"11110010", B"11001100", B"00110011", B"11101010",
B"00001010", B"11000100", B"11110110", B"00101001", B"00011001",
B"11100001", B"10111011", B"11011100", B"11111101", B"00110001",
B"00000011", B"00110001", B"00011110", B"00011011", B"00100101",
B"11011111", B"11100111", B"00010100", B"00101011", B"11011000",
B"11101111", B"10111100", B"00100101", B"01000000", B"00000011",
B"11001101", B"00101011", B"11101010", B"00100000", B"00001000",
B"11001110", B"00001101", B"00110011", B"10110011", B"01001000",
B"11110011", B"11111010", B"11011111", B"11001100", B"00100000",
B"11110110", B"00100001", B"11100111", B"10101011", B"00010000",
B"01001000", B"11010010", B"00011111", B"00001110", B"00010000",
B"00001110", B"00111000", B"11101110", B"00011110", B"11110110",
B"00101100", B"00110010", B"11010010", B"00101100", B"00010011",
B"00000111", B"11111010", B"10101101", B"11100110", B"11100001",
B"11011101", B"00000011", B"11001110", B"00010100", B"11001010",
B"01100011", B"11110101", B"00000111", B"11001110", B"00100100",
B"00110101", B"11110000", B"11011110", B"11100110", B"11011110",
B"00101010", B"00111000", B"11111111", B"00111001", B"11101110",
B"11111101", B"11101100", B"00000111", B"11001011", B"11100100",
B"11111000", B"00010010", B"00000100", B"00111010", B"11010000",
B"01010010", B"11111011", B"11011110", B"11111000", B"00110001",
B"00101110", B"10111101", B"00111001", B"11000111", B"11011001",
B"11100011", B"00011010", B"00111011", B"11110111", B"00000011",
B"00011111", B"00011100", B"11010011", B"11010111", B"11011110",
B"10111110", B"00000110", B"00011111", B"00010000", B"00000110",
B"11100011", B"00101100", B"11101011", B"11110110", B"11101100",
B"00000111", B"11100110", B"10111111", B"11111100", B"11000100",
B"11111000", B"11001101", B"11100000", B"00110011", B"11010010",
B"11010111", B"00111010", B"00001010", B"11001001", B"11101100",
B"11100000", B"11110101", B"11010001", B"11101100", B"00000100",
B"11111110", B"00110110", B"00001011", B"00001000", B"00010000",
B"11010010", B"11010110", B"00101101", B"00101000", B"11101101",
B"00100111", B"11000111", B"11101001", B"11100010", B"00100101",
B"00001000", B"00001100", B"00011100", B"11011111", B"00100110",
B"00001100", B"11101111", B"00100000", B"00101100", B"01001001",
B"00100100", B"11010101", B"00001000", B"11000110", B"00011010",
B"11000000", B"11011100", B"11101010", B"00010110", B"00000110",
B"10111100", B"11100011", B"00100101", B"00011100", B"11111111",
B"11111101", B"00111100", B"11011010", B"00110011", B"11101001",
B"00111001", B"11110101", B"00001100", B"11111000", B"11111011",
B"01001111", B"00011110", B"10111011", B"10111111", B"00110010",
B"01000011", B"00001010", B"00101001", B"11101000", B"11011110",
B"11001000", B"00000010", B"01001010", B"11101111", B"11001001",
B"11010111", B"11010010", B"00000010", B"00101000", B"10110100",
B"00100001", B"11011011", B"00001101", B"11111001", B"00000001",
B"00110001", B"00110001", B"00010011", B"00001001", B"00101101",
B"00001111", B"00000001", B"11001100", B"00011111", B"00111101",
B"00101101", B"00000011", B"00100110", B"00101010", B"11011110",
B"00000011", B"00101100", B"00101101", B"00111001", B"11100010",
B"11100000", B"00100110", B"00111010", B"11011000", B"11100000",
B"11011100", B"00100111", B"00101101", B"11100001", B"00101101",
B"11101110", B"11000011", B"11010011", B"00010110", B"00000001",
B"11101101", B"00101000", B"00011110", B"11001111", B"11000100",
B"00111111", B"00011001", B"00010011", B"00000000", B"00111011",
B"11100110", B"00000001", B"11100001", B"00011100", B"11110110",
B"11001100", B"10111001", B"00000011", B"11100001", B"00000011",
B"11011111", B"00111001", B"10111110", B"11101010", B"11010011",
B"11110000", B"11110010", B"00000011", B"00011000", B"00110011",
B"00001001", B"11010110", B"00011001", B"00100001", B"11110101",
B"00101110", B"00110100", B"11101011", B"00101111", B"00011101",
B"11110100", B"11110100", B"00010111", B"10111011", B"01000100",
B"11100111", B"01000111", B"00011111", B"11111111", B"00010010",
B"00001011", B"00001001", B"00100110", B"01001010", B"11100001",
B"00100010", B"00010010", B"00001010", B"11101000", B"11001011",
B"11101101", B"11010101", B"11001011", B"11110100", B"00111110",
B"00101110", B"00101110", B"00011011", B"11100101", B"11100010",
B"11111101", B"00101001", B"11010101", B"00010110", B"00000111",
B"00001110", B"11010101", B"00110000", B"11100000", B"00000111",
B"11101000", B"00010101", B"11111011", B"11110101", B"00011110",
B"00001010", B"00101010", B"11100111", B"00110101", B"00100110",
B"11100000", B"00011110", B"00111001", B"11111110", B"00010100",
B"11110000", B"11110100", B"00110110", B"11101110", B"11001101",
B"11001001", B"11011000", B"00100111", B"00011000", B"11101111",
B"00011111", B"01000010", B"11011101", B"00000111", B"00101010",
B"00011000", B"01000111", B"00110101", B"11101000", B"00110101",
B"11010110", B"00100100", B"11101111", B"11011001", B"00000010",
B"11110100", B"11001111", B"00100011", B"11111111", B"00000111",
B"00010000", B"00100010", B"11100000", B"00100001", B"00101011",
B"11001110", B"00100010", B"00111011", B"11100111", B"00111011",
B"00010000", B"00100100", B"11000110", B"00100101", B"11000000",
B"00111101", B"11001001", B"00010111", B"11011101", B"00111111",
B"11100001", B"11110111", B"11010000", B"00100000", B"01001110",
B"01000010", B"00111111", B"00011100", B"11000011", B"00100010",
B"11001100", B"00101000", B"11001010", B"00101001", B"00010101",
B"11000010", B"00011110", B"00000111", B"11101000", B"11001101",
B"00000001", B"11100110", B"11111001", B"11101111", B"11100010",
B"11111101", B"00101111", B"11001010", B"00000001", B"01000001",
B"00101000", B"11001010", B"01011110", B"00001000", B"11100001",
B"11011110", B"00110110", B"11010010", B"10110011", B"10100100",
B"00001100", B"00101011", B"11000110", B"00001100", B"11111010",
B"00100100", B"11100100", B"00011101", B"00011101", B"11000011",
B"00111111", B"00110000", B"11101101", B"00110000", B"00100001",
B"00101001", B"10111110", B"00100111", B"11011001", B"00111001",
B"11110000", B"11100011", B"11011010", B"01000010", B"00110000",
B"01000001", B"00100100", B"11100110", B"11100001", B"00000001",
B"11011010", B"11100001", B"00000010", B"11110101", B"00011111",
B"11010001", B"01001001", B"00001001", B"11110001", B"00101000",
B"00000001", B"00100001", B"00110101", B"00001100", B"11101001",
B"11001101", B"00100110", B"11011001", B"00010101", B"11101100",
B"11011100", B"00010111", B"00101010", B"00101110", B"00100110",
B"11100110", B"11011010", B"00010110", B"00010000", B"11100000",
B"11100000", B"11101010", B"00001000", B"11011101", B"00111010",
B"11011110", B"11100101", B"00101110", B"00010001", B"11010110",
B"11100000", B"11101010", B"00101111", B"00101001", B"11101110",
B"00011111", B"11011010", B"00101110", B"11010101", B"01001010",
B"00111101", B"11001101", B"00111100", B"00101001", B"00000101",
B"00100010", B"11101011", B"01000011", B"10110101", B"11001100",
B"10111010", B"00100011", B"00110010", B"00000011", B"00111001",
B"00001111", B"11001001", B"00100011", B"11010111", B"00010011",
B"10111101", B"00110111", B"00110001", B"01000000", B"01000000",
B"00001110", B"00110000", B"00110000", B"00111000", B"00010110",
B"11010010", B"00001001", B"00101000", B"11100100", B"00101011",
B"11110110", B"11011100", B"10111110", B"00100111", B"00001010",
B"00110100", B"11101101", B"00110000", B"11100010", B"00001001",
B"11011101", B"00111010", B"00101101", B"11100111", B"00001111",
B"11101000", B"00001010", B"11101111", B"00010101", B"01001110",
B"11101100", B"00100000", B"00101001", B"11001011", B"11101000",
B"00101111", B"11101111", B"11011110", B"00101110", B"00011011",
B"11010111", B"11111101", B"00011010", B"11110011", B"01000100",
B"00011010", B"01001001", B"00000001", B"01000101", B"00100100",
B"00110000", B"00010100", B"00001011", B"00000111", B"11001011",
B"11011010", B"00111000", B"00010100", B"11111110", B"11110111",
B"11011110", B"11001100", B"11010111", B"11000000", B"01001111",
B"00100010", B"01000110", B"00110111", B"11011011", B"00101100",
B"11011110", B"00011010", B"00000000", B"11101100", B"00100011",
B"00110110", B"00010001", B"00011110", B"10101111", B"00011010",
B"00111010", B"11010110", B"11110001", B"00001111", B"00111001",
B"11110000", B"11010010", B"00100011", B"11100101", B"10111111",
B"11111110", B"11010000", B"00011101", B"00011100", B"11101101",
B"11011110", B"10111010", B"00011000", B"10111111", B"00100011",
B"11111001", B"00010010", B"10110100", B"00110111", B"01000101",
B"11101010", B"01000010", B"11011000", B"00011110", B"11100101",
B"01001010", B"11101001", B"11100011", B"11000011", B"00110101",
B"00011110", B"11011111", B"11111011", B"11011001", B"00011110",
B"00110000", B"00100111", B"00100000", B"00001111", B"00110000",
B"11001011", B"11101010", B"00011111", B"11001010", B"11100000",
B"11001100", B"11000010", B"00011100", B"00010111", B"11101111",
B"00110000", B"00011011", B"11110100", B"00000011", B"01000001",
B"00100111", B"00000101", B"11001110", B"11100010", B"00110100",
B"00110011", B"11010110", B"11101110", B"11010011", B"11101010",
B"00001110", B"11101000", B"00101100", B"10111110", B"11000001",
B"00101001", B"00101101", B"00100000", B"00100011", B"11110000",
B"00100001", B"10111001", B"01001100", B"11011100", B"11101010",
B"11110101", B"00000101", B"00110110", B"11100000", B"01001110",
B"11101110", B"00110001", B"11110011", B"11001100", B"00101010",
B"10101100", B"00100011", B"11110100", B"11011011", B"00100011",
B"00111111", B"00000010", B"00100011", B"11000000", B"00001101",
B"10111010", B"00010010", B"11010111", B"00000001", B"11101001",
B"11100100", B"11001110", B"00011000", B"00101010", B"11111111",
B"00011111", B"11110100", B"11001110", B"11110011", B"01010001",
B"00011011", B"10101100", B"00011110", B"10110010", B"00001011",
B"11110001", B"10101100", B"11010010", B"00101101", B"00011000",
B"00001110", B"00110001", B"11010100", B"00010110", B"11111010",
B"11010110", B"11101100", B"00111001", B"00111000", B"10111110",
B"11101011", B"00001011", B"11111011", B"00001110", B"11111011",
B"00011110", B"11010000", B"00000100", B"00101000", B"00100010",
B"00100011", B"00001110", B"00011011", B"11010101", B"01000011",
B"11101101", B"00010111", B"00111000", B"00000011", B"11110100",
B"00010000", B"11010100", B"00011101", B"11110001", B"11010111",
B"11001011", B"11100011", B"11111001", B"00010001", B"00000110",
B"00110100", B"00100110", B"00010011", B"00010000", B"00011001",
B"00011100", B"00010010", B"00100011", B"00110110", B"00100100",
B"11011100", B"00001101", B"00011001", B"00111010", B"11100000",
B"00110001", B"11000111", B"00000111", B"00100100", B"00110001",
B"00100010", B"00100111", B"00100000", B"00111000", B"00100110",
B"00001001", B"11011010", B"11001100", B"11010011", B"11011001",
B"00101110", B"00001001", B"00011110", B"00110001", B"11010101",
B"11101001", B"11010011", B"00011110", B"00011010", B"00000011",
B"00100110", B"11001010", B"00001110", B"00100100", B"11100001",
B"11010011", B"11101101", B"00011110", B"11001100", B"11010111",
B"00101101", B"11011100", B"11001010", B"00100100", B"11110001",
B"11011111", B"11100101", B"11100101", B"11101111", B"00000101",
B"01010110", B"00101011", B"11011011", B"00101000", B"11000101",
B"00101110", B"00100001", B"11101000", B"00010110", B"11010100",
B"11001100", B"11101010", B"00010111", B"00010100", B"00011110",
B"00001010", B"00000110", B"00110000", B"11101100", B"00111100",
B"01000010", B"11100000", B"11101000", B"00101000", B"00000110",
B"11111111", B"11011101", B"00010101", B"11010000", B"00100011",
B"00101000", B"01001101", B"00001110", B"11100010", B"00100101",
B"11100011", B"00001001", B"11001101", B"00101000", B"00011110",
B"00000100", B"00000110", B"00100111", B"11010001", B"00000101",
B"11110010", B"11100110", B"00010101", B"00100001", B"11011111",
B"11100100", B"10111100", B"11001110", B"11000110", B"00010000",
B"11010010", B"00100010", B"00111101", B"11110011", B"00000111",
B"00100111", B"00010011", B"10111100", B"00011100", B"00011001",
B"01000011", B"10111101", B"00100001", B"11101010", B"00100100",
B"11101100", B"11110010", B"00111011", B"11000100", B"00000110",
B"10111011", B"00000010", B"00000001", B"00000010", B"11011000",
B"00100000", B"00100100", B"00010100", B"01000011", B"11000100",
B"11111001", B"00011101", B"00011001", B"00101011", B"00101111",
B"00001111", B"00010100", B"00110010", B"00101000", B"11001100",
B"11100000", B"00010000", B"10110110", B"01001101", B"10111110",
B"10111011", B"00101010", B"10111101", B"00011001", B"11010010",
B"00000010", B"00110000", B"00111100", B"00101111", B"11111011",
B"10110111", B"00001010", B"00001111", B"10110110", B"11001111",
B"00011011", B"01000010", B"10110000", B"11101110", B"00011001",
B"11110111", B"00010110", B"11110111", B"01001000", B"00110010",
B"11110000", B"11101011", B"11101011", B"11111000", B"00000010",
B"00110000", B"00100000", B"00011101", B"11011100", B"00001111",
B"11101010", B"11011110", B"00011101", B"00100110", B"00010101",
B"11010000", B"11100111", B"00010110", B"11110011", B"11011011",
B"00101001", B"00110110", B"11100000", B"11100011", B"00001011",
B"01000110", B"11110011", B"11010001", B"11100011", B"11010011",
B"00001010", B"10011100", B"00001100", B"00001101", B"00111001",
B"00110110", B"00010100", B"00011000", B"00010010", B"00011001",
B"00011110", B"00110001", B"00100011", B"00101110", B"00111001",
B"00110011", B"00111110", B"00000000", B"00111000", B"11011110",
B"11001100", B"00100000", B"11001111", B"01010011", B"11100010",
B"11001101", B"00111001", B"11010000", B"11011101", B"00111110",
B"11100101", B"00010100", B"11001100", B"11100000", B"00001001",
B"00000001", B"00100011", B"11111010", B"01010011", B"00000000",
B"11010110", B"11111111", B"00100100", B"00010111", B"00101101",
B"11111110", B"00100000", B"00011011", B"00010000", B"00101110",
B"00100110", B"11010000", B"00010101", B"00010111", B"00111000",
B"11111011", B"00000101", B"11000010", B"00111100", B"01001011",
B"11100001", B"01001000", B"00110110", B"11011010", B"00010101",
B"00101011", B"11111001", B"11010011", B"01000010", B"00100101",
B"11010000", B"11000111", B"11011110", B"10111100", B"00001110",
B"11110000", B"00000110", B"00010011", B"11011011", B"11111110",
B"11100100", B"11110110", B"11000001", B"11111000", B"11010110",
B"11100011", B"11111101", B"00100100", B"00011100", B"00011110",
B"00101011", B"11100110", B"00000100", B"00011011", B"11011100",
B"11100010", B"10110110", B"11110000", B"11101010", B"00011110",
B"00100100", B"11111100", B"10110110", B"00011011", B"11100110",
B"00011100", B"11011110", B"11011010", B"11101101", B"11011000",
B"00101110", B"00011110", B"10111101", B"00110100", B"00000100",
B"10110011", B"11001100", B"11101010", B"11111000", B"01000001",
B"11011100", B"00010011", B"11001101", B"11010100", B"10111100",
B"11010001", B"11100100", B"00101010", B"00010001", B"00011011",
B"00101101", B"01001111", B"11100001", B"10100001", B"11011111",
B"11101111", B"00111010", B"11011111", B"00011011", B"10110011",
B"00111100", B"11110111", B"11010010", B"11100010", B"11001111",
B"00010101", B"00110110", B"00110110", B"00110001", B"11111110",
B"00110010", B"00100110", B"00101111", B"11111100", B"00100100",
B"11110111", B"10111111", B"00100010", B"01000001", B"11110011",
B"00110011", B"11101101", B"11100100", B"00010011", B"11100011",
B"11101001", B"11101010", B"11100011", B"00100100", B"11101011",
B"01011011", B"11110111", B"11011011", B"11000000", B"00010101",
B"00101111", B"11111111", B"00101000", B"11010001", B"11100100",
B"00010110", B"11001000", B"00001101", B"11010001", B"11111100",
B"00110010", B"00110011", B"00100001", B"11011111", B"00100101",
B"11100010", B"11100011", B"00100000", B"00011001", B"11110010",
B"00110111", B"11001100", B"00000101", B"00111011", B"10110010",
B"00010111", B"01000000", B"11100011", B"01010000", B"00111010",
B"11101011", B"00101001", B"11011010", B"00000000", B"10111110",
B"00001101", B"11101010", B"11000111", B"00111001", B"00010001",
B"00010101", B"11011101", B"10111111", B"00100010", B"00100011",
B"00011101", B"00001100", B"00100111", B"00011100", B"01000100",
B"00000011", B"00010011", B"11001100", B"10111011", B"00001010",
B"00100101", B"00101111", B"11110110", B"11001100", B"10101100",
B"00101000", B"00000001", B"11110101", B"11001010", B"00001110",
B"00110100", B"00011010", B"11101011", B"11010100", B"11100100",
B"00100111", B"00000011", B"00010011", B"01000101", B"00000001",
B"11010010", B"01010111", B"00100110", B"11000010", B"00000011",
B"00100000", B"00100001", B"11010011", B"11101011", B"00000010",
B"00010111", B"10111010", B"00001000", B"00000101", B"11100110",
B"11011111", B"00110111", B"11110011", B"00001010", B"00101001",
B"11110101", B"11011010", B"11100011", B"11010010", B"00010001",
B"00001111", B"00100111", B"11101001", B"00011000", B"00011010",
B"11111111", B"11010001", B"00011110", B"11111110", B"00100100",
B"00001001", B"11101000", B"11101110", B"00110010", B"00100000",
B"11100010", B"00001010", B"11000101", B"11011001", B"00011011",
B"11101100", B"10110100", B"11101101", B"10110110", B"10100010",
B"00010101", B"11011010", B"00010110", B"11100101", B"11100001",
B"00001011", B"01000000", B"11111000", B"00100110", B"11011001",
B"11111000", B"11001010", B"00101110", B"00011000", B"11001111",
B"11100100", B"11011010", B"11111001", B"00000111", B"00001111",
B"11110000", B"00010100", B"11011011", B"00010111", B"00010010",
B"00101001", B"10101010", B"00010010", B"11010010", B"00110111",
B"11110001", B"00110111", B"11111111", B"10100101", B"00110110",
B"11001111", B"11100111", B"11100010", B"11001100", B"11011100",
B"00000010", B"00100010", B"11000010", B"11110111", B"10101101",
B"11010111", B"11011101", B"00010110", B"00000000", B"00101001",
B"00001110", B"00100101", B"11111010", B"00100001", B"00001011",
B"10111001", B"11100000", B"11111101", B"11011100", B"11111100",
B"00001001", B"00100100", B"00000000", B"00110100", B"11011110",
B"00111010", B"00111001", B"11011101", B"00010100", B"00111011",
B"11101010", B"00101010", B"11100100", B"00011100", B"00011010",
B"11110110", B"01000101", B"00100101", B"11110110", B"00010110",
B"00001100", B"11101010", B"11111100", B"11111111", B"00101110",
B"11010001", B"11100000", B"11001110", B"10111111", B"00010011",
B"11111010", B"00101010", B"11100001", B"11100101", B"11111001",
B"00010101", B"11010110", B"11100011", B"00000101", B"00100111",
B"11111001", B"11110010", B"11010110", B"11010010", B"10100000",
B"00101111", B"11001000", B"00110011", B"11111000", B"11101010",
B"00011011", B"00001100", B"11100010", B"00100010", B"11111110",
B"11111110", B"11110010", B"00100011", B"00110001", B"00011111",
B"11110000", B"00111111", B"11010101", B"00100110", B"11010011",
B"01001000", B"00010110", B"11100011", B"11101000", B"00010111",
B"11101101", B"00100100", B"00001001", B"11010111", B"11010110",
B"11100110", B"11111000", B"00001110", B"11101001", B"00001101",
B"11010110", B"00001000", B"01101110", B"00011111", B"11100111",
B"00100100", B"01000111", B"11100000", B"00001110", B"00101111",
B"11101110", B"00010010", B"00010110", B"00000101", B"11001111",
B"00110011", B"11101011", B"00101000", B"10111011", B"11000110",
B"11011000", B"11100000", B"00011110", B"00011111", B"11101101",
B"00010011", B"11110011", B"00001011", B"00001110", B"11011011",
B"11101100", B"00010001", B"00100011", B"11001110", B"11000110",
B"11110000", B"11001100", B"00110001", B"11100001", B"00010101",
B"11100010", B"10110001", B"00110001", B"11101001", B"11010001",
B"01100011", B"10111010", B"00110010", B"11001101", B"11100101",
B"00001001", B"11111011", B"00011001", B"00100111", B"00111110",
B"00100110", B"00010000", B"00001101", B"01000111", B"11010101",
B"11101100", B"10110110", B"00010011", B"00101111", B"11010100",
B"00000110", B"10101000", B"00101000", B"00110111", B"11000011",
B"00001010", B"00001100", B"10100001", B"11011111", B"00001100",
B"11101101", B"00011111", B"11101111", B"11001101", B"11100111",
B"11001000", B"10110111", B"11101000", B"00100000", B"11110000",
B"11111101", B"00011011", B"11100100", B"11100101", B"11101010",
B"11100110", B"11010101", B"00011101", B"00100100", B"00001000",
B"11110011", B"00010001", B"11011101", B"00100101", B"00010111",
B"00010100", B"11101101", B"00010001", B"00100111", B"00011011",
B"00100010", B"11111110", B"11110110", B"00010101", B"00001110",
B"00101101", B"11110111", B"00000010", B"11110111", B"00011010",
B"10111001", B"01101000", B"11001111", B"00111011", B"11110111",
B"11011101", B"00010100", B"01010000", B"11010101", B"00100110",
B"11101011", B"11010101", B"11011101", B"01001011", B"00011101",
B"11010000", B"11001011", B"01011101", B"00011010", B"00101110",
B"11001000", B"01001001", B"11010000", B"00011011", B"00010010",
B"11110000", B"11100111", B"00010111", B"11100111", B"11011010",
B"11100011", B"10111011", B"00011101", B"00101000", B"11110101",
B"00101100", B"00100000", B"11110111", B"11011011", B"00001010",
B"11000100", B"01000101", B"11100011", B"11110011", B"11011000",
B"11011001", B"00100010", B"00001010", B"11010101", B"11100010",
B"00000101", B"11010110", B"01000001", B"00100100", B"00100011",
B"00110011", B"10111111", B"00011110", B"00101000", B"00100001",
B"11001101", B"00110011", B"11100110", B"00001011", B"00010111",
B"01000110", B"11101010", B"10111000", B"00101110", B"00101011",
B"11101010", B"11010110", B"11101111", B"00110001", B"11010101",
B"00100101", B"11110100", B"11111101", B"01000010", B"00000100",
B"00001100", B"00000000", B"00110010", B"00100011", B"01000000",
B"01001001", B"00111001", B"00001111", B"00101111", B"00110010",
B"11011110", B"00100111", B"00110100", B"11111101", B"11001101",
B"00101100", B"11110010", B"00011000", B"11110001", B"11110000",
B"11101111", B"00101011", B"01000100", B"11010011", B"11001000",
B"11101011", B"11011000", B"01001011", B"11111100", B"11010101",
B"00001101", B"11010110", B"11010110", B"00011110", B"00100001",
B"00001011", B"11010010", B"00000011", B"10111100", B"01000010",
B"00011010", B"11111100", B"11000100", B"11000101", B"00111110",
B"00101011", B"11100000", B"10110111", B"00101001", B"00010111",
B"00010111", B"00111010", B"11110001", B"00110101", B"00100001",
B"00000011", B"00011010", B"11100001", B"00101110", B"11011010",
B"11101001", B"11001101", B"00100001", B"00101000", B"10101110",
B"00101001", B"00010000", B"11100101", B"11100010", B"11010111",
B"00010010", B"11001011", B"00101000", B"11001010", B"00011011",
B"00101010", B"11100100", B"00110110", B"11111110", B"11110010",
B"00000000", B"10101111", B"11010101", B"11101010", B"11001110",
B"00101100", B"11000010", B"01000011", B"11101111", B"11011001",
B"10111111", B"11101010", B"00101100", B"11001101", B"11110011",
B"10111011", B"00011110", B"11001010", B"11010111", B"11001000",
B"11011000", B"00100000", B"10110001", B"00000010", B"00001001",
B"00100001", B"11010100", B"11110100", B"00001000", B"00001010",
B"11100100", B"11010011", B"00001010", B"11111101", B"11101110",
B"11101110", B"11010000", B"00000111", B"11110110", B"00111110",
B"00001101", B"11110001", B"00011100", B"00011110", B"00000010",
B"00010110", B"00110001", B"11011101", B"11010011", B"00010000",
B"00101110", B"00111110", B"00010001", B"11111000", B"11101110",
B"11101010", B"11010101", B"00011111", B"11100101", B"00100110",
B"11000100", B"00000110", B"00011000", B"00011100", B"11110010",
B"11001010", B"11101101", B"00111101", B"11000011", B"10110111",
B"11011110", B"11100010", B"11010011", B"00111110", B"11110011",
B"00001101", B"11101100", B"00011100", B"00011001", B"11000101",
B"11000100", B"11100001", B"11001111", B"11110000", B"00111001",
B"00101010", B"00010101", B"00101111", B"11101001", B"11111111",
B"11101100", B"00010100", B"00010111", B"11100000", B"00010110",
B"11010011", B"11101101", B"00110011", B"11000101", B"11010111",
B"11101010", B"00101101", B"00101011", B"11011001", B"11111111",
B"11000100", B"11111110", B"11010010", B"11110001", B"00001011",
B"11101010", B"00000010", B"00000101", B"11110110", B"00001010",
B"00101010", B"00100011", B"11100010", B"00010011", B"11010110",
B"00100110", B"00100101", B"11011000", B"11100110", B"00010011",
B"00101110", B"11100101", B"11111111", B"00101111", B"00010010",
B"11100010", B"11100110", B"00000101", B"00111101", B"11110000",
B"11110110", B"00010011", B"00001011", B"11001010", B"11011100",
B"00101000", B"00001100", B"11010010", B"11111111", B"00100001",
B"00101010", B"00001111", B"11011011", B"00101101", B"11011100",
B"00111000", B"11001010", B"01001100", B"00000101", B"11100000",
B"00011010", B"11110000", B"11001101", B"11110110", B"11100100",
B"11010000", B"00001000", B"10101011", B"00011100", B"00101011",
B"10111100", B"00100110", B"11110111", B"11100101", B"00000100",
B"00110100", B"01000001", B"10111100", B"11110101", B"11001111",
B"00011110", B"00101010", B"00001110", B"00001010", B"00110001",
B"00011001", B"00011111", B"01100010", B"00010010", B"11001111",
B"11001100", B"00110001", B"00000111", B"00010100", B"00011100",
B"11110000", B"11010010", B"00001011", B"00101111", B"00011100",
B"11100011", B"00011011", B"11110000", B"00011010", B"11010001",
B"00101001", B"11011010", B"00011100", B"00101100", B"11000000",
B"00000110", B"00011111", B"11000110", B"00000000", B"00011011",
B"00000010", B"11100100", B"00100100", B"11001111", B"00001000",
B"11010111", B"00001011", B"00110101", B"11000111", B"00011100",
B"00011000", B"10111000", B"11011010", B"00001001", B"11010110",
B"00111000", B"00110101", B"11101111", B"11111111", B"11110101",
B"11011110", B"11101001", B"00011100", B"00010100", B"11010101",
B"11111111", B"00111010", B"00000111", B"11110111", B"11011010",
B"00010100", B"11011000", B"01001010", B"00101001", B"11100010",
B"00000110", B"00011110", B"11010110", B"00000110", B"01000101",
B"11010101", B"11111001", B"11111010", B"00010111", B"11011111",
B"11100000", B"00001010", B"11111001", B"11000001", B"11010001",
B"11111101", B"00100101", B"11000000", B"11110001", B"00101101",
B"11111000", B"11100010", B"11011000", B"11001010", B"00101011",
B"11101000", B"01011100", B"11011100", B"00010110", B"00111101",
B"11110100", B"00101010", B"11001000", B"11101110", B"11011100",
B"11101010", B"00000000", B"11010110", B"11011000", B"00010011",
B"00110001", B"00001011", B"00110100", B"11111111", B"11011010",
B"00101101", B"11001110", B"00000100", B"11001110", B"11101110",
B"00111101", B"01001000", B"00110111", B"00000100", B"00101111",
B"00011101", B"11111001", B"00100011", B"00101100", B"00011101",
B"00010110", B"00011101", B"00000100", B"00101001", B"00011101",
B"11110010", B"00100000", B"11101110", B"00011111", B"11110110",
B"00000011", B"11000000", B"11101011", B"11100110", B"00101010",
B"00110100", B"00001001", B"00100110", B"00010110", B"00010001",
B"00001011", B"00010111", B"00111101", B"00010101", B"11111110",
B"11010110", B"01000010", B"11110011", B"11101101", B"11001110",
B"11001011", B"11110101", B"11111000", B"11011100", B"01011001",
B"11010010", B"11100110", B"11101000", B"11100110", B"00011111",
B"01001001", B"00101011", B"11011101", B"00011010", B"11010110",
B"00011001", B"11001001", B"11001001", B"00000011", B"00110101",
B"00101010", B"00001111", B"00010010", B"11011010", B"11101010",
B"11010001", B"11010110", B"11100000", B"11011011", B"00000001",
B"00011100", B"00001100", B"00101101", B"11110100", B"11111011",
B"00001011", B"00110100", B"00010100", B"00010001", B"11100110",
B"11101100", B"00111000", B"00010011", B"00010011", B"00011100",
B"00010110", B"00101001", B"00100110", B"00000011", B"10111100",
B"00001000", B"00100100", B"11100001", B"11110111", B"10101101",
B"11100011", B"11101011", B"11011010", B"11100101", B"00100001",
B"11101011", B"00110010", B"10110010", B"11001000", B"00100101",
B"00100011", B"00001101", B"00111111", B"00000000", B"00010110",
B"11011010", B"00100001", B"11100001", B"11000100", B"00100101",
B"01001010", B"11010011", B"00001001", B"11101011", B"11100111",
B"11101001", B"00001100", B"11010111", B"00101011", B"10101001",
B"11100011", B"11100000", B"00100001", B"00001101", B"00100111",
B"11010110", B"01000111", B"11011111", B"11011010", B"11101011",
B"00011101", B"00110000", B"00010011", B"11101010", B"00010010",
B"11110110", B"11011110", B"11011011", B"00100001", B"00011110",
B"11011101", B"00011110", B"00100101", B"00010001", B"11010100",
B"00110011", B"11110001", B"00101011", B"00110010", B"11011001",
B"00010001", B"11011001", B"11010110", B"11110000", B"11100111",
B"00100001", B"00100101", B"00010101", B"00110111", B"11010101",
B"00011100", B"10110011", B"00101010", B"11011110", B"11001000",
B"11110101", B"00101101", B"01001011", B"00001100", B"00111110",
B"00001010", B"11100000", B"11011110", B"11000111", B"11111010",
B"11011111", B"01000111", B"00001010", B"00001001", B"00001001",
B"10110010", B"10111000", B"11111110", B"11101110", B"00010010",
B"01000000", B"00100100", B"00100111", B"11001111", B"00100110",
B"00000010", B"00010100", B"11001110", B"00100110", B"00000011",
B"00101111", B"00010000", B"11011110", B"11111001", B"00100101",
B"00110111", B"11011010", B"00011010", B"10110010", B"11011010",
B"00110110", B"00010101", B"00100001", B"11111010", B"00001100",
B"11110101", B"11011000", B"00100010", B"11100000", B"00010111",
B"11100101", B"11011010", B"11010001", B"00000100", B"11111001",
B"00110010", B"00101000", B"11010111", B"11000010", B"00000001",
B"00000111", B"11100100", B"11000111", B"11011000", B"11011111",
B"11100100", B"11101111", B"00010000", B"00101101", B"00010011",
B"00001001", B"00000110", B"11010010", B"11011101", B"00011000",
B"11110001", B"10110111", B"11010001", B"11101100", B"11011011",
B"00100001", B"00101110", B"11111110", B"11011101", B"11011110",
B"11100000", B"11000100", B"11001010", B"11101100", B"11111110",
B"00101101", B"11011001", B"11101000", B"01000110", B"00000111",
B"11111111", B"00011010", B"11010000", B"11001101", B"00100100",
B"00111000", B"11111010", B"11011010", B"11011000", B"11011000",
B"11101010", B"11011100", B"00011010", B"10111010", B"11001100",
B"00001100", B"00001111", B"00100001", B"11010011", B"11000001",
B"11000111", B"00001101", B"00001011", B"11110010", B"00010011",
B"00100011", B"11100011", B"00000001", B"00111100", B"11111110",
B"00000010", B"00100110", B"10110010", B"00001110", B"00101001",
B"00011010", B"00010001", B"00110101", B"11111000", B"11011001",
B"00100111", B"00011110", B"00010110", B"01000001", B"00101001",
B"00100011", B"00011011", B"00100010", B"00101111", B"11000000",
B"00100010", B"11100000", B"11101001", B"11001001", B"00001101",
B"00001011", B"00010111", B"00010110", B"00100011", B"00100010",
B"00100110", B"00011111", B"00111010", B"00100111", B"00011111",
B"00100110", B"11110010", B"11110000", B"01001111", B"00011100",
B"11110001", B"11011110", B"00011010", B"11001100", B"11011101",
B"11110000", B"00110111", B"10111111", B"00010011", B"11011110",
B"11111010", B"00110000", B"00000110", B"11100000", B"11010000",
B"00000000", B"11011110", B"10110011", B"00001011", B"11100011",
B"11010101", B"11011011", B"11111111", B"00100000", B"11100001",
B"00000111", B"01001100", B"11111111", B"10110011", B"00000100",
B"11101000", B"11110111", B"11010100", B"11110101", B"00110011",
B"11100011", B"00000110", B"00100100", B"11100001", B"11010111",
B"11001011", B"11111011", B"00100111", B"01000011", B"11101000",
B"11100010", B"00011010", B"00111000", B"00000010", B"11110011",
B"11000100", B"00001000", B"10111101", B"00110010", B"11111000",
B"11100100", B"00010101", B"11111111", B"00101001", B"00001110",
B"00010011", B"00000010", B"00011100", B"00100011", B"00011011",
B"00001010", B"11011001", B"00101110", B"00100100", B"11101000",
B"11000000", B"00010101", B"11001110", B"00110101", B"00000100",
B"11100001", B"11111000", B"00001101", B"11110100", B"00101100",
B"11011001", B"00101110", B"00000001", B"11110110", B"11011100",
B"11101011", B"11011110", B"00100111", B"11101100", B"00101001",
B"11001101", B"11011000", B"11010001", B"11101100", B"00100101",
B"10110011", B"10100100", B"00011011", B"11111111", B"00010000",
B"00110000", B"10101101", B"00000010", B"11100101", B"11000111",
B"11110110", B"00000100", B"00001010", B"00100100", B"11110110",
B"00011000", B"11110011", B"00100100", B"11001111", B"11011100",
B"01000000", B"11100011", B"11110111", B"11010100", B"00001101",
B"00111111", B"10100100", B"11010111", B"10111011", B"01000101",
B"00010100", B"00101001", B"00010100", B"11001000", B"11011011",
B"11101111", B"10111011", B"00100100", B"10111010", B"00110011",
B"11001011", B"00001001", B"00010100", B"00001010", B"11010010",
B"11011011", B"00110001", B"00000101", B"11010011", B"10101111",
B"11101000", B"11101111", B"11101001", B"00011001", B"11010111",
B"01000101", B"10111111", B"11010101", B"00100010", B"00100111",
B"00001000", B"11001000", B"00011110", B"00100100", B"11101100",
B"11101010", B"00011010", B"11001110", B"00011000", B"11011111",
B"00100011", B"11100010", B"11010001", B"00001110", B"11111111",
B"00010100", B"01000001", B"00100101", B"11110110", B"00100100",
B"11101100", B"00001100", B"11011000", B"00011000", B"11111000",
B"00000001", B"11100100", B"00101111", B"11101001", B"00001010",
B"11100111", B"11110110", B"11010101", B"11110101", B"11011111",
B"11100110", B"00010110", B"11011100", B"00101000", B"00000010",
B"01000011", B"01001001", B"11100110", B"00011001", B"11110100",
B"00010111", B"11111010", B"11000010", B"00001010", B"11101001",
B"11001011", B"11101000", B"11110011", B"00010010", B"11011000",
B"11000010", B"11101011", B"00100011", B"01001010", B"00101010",
B"00011011", B"11011100", B"11100010", B"11010100", B"11101101",
B"00011101", B"11001101", B"00100111", B"00000110", B"11011110",
B"01000001", B"00011110", B"00010110", B"11010100", B"00011100",
B"00011101", B"11001101", B"11011001", B"01001000", B"00111100",
B"00010011", B"11111010", B"11100101", B"00110101", B"11010111",
B"00100100", B"11011110", B"00001110", B"11101000", B"11001110",
B"00000010", B"11011101", B"11110011", B"11011100", B"11101011",
B"11110000", B"11101110", B"00110111", B"00001000", B"00100101",
B"11101101", B"11110101", B"00001010", B"11100111", B"11111011",
B"11010010", B"00001000", B"00100001", B"00011000", B"00001011",
B"00101101", B"00101000", B"11111100", B"00010010", B"11111100",
B"00010110", B"11001011", B"00001101", B"11111100", B"00100001",
B"00101111", B"11000010", B"11001100", B"00100001", B"00100111",
B"11000111", B"11101100", B"11111011", B"00010111", B"01000101",
B"00000000", B"00110111", B"11110100", B"00100000", B"11010001",
B"00000000", B"00110011", B"00000010", B"00110000", B"11011001",
B"11010001", B"00100010", B"00100101", B"01011010", B"11101011",
B"00100000", B"00000101", B"11001111", B"00010110", B"00110000",
B"00000111", B"00010101", B"00110110", B"00110101", B"11010011",
B"11110111", B"00001000", B"00011111", B"00000001", B"11111010",
B"11001001", B"11011001", B"11101010", B"11100101", B"00000010",
B"00001100", B"00110010", B"01000010", B"00000101", B"11101110",
B"11110010", B"00010101", B"00100010", B"11110011", B"11000000",
B"11010000", B"00101001", B"11010011", B"00110001", B"11001001",
B"11110100", B"00011111", B"00101010", B"11001110", B"00101000",
B"11100000", B"11110000", B"11100101", B"00001101", B"00110110",
B"11011001", B"11001000", B"01000000", B"00000101", B"00010001",
B"11101000", B"00111010", B"11111011", B"00010110", B"10101010",
B"11100101", B"00100000", B"11100100", B"00011011", B"11001111",
B"11100101", B"00110111", B"00010110", B"11111000", B"11110110",
B"00001001", B"00111011", B"00101000", B"11101010", B"11100110",
B"01001001", B"00010000", B"11011111", B"00101111", B"00010110",
B"11100011", B"11010010", B"00110010", B"00011110", B"00100001",
B"00110101", B"11100011", B"00100000", B"11000010", B"00001101",
B"11101010", B"10101110", B"11010010", B"11010000", B"00001111",
B"00011010", B"11001011", B"00111100", B"11011001", B"00100100",
B"11001110", B"00011110", B"11011101", B"11010000", B"00000011",
B"00011110", B"00100111", B"11101000", B"10110110", B"11111010",
B"11001100", B"00011100", B"00011010", B"00010111", B"00110111",
B"11111100", B"11101000", B"00011000", B"00001001", B"11011011",
B"00001101", B"00101011", B"00100100", B"11101000", B"00010000",
B"00100100", B"00110100", B"11011010", B"00111101", B"11101000",
B"00101011", B"11010100", B"00101000", B"00110001", B"11110011",
B"00010101", B"11101111", B"11001111", B"11010111", B"00001010",
B"11100010", B"00100101", B"11101000", B"11100110", B"11010100",
B"00101000", B"00000010", B"11101110", B"00010111", B"11100001",
B"11010100", B"11000110", B"00001001", B"00110111", B"11110000",
B"00111001", B"10111111", B"11011000", B"11100010", B"11101110",
B"00101010", B"11001010", B"00100011", B"11010000", B"11111000",
B"11011101", B"11011100", B"00010110", B"01001010", B"00001010",
B"00110000", B"00001000", B"00111100", B"00001001", B"11110000",
B"00100101", B"11011001", B"11111011", B"11001110", B"01011101",
B"11110000", B"00011111", B"00001000", B"11101110", B"00110001",
B"00100011", B"00000110", B"11011111", B"11101000", B"11100001",
B"10110101", B"01000000", B"00011110", B"00101101", B"00110011",
B"11110001", B"00111100", B"00101001", B"00001010", B"11101111",
B"11111111", B"11011011", B"00011000", B"11110111", B"00011100",
B"00011010", B"11111001", B"11100111", B"00011000", B"11110000",
B"00001100", B"00001101", B"11011111", B"11010000", B"10111111",
B"11010111", B"00100100", B"11001111", B"00101111", B"01000110",
B"11001000", B"11110111", B"11010010", B"11000111", B"11001111",
B"00001101", B"00101101", B"00110100", B"11110100", B"11110110",
B"11011100", B"00110011", B"00000111", B"11111101", B"11101100",
B"01010010", B"00001100", B"00101110", B"10100010", B"00011010",
B"11011101", B"00101001", B"11001001", B"00001000", B"00000000",
B"00011001", B"01011110", B"00101011", B"00011000", B"01100011",
B"00010000", B"00000001", B"11101001", B"00111001", B"10111101",
B"11110101", B"11001110", B"11101100", B"00011000", B"11110011",
B"11011110", B"00101110", B"11000100", B"00010111", B"11100101",
B"11010101", B"00011111", B"11100111", B"11011110", B"11011001",
B"00000101", B"11111110", B"00000010", B"00111011", B"11000010",
B"00111101", B"00011010", B"00101110", B"11100110", B"00000000",
B"11100100", B"11110110", B"11001001", B"00011000", B"11010101",
B"11110101", B"00010001", B"11100100", B"11111110", B"11001010",
B"11001101", B"11001110", B"00000000", B"11001001", B"10110101",
B"10111010", B"00001001", B"00000000", B"11111001", B"00011111",
B"11010110", B"11011111", B"00111101", B"11100000", B"11101001",
B"11001100", B"11001010", B"00101111", B"11011000", B"00000100",
B"00011101", B"00100010", B"00110110", B"00100111", B"00011110",
B"11010111", B"11100100", B"11111100", B"00111111", B"00100100",
B"00010110", B"11111101", B"00010100", B"00001000", B"00011100",
B"00010100", B"10111011", B"00101011", B"10111001", B"00101010",
B"11000001", B"11110110", B"11010110", B"00001111", B"00011100",
B"11111011", B"00111010", B"11011011", B"11010011", B"11001000",
B"00001110", B"00010001", B"11110111", B"00111111", B"11100001",
B"10110101", B"11111011", B"11100101", B"11011111", B"11100000",
B"00000001", B"01000100", B"00001010", B"00011000", B"01000000",
B"00110000", B"11101110", B"11100010", B"11000100", B"11011000",
B"00100010", B"11010111", B"01000000", B"00101010", B"00101100",
B"00010000", B"11010101", B"00010011", B"11011101", B"00011110",
B"11100011", B"00110000", B"11100110", B"00100010", B"00010101",
B"11011001", B"00101000", B"11110101", B"11010100", B"00111000",
B"11110010", B"01001100", B"00111000", B"11100100", B"01001100",
B"00100101", B"11000000", B"11101111", B"11011010", B"00101001",
B"11111101", B"00001100", B"00001001", B"11110101", B"11101010",
B"11101110", B"11101101", B"00010101", B"00100110", B"00100000",
B"00100010", B"11001011", B"11011111", B"00000001", B"11100000",
B"01010001", B"11010100", B"00101001", B"11100101", B"11100001",
B"00010010", B"11111001", B"00110111", B"10111101", B"00100111",
B"11110011", B"00000111", B"00100101", B"00101111", B"11100011",
B"00101110", B"11111100", B"11010001", B"11110000", B"00111101",
B"00011110", B"11110110", B"11110110", B"00001100", B"11111110",
B"00011101", B"00000100", B"11000101", B"00111000", B"11111001",
B"11010011", B"00001010", B"11010100", B"11011010", B"11110001",
B"00010100", B"01011000", B"00000000", B"10111010", B"10110110",
B"00101011", B"11100100", B"11111011", B"11010011", B"11110110",
B"00011110", B"11100010", B"11111001", B"11110000", B"00010000",
B"11111000", B"00011001", B"00001111", B"00001010", B"11111101",
B"11101010", B"00000001", B"00111100", B"11110010", B"00110000",
B"00110010", B"11101110", B"00001001", B"00111001", B"11111000",
B"10111101", B"00000000", B"11111111", B"00000001", B"11100001",
B"11010001", B"10101111", B"00000111", B"11101011", B"00010101",
B"00110001", B"00101001", B"00000111", B"11011011", B"00011101",
B"11100001", B"00000110", B"11010011", B"10110011", B"00101101",
B"00100011", B"00100111", B"11100000", B"11110111", B"00101000",
B"11101110", B"11011111", B"11100111", B"11110001", B"00000010",
B"11101011", B"00010010", B"11101011", B"11010111", B"11100110",
B"11001100", B"11011001", B"00001101", B"10000001", B"11001111",
B"11011001", B"00010101", B"00111110", B"00111000", B"00011011",
B"00111010", B"11010111", B"11101110", B"00011100", B"11010111",
B"11000100", B"11110001", B"11010110", B"00001111", B"11001010",
B"11101111", B"00000001", B"11001111", B"11100111", B"11101100",
B"11011011", B"00011100", B"00010011", B"00111110", B"00100011",
B"00001000", B"00010101", B"00101000", B"00000100", B"00000110",
B"00010001", B"11100011", B"00110010", B"00001010", B"11001001",
B"00010011", B"00011110", B"00111000", B"11001101", B"11011110",
B"11010111", B"11100100", B"00101011", B"11011010", B"00101111",
B"11111011", B"10100100", B"11110111", B"10101101", B"00000010",
B"00011001", B"11010101", B"00101111", B"00010001", B"00100001",
B"11000101", B"11001100", B"00010111", B"00011110", B"11110000",
B"11110111", B"00010010", B"11010110", B"00010100", B"10110000",
B"11110000", B"11010110", B"00101000", B"00010001", B"11101100",
B"00101010", B"00011101", B"11011000", B"11101001", B"10111101",
B"11001000", B"11111000", B"01000011", B"00101000", B"00100011",
B"00101010", B"11100010", B"01100010", B"00011110", B"00011111",
B"00100000", B"11100001", B"11100111", B"11000101", B"10111011",
B"00011110", B"10111001", B"00110011", B"00101101", B"10111100",
B"10111101", B"01001100", B"00000101", B"11100101", B"00000111",
B"11011111", B"11110101", B"11101100", B"00100000", B"00000010",
B"00100001", B"00101000", B"11101111", B"00001100", B"00100010",
B"00110110", B"01001110", B"11111110", B"00111010", B"11011000",
B"00000110", B"11000110", B"11100110", B"11000011", B"00110001",
B"00011101", B"00011011", B"00110001", B"11101110", B"10101010",
B"00001111", B"00001110", B"00000101", B"11101111", B"11100011",
B"10101001", B"01001101", B"01000000", B"00111101", B"00000101",
B"00101000", B"11101100", B"11100011", B"11010011", B"00001101",
B"00111001", B"00100101", B"00111010", B"00100010", B"00001001",
B"00010110", B"00100101", B"00010011", B"00100010", B"00010001",
B"01001011", B"00010000", B"01001001", B"00001101", B"11100100",
B"11100010", B"00010010", B"11010111", B"11000101", B"11010001",
B"11001110", B"00001001", B"01000111", B"00101000", B"01000101",
B"01010010", B"00010111", B"11100000", B"11111110", B"00011010",
B"00010101", B"11100011", B"11110010", B"11011101", B"00101010",
B"00001101", B"11010110", B"11100010", B"11110000", B"10111111",
B"11001110", B"11011100", B"01000110", B"01010101", B"00101000",
B"10101101", B"00100100", B"11010110", B"00110101", B"00110101",
B"10110011", B"00000110", B"11101101", B"11010101", B"11101111",
B"00100011", B"00100111", B"00010001", B"11111010", B"11110000",
B"11110011", B"11001111", B"00000111", B"11000001", B"11001110",
B"11101000", B"00001011", B"01000001", B"11001101", B"11010010",
B"11110011", B"11001010", B"11101101", B"11001110", B"11111111",
B"11010100", B"00010001", B"00000111", B"11101010", B"00111010",
B"11010010", B"00100000", B"00000000", B"11110101", B"11101010",
B"11011111", B"00010100", B"11100111", B"01011001", B"11110100",
B"00010010", B"11010100", B"11001101", B"00011110", B"00000101",
B"00100000", B"11011011", B"11010101", B"00111011", B"00001001",
B"00011011", B"11011101", B"00111100", B"11001100", B"00010010",
B"00001011", B"11110100", B"00000011", B"11011100", B"00000000",
B"11001001", B"00011001", B"11110101", B"10111100", B"00011110",
B"00110001", B"11100100", B"11101101", B"11010010", B"10110110",
B"11101111", B"11111001", B"11100100", B"11011101", B"00111100",
B"00101010", B"11110111", B"00110101", B"11111000", B"11100001",
B"00100011", B"11011100", B"11100110", B"11011010", B"00010001",
B"00100011", B"00001111", B"00110100", B"11000101", B"00101111",
B"11011110", B"11111001", B"11011110", B"01000011", B"00101111",
B"00110010", B"01000101", B"00101110", B"11011100", B"00101010",
B"11100011", B"00011000", B"11010011", B"00001101", B"11010101",
B"11100100", B"00001001", B"00111011", B"00100001", B"00000100",
B"00111001", B"11001100", B"11011110", B"11100110", B"11001100",
B"00110101", B"00100101", B"00101001", B"00110110", B"00011001",
B"00111100", B"11011010", B"00011101", B"00001000", B"11001000",
B"00000100", B"00111000", B"11011001", B"11011110", B"00100000",
B"10111100", B"00100011", B"11010001", B"00000101", B"00000001",
B"00110100", B"11101100", B"11000000", B"00010101", B"00011010",
B"00000101", B"11010001", B"00101111", B"00001101", B"00000001",
B"00000010", B"00010110", B"01011011", B"11110010", B"11110101",
B"00011000", B"11101001", B"00110011", B"00010010", B"11010110",
B"00010111", B"11111000", B"00111100", B"11111101", B"11111001",
B"11011010", B"00101110", B"11100110", B"11010101", B"00001001",
B"00010000", B"11101111", B"00011000", B"11010110", B"00100000",
B"00000001", B"11001100", B"01001001", B"00101001", B"11101110",
B"11010010", B"11101011", B"00100100", B"11110001", B"00110010",
B"11100000", B"11010101", B"00110111", B"11101100", B"00001100",
B"00100101", B"11011010", B"11010001", B"10111011", B"00000100",
B"11101010", B"11010100", B"00110110", B"01010000", B"10111001",
B"11100001", B"11000101", B"11100101", B"11110111", B"11111000",
B"00011000", B"00001101", B"00101000", B"01001001", B"00011111",
B"11010110", B"11001110", B"11111010", B"00100011", B"00110001",
B"00001100", B"11100011", B"00001111", B"11011101", B"00011100",
B"11100100", B"00001001", B"00100101", B"11000001", B"10101110",
B"10111101", B"00010000", B"11001101", B"00100001", B"11110101",
B"11100111", B"00101111", B"00100010", B"10111101", B"11011100",
B"00011001", B"00011111", B"11011010", B"11110111", B"11110110",
B"10101101", B"00100011", B"11110100", B"00111101", B"11101010",
B"00110101", B"11001010", B"00000100", B"10110010", B"11000111",
B"00011100", B"00110100", B"00011100", B"00001011", B"11010111",
B"11100100", B"00101001", B"00101110", B"11111010", B"11000001",
B"00011110", B"00011000", B"10110011", B"00000111", B"00011110",
B"11011110", B"00001011", B"00011101", B"11101100", B"00001011",
B"00000101", B"11100100", B"11010001", B"00100110", B"00100101",
B"11100110", B"00111101", B"00001100", B"11010111", B"11000000",
B"00011000", B"00010110", B"11110011", B"00000111", B"11110000",
B"11101010", B"11000100", B"11111011", B"11010100", B"11010000",
B"11110011", B"11110110", B"11100101", B"00000000", B"11100010",
B"00001111", B"11101000", B"11001100", B"00101011", B"00011001",
B"00100000", B"11001011", B"11110001", B"11100101", B"11111011",
B"00101100", B"11001001", B"00101101", B"00001111", B"00011101",
B"11011101", B"11110110", B"00011011", B"10101101", B"11100111",
B"00110000", B"00100111", B"11111010", B"11110110", B"11001011",
B"10101010", B"00010010", B"11101000", B"00001010", B"00001010",
B"00101011", B"11010101", B"11010101", B"01001111", B"00100000",
B"11010100", B"11100010", B"00100111", B"01000101", B"11110100",
B"11011010", B"00100010", B"11110111", B"11100001", B"11101110",
B"11111101", B"11100001", B"01010101", B"01010100", B"11101011",
B"00010101", B"00011101", B"11110011", B"11000001", B"11010001",
B"11000110", B"00101100", B"00011111", B"11101110", B"01001000",
B"10111010", B"11111100", B"11000101", B"00001111", B"00100001",
B"01001101", B"00001000", B"00001000", B"11100111", B"11101111",
B"11111100", B"00110010", B"00000110", B"00101000", B"00011011",
B"00000110", B"11001110", B"00100110", B"00111000", B"00110010",
B"11010000", B"00101100", B"11101111", B"00110110", B"11101110",
B"00010000", B"00000111", B"11100110", B"11100010", B"01000010",
B"00100111", B"11001011", B"11101101", B"00010000", B"00100110",
B"00001100", B"00000000", B"00100110", B"00101110", B"00000111",
B"00101010", B"11000101", B"00101100", B"11000111", B"00001111",
B"11111111", B"11001110", B"01001010", B"00001101", B"10100001",
B"11101010", B"11011011", B"00101100", B"00010110", B"11010100",
B"00010110", B"11010110", B"00011111", B"11110010", B"11100111",
B"00100011", B"00001100", B"10110010", B"00100011", B"00011111",
B"11011111", B"00100111", B"01000001", B"11101010", B"11010111",
B"10110110", B"11111101", B"11100111", B"11000111", B"00100001",
B"00011111", B"00101011", B"11111010", B"00100001", B"11000101",
B"11111100", B"11010011", B"11110010", B"00101011", B"00100010",
B"00011000", B"00111101", B"11100010", B"00001011", B"11000100",
B"00000010", B"00110001", B"00101100", B"11011111", B"00011101",
B"10111100", B"00001111", B"00101101", B"00100101", B"11100111",
B"00101101", B"00011111", B"00000010", B"11011011", B"11111111",
B"00100011", B"00110010", B"00011001", B"11001111", B"00011101",
B"11101011", B"00011101", B"11011100", B"11110011", B"11001110",
B"10110111", B"00100001", B"10111001", B"11110100", B"11101101",
B"11010101", B"00101100", B"11010001", B"00101011", B"00011100",
B"11011010", B"01001101", B"00000101", B"11011101", B"11010001",
B"00100000", B"11110101", B"11010100", B"11001111", B"00111100",
B"00010011", B"11110001", B"11111110", B"00100100", B"00100101",
B"00101111", B"11000101", B"00100001", B"11101111", B"00001110",
B"11001000", B"11101100", B"10111000", B"11100001", B"00101101",
B"00110000", B"00010010", B"00011101", B"11010000", B"00100000",
B"00101001", B"00000010", B"11011101", B"11100100", B"11110110",
B"11111000", B"00010001", B"00100101", B"01000000", B"00000001",
B"00011001", B"11001001", B"00100010", B"11111000", B"11000101",
B"11111010", B"00000000", B"10110101", B"00101011", B"11010011",
B"11001110", B"00011101", B"00110101", B"00101001", B"00001010",
B"11110001", B"01001011", B"11101010", B"00010001", B"00010011",
B"00001001", B"01000011", B"00111100", B"00101011", B"01010001",
B"00111110", B"00100101", B"00011100", B"00100101", B"11110100",
B"00010010", B"11100101", B"11101011", B"11100110", B"11111110",
B"00000010", B"00010110", B"11010001", B"11011111", B"11100101",
B"11011001", B"00011011", B"11100101", B"00001011", B"11101010",
B"00010011", B"00110000", B"00010111", B"11110100", B"11001100",
B"11000101", B"11101010", B"11010001", B"00111000", B"11110110",
B"11101010", B"11101001", B"00111011", B"01001100", B"11001000",
B"10111010", B"00001000", B"00011001", B"00110010", B"11110011",
B"00011110", B"11001011", B"00111010", B"00011010", B"11101100",
B"11010000", B"11011011", B"11101001", B"00110111", B"11001101",
B"00000111", B"11101000", B"00001101", B"00010111", B"11001111",
B"11010011", B"11000000", B"11000010", B"11010101", B"11011111",
B"00101011", B"00010010", B"00101101", B"11101011", B"00011101",
B"11000100", B"00100000", B"00011011", B"00000000", B"11110000",
B"11100000", B"00010100", B"00100100", B"11010000", B"11011011",
B"11010001", B"11100110", B"00000001", B"00010101", B"00011110",
B"00001110", B"11010110", B"11110010", B"11001010", B"00111011",
B"00010011", B"00100001", B"10111110", B"00011011", B"00000010",
B"00110100", B"11101000", B"11001001", B"11100100", B"11000101",
B"00011100", B"00110011", B"00101110", B"01011101", B"11111000",
B"00001001", B"11100111", B"00111101", B"11001110", B"11010100",
B"11111100", B"00100000", B"00100000", B"11100000", B"11110010",
B"00000100", B"00000100", B"00101110", B"11101100", B"00100100",
B"01000100", B"01001000", B"00000101", B"11111101", B"00010101",
B"11011111", B"00000110", B"11011000", B"00001011", B"11110000",
B"00001001", B"11110000", B"11110111", B"11101011", B"11111001",
B"00110111", B"11110011", B"00100101", B"00001110", B"11001001",
B"11100001", B"11100010", B"11011010", B"11011111", B"00000101",
B"11000000", B"11100011", B"11011000", B"00101011", B"11111010",
B"00110011", B"00011000", B"11001011", B"00000111", B"00101000",
B"11010111", B"00101101", B"11101010", B"11100110", B"00100010",
B"11101011", B"11101011", B"11100001", B"00111110", B"11010110",
B"11010000", B"00101101", B"00111101", B"00010111", B"11010110",
B"00000010", B"00100011", B"00010011", B"00100101", B"00011011",
B"11100010", B"00011110", B"00100101", B"11010010", B"11111010",
B"00010100", B"11000111", B"11111000", B"00000000", B"00001110",
B"11000111", B"00011011", B"10111101", B"11110001", B"11010000",
B"00101010", B"00011110", B"11010001", B"00101100", B"11101110",
B"00001011", B"11011100", B"00000011", B"00001100", B"00100001",
B"00000101", B"00100100", B"11101001", B"11100000", B"00010000",
B"00010010", B"01011000", B"11111001", B"01000000", B"11100000",
B"00011000", B"00101001", B"00000111", B"11111111", B"00111011",
B"11011110", B"11001110", B"11011011", B"00010001", B"00110010",
B"11001110", B"00101100", B"11010110", B"01000010", B"00001100",
B"11111011", B"11111000", B"00100111", B"11011111", B"11001011",
B"11100001", B"11011000", B"11000011", B"00000101", B"00101101",
B"11100110", B"11100001", B"10111010", B"11100011", B"11000101",
B"11100101", B"10110000", B"00000111", B"00001100", B"00010111",
B"00011001", B"11010110", B"11011111", B"00000011", B"00011101",
B"00101110", B"00001101", B"10101101", B"00110001", B"11101010",
B"00000101", B"10110010", B"11000000", B"00100000", B"11101100",
B"11101000", B"00100110", B"11010011", B"11010111", B"00011110",
B"01001100", B"11010000", B"11010001", B"00100111", B"01000001",
B"11110001", B"10101100", B"11100100", B"00111101", B"00011000",
B"11001011", B"11100100", B"11111010", B"10111101", B"10111000",
B"01010010", B"00101010", B"11001101", B"11011100", B"00011010",
B"00010100", B"00000001", B"11101111", B"00000101", B"11010110",
B"00110001", B"11011011", B"00110011", B"11100110", B"11100111",
B"00110111", B"11011111", B"11001010", B"00001011", B"00010010",
B"00100011", B"01000100", B"11011010", B"11010100", B"00010001",
B"00011100", B"00110100", B"00101000", B"00010101", B"00010100",
B"00010101", B"00011101", B"10101001", B"00100101", B"10111111",
B"01001100", B"10111011", B"00000001", B"00010110", B"11111100",
B"11011001", B"00100010", B"01000010", B"00100110", B"11010011",
B"00110111", B"11001011", B"00100001", B"11100000", B"00011101",
B"00111010", B"11010011", B"11011100", B"11010001", B"11100001",
B"11101101", B"11110110", B"11000011", B"11001000", B"00010111",
B"11011101", B"00101101", B"11011101", B"00100010", B"11000101",
B"00101101", B"00100111", B"11100001", B"11011001", B"11111000",
B"10101111", B"11000010", B"11100011", B"11111101", B"00000110",
B"00011011", B"00111110", B"01001000", B"11110100", B"11101111",
B"01000001", B"00011000", B"00000011", B"00011110", B"00001100",
B"00010110", B"00000010", B"00000000", B"00111111", B"11010110",
B"00011010", B"11010011", B"10111000", B"11100100", B"00110111",
B"00110101", B"11110111", B"11100000", B"00111010", B"11010010",
B"11000101", B"11101001", B"00001100", B"00101000", B"00001010",
B"00000001", B"10111111", B"00010101", B"00011110", B"11000100",
B"11100010", B"00111100", B"11000111", B"00010000", B"11000110",
B"10111111", B"11011110", B"11111100", B"01100000", B"11110001",
B"00001100", B"00000011", B"01000010", B"11010101", B"10110011",
B"11000001", B"00010110", B"00010010", B"11010111", B"11111100",
B"00100001", B"00111101", B"00011000", B"01000011", B"11100101",
B"11101101", B"00011101", B"11110001", B"00001100", B"11100001",
B"11001011", B"10111110", B"00011000", B"00101010", B"11100011",
B"00101111", B"00001101", B"00000100", B"11010010", B"11111101",
B"10111100", B"11001101", B"11011011", B"00110100", B"11001010",
B"00100100", B"11001110", B"10111101", B"00011001", B"00100000",
B"11111001", B"00110111", B"00010011", B"00010110", B"00000101",
B"00100011", B"11010101", B"00101000", B"11000110", B"11001101",
B"00111100", B"00010000", B"00011100", B"00101001", B"10110101",
B"10111101", B"11010100", B"00000111", B"00101010", B"01100010",
B"11101101", B"00100001", B"11010001", B"00111010", B"11100100",
B"11100101", B"00100100", B"11110000", B"00101010", B"11001100",
B"11010101", B"00111000", B"10111000", B"11110100", B"00100100",
B"11100001", B"11101100", B"00001101", B"11111101", B"01001101",
B"00110010", B"00101111", B"01000001", B"11100001", B"00011111",
B"11101001", B"00011011", B"11011000", B"00100010", B"10011011",
B"11100111", B"00010101", B"10110111", B"11000000", B"11011111",
B"11011101", B"00111110", B"11111010", B"11001100", B"00100011",
B"11001101", B"11010110", B"00001001", B"11110000", B"00010000",
B"00000000", B"11111010", B"11010100", B"11010110", B"00110001",
B"00010010", B"11100011", B"11110011", B"00110110", B"10111000",
B"00101111", B"11101111", B"11110000", B"00100110", B"00000111",
B"00111001", B"00011111", B"11011011", B"00100110", B"00111101",
B"10011011", B"11011110", B"00000101", B"11011010", B"11100101",
B"00011110", B"00011110", B"00100101", B"01010000", B"11010110",
B"11000100", B"00110001", B"10111110", B"00001110", B"00000000",
B"11100101", B"00101100", B"11011110", B"00100101", B"00010110",
B"11111010", B"00010011", B"00010110", B"00001111", B"11010011",
B"11100000", B"00000001", B"11111111", B"11110110", B"11100011",
B"00011110", B"11110000", B"11100000", B"11000110", B"11011100",
B"00011100", B"00010111", B"11111001", B"11010100", B"00100001",
B"11100010", B"00011111", B"11001011", B"01001011", B"00100011",
B"11101110", B"00101011", B"00010111", B"11010101", B"11110010",
B"00011111", B"11110001", B"11010111", B"11100011", B"11000000",
B"00000010", B"00110111", B"00010011", B"00100111", B"00110010",
B"11011011", B"11101000", B"01000000", B"11101000", B"11001000",
B"11001010", B"10101100", B"00011110", B"11010111", B"00011110",
B"11001101", B"11101101", B"11001101", B"00100010", B"00100011",
B"11101110", B"10111000", B"11101000", B"11011000", B"00101010",
B"00100110", B"00001111", B"00010100", B"00110101", B"00101100",
B"11000110", B"00000100", B"00101011", B"00010001", B"11011101",
B"11110111", B"11100100", B"11100010", B"11101000", B"11101111",
B"11110100", B"11101001", B"00011100", B"11001011", B"00111101",
B"01000000", B"00111100", B"00011001", B"11111101", B"00011001",
B"00001110", B"11111110", B"10101000", B"01000010", B"11111010",
B"00010110", B"00001101", B"11100001", B"00001001", B"11111000",
B"00001111", B"11110011", B"11101011", B"00010001", B"10110100",
B"11011001", B"11010011", B"10111100", B"11000111", B"11011000",
B"00110100", B"00000110", B"00110011", B"11000100", B"00001100",
B"11111100", B"00001010", B"00011111", B"11010010", B"00011011",
B"00110011", B"00001000", B"11100010", B"11000100", B"11111100",
B"00101011", B"11110101", B"00111111", B"11010000", B"11100011",
B"00011010", B"00101011", B"11110101", B"11010101", B"11110110",
B"11010000", B"11110000", B"00100111", B"11110001", B"00011010",
B"00010100", B"00011110", B"00111111", B"00111010", B"00010000",
B"11111101", B"00001100", B"00100110", B"00100110", B"11100001",
B"11111001", B"00111110", B"10111110", B"11011001", B"11100010",
B"11110110", B"00110110", B"00001110", B"11011000", B"00100000",
B"00010001", B"11111101", B"11110011", B"00000110", B"00111100",
B"00101001", B"00100001", B"11100100", B"00000010", B"11100111",
B"00011110", B"11011110", B"00010001", B"11011010", B"01000000",
B"00100110", B"11011101", B"00100110", B"00101001", B"11001000",
B"11011000", B"11111110", B"11100110", B"11100101", B"11011011",
B"00100001", B"00101000", B"11010000", B"00100100", B"00010001",
B"11111000", B"00000100", B"00011010", B"00110001", B"00010100",
B"10101101", B"00001111", B"11001000", B"11000101", B"11110111",
B"11101000", B"00010010", B"11000100", B"00111010", B"00011011",
B"00000001", B"00101001", B"00101101", B"11100110", B"00011000",
B"00100011", B"11100010", B"11011101", B"00010000", B"10101000",
B"00011100", B"11010101", B"11000101", B"01001010", B"00101001",
B"00010010", B"11101110", B"11001001", B"11010000", B"11011100",
B"00011000", B"11101001", B"11111101", B"10101111", B"11010011",
B"11101100", B"00100111", B"00100101", B"11100110", B"00011111",
B"11100100", B"11111011", B"11011110", B"00011110", B"00111011",
B"01000001", B"00110010", B"11100001", B"00000110", B"10111010",
B"11001100", B"11000111", B"00110101", B"00100110", B"00000001",
B"00111001", B"11011010", B"11011111", B"00001111", B"00101010",
B"11100000", B"00001111", B"11100001", B"11111001", B"00101110",
B"00011011", B"01000111", B"11001111", B"11010011", B"00100100",
B"00011100", B"10110010", B"11011000", B"00110100", B"10111110",
B"11100000", B"11111111", B"11000011", B"00011110", B"11000010",
B"00011011", B"00101011", B"00100010", B"11011111", B"01001101",
B"00001011", B"11100100", B"10111010", B"00111110", B"01010111",
B"00100100", B"00011010", B"00101000", B"00001010", B"00010011",
B"00010000", B"01010110", B"10101100", B"11101101", B"11011101",
B"10111000", B"00010001", B"11110100", B"00011011", B"11111011",
B"00100101", B"00010001", B"11111010", B"00101000", B"00011111",
B"11100010", B"00010111", B"00000111", B"11111110", B"11000111",
B"00110010", B"00101100", B"00101100", B"11111000", B"11100011",
B"11011000", B"00010100", B"11010011", B"11110011", B"00110100",
B"11110011", B"11001111", B"11111110", B"11100100", B"01010111",
B"00010110", B"11111000", B"00011001", B"00010110", B"11111001",
B"11111111", B"11101010", B"00010101", B"00100111", B"00101100",
B"00110010", B"00011011", B"00011011", B"00000010", B"00000110",
B"00010010", B"01000010", B"00001110", B"00111011", B"00011001",
B"00011110", B"00110011", B"00001000", B"10110110", B"01000011",
B"00001011", B"00000111", B"11001010", B"11100100", B"00011010",
B"00111000", B"10101101", B"11010100", B"00001111", B"11101111",
B"11111111", B"11010100", B"11100110", B"00011000", B"00110010",
B"11001100", B"01000010", B"00111010", B"00010100", B"00100101",
B"00111100", B"11101101", B"11110100", B"11011000", B"11100111",
B"00000110", B"00000110", B"11111101", B"11101011", B"00011101",
B"00100010", B"00100111", B"00111010", B"11011011", B"00110100",
B"11010011", B"11111000", B"11110111", B"11111011", B"00010011",
B"11011101", B"00010100", B"11101101", B"11101000", B"00101000",
B"00011011", B"00101000", B"00011110", B"00110100", B"00001111",
B"00110010", B"00010010", B"00101111", B"00101001", B"00011001",
B"00101000", B"11100110", B"11100110", B"00101101", B"00010010",
B"11101010", B"11110101", B"11100000", B"00100011", B"10101111",
B"11111010", B"11101110", B"11110110", B"00010001", B"11001000",
B"11100110", B"00100001", B"11001101", B"00101111", B"11011110",
B"11101010", B"01001001", B"00011100", B"11010000", B"11001010",
B"01000001", B"00010000", B"00010111", B"00000100", B"11110110",
B"11000101", B"11011111", B"00000000", B"00110001", B"00010110",
B"10111101", B"00110110", B"11111111", B"00010011", B"00001010",
B"00010010", B"00101001", B"11001000", B"11011001", B"11101011",
B"00001010", B"00011110", B"11001100", B"10111001", B"11011001",
B"00111001", B"00100000", B"11010111", B"00001100", B"10111010",
B"11011011", B"11100100", B"00001111", B"11001010", B"11110011",
B"11010100", B"11011101", B"00010010", B"11100101", B"00100001",
B"00100011", B"11100001", B"11010000", B"11010110", B"11001011",
B"11100011", B"11010100", B"11110010", B"11110011", B"00011111",
B"00100111", B"11010000", B"01000111", B"11001111", B"11011001",
B"11011001", B"11110101", B"11011001", B"00100100", B"11110010",
B"00110100", B"00001000", B"11011011", B"11110100", B"11000111",
B"00101011", B"00100111", B"11010100", B"00111001", B"10111100",
B"00111110", B"10111111", B"10111100", B"11110011", B"11100110",
B"00101001", B"10111001", B"00101100", B"11001011", B"11100000",
B"00011011", B"11010000", B"00010100", B"11011111", B"11100011",
B"01001111", B"11100100", B"00110111", B"00000001", B"00100011",
B"11011010", B"11010111", B"00011101", B"01000011", B"10111111",
B"00011001", B"00011100", B"11110010", B"11100000", B"11110000",
B"11011101", B"11010101", B"11011010", B"01000000", B"11010011",
B"00010010", B"00010001", B"11011101", B"00010011", B"00010011",
B"11101001", B"11101010", B"11011101", B"11110000", B"01000101",
B"00101111", B"00000011", B"11100001", B"00101011", B"11010111",
B"10110101", B"11110100", B"11001100", B"00011011", B"11001010",
B"00101010", B"00101101", B"11001101", B"11110101", B"00010001",
B"10111011", B"11111110", B"11101110", B"11011001", B"11011100",
B"00100001", B"11110010", B"00000100", B"11101011", B"00010101",
B"11001001", B"00011110", B"11101001", B"00111010", B"11101001",
B"00101110", B"11011110", B"00011010", B"00110000", B"00011110",
B"00110101", B"00001100", B"00001000", B"10111110", B"11101010",
B"11010001", B"00110010", B"00111111", B"11101111", B"11010011",
B"11101001", B"00011010", B"00010111", B"11101000", B"00011110",
B"11001001", B"11001101", B"11110010", B"11010001", B"00101011",
B"11001111", B"11010011", B"01000001", B"00100000", B"00101110",
B"00100110", B"00001110", B"00111111", B"00110000", B"00010010",
B"00101110", B"00011101", B"00010001", B"11101110", B"11100110",
B"11100011", B"11110111", B"00111011", B"11011001", B"00101111",
B"00101001", B"11011010", B"00010110", B"00010000", B"11010101",
B"11011110", B"11101010", B"11101011", B"11001111", B"11011000",
B"11100010", B"11001011", B"00101111", B"00011111", B"00000100",
B"00011111", B"11011100", B"00110011", B"00011000", B"11111011",
B"10111001", B"01001101", B"11111011", B"00010001", B"11001011",
B"00110001", B"00000010", B"11101011", B"11101100", B"11110100",
B"10111010", B"11011111", B"00100001", B"11101101", B"11111111",
B"00100000", B"11010001", B"11010100", B"11001011", B"10111111",
B"00000110", B"11001101", B"00000100", B"11000101", B"11101001",
B"11101111", B"11110001", B"00100100", B"00100001", B"00010100",
B"11110010", B"00000101", B"00111111", B"11001011", B"11000011",
B"00010100", B"11011010", B"00111011", B"10100110", B"00001011",
B"11001010", B"00110010", B"00011001", B"11010100", B"11110010",
B"11010010", B"10110111", B"00000111", B"00010100", B"00100110",
B"00001101", B"00010010", B"00011111", B"00100101", B"00110100",
B"00010001", B"00010100", B"01000001", B"00101101", B"00000011",
B"11010110", B"10101011", B"00111100", B"01001010", B"11001110",
B"00110001", B"11111100", B"11100111", B"00101101", B"11101110",
B"00001100", B"11100011", B"11010110", B"11110101", B"00101010",
B"00001011", B"00110010", B"10111110", B"00011110", B"11010111",
B"11110110", B"11111011", B"00101101", B"00100000", B"11100101",
B"11111001", B"00100011", B"10101010", B"00001111", B"11100111",
B"11010110", B"11011001", B"00011010", B"11010100", B"11000011",
B"00000100", B"00010010", B"00000111", B"00000000", B"11110010",
B"00100011", B"11010000", B"11010101", B"11011101", B"11001000",
B"00101111", B"11001100", B"00011010", B"00010000", B"11100001",
B"01000111", B"10110110", B"11001110", B"11011111", B"01000011",
B"00110011", B"00001000", B"00010111", B"00000100", B"11001111",
B"00011011", B"00101100", B"11011000", B"11000001", B"00111011",
B"11010101", B"00100010", B"11101011", B"11000011", B"11101101",
B"00010101", B"11110011", B"00101110", B"00100011", B"10111111",
B"11110001", B"00000100", B"00111010", B"00000010", B"11101010",
B"00110100", B"00011111", B"11011011", B"11010110", B"00110110",
B"00010011", B"11000100", B"11011110", B"00000110", B"00011110",
B"00001000", B"11110011", B"11111111", B"00001010", B"00001010",
B"00000110", B"11011101", B"11001111", B"00100100", B"11110111",
B"11110000", B"00001000", B"11100011", B"00110001", B"11000011",
B"00011000", B"11110001", B"00010110", B"11101010", B"00111001",
B"00110010", B"11100111", B"11111111", B"00011100", B"00110101",
B"00100011", B"11101101", B"11101100", B"11100001", B"00011000",
B"00101111", B"11011101", B"00000100", B"11110000", B"11011011",
B"00011111", B"11010001", B"00111010", B"00000001", B"00110001",
B"11101110", B"00100001", B"00001111", B"11100011", B"00011101",
B"00010111", B"11010101", B"11101011", B"00000110", B"00010010",
B"00110011", B"00110111", B"11101011", B"11000100", B"11101010",
B"10101110", B"11100001", B"00101000", B"00011100", B"11100111",
B"01011100", B"00000111", B"00110111", B"11010100", B"00001101",
B"00010101", B"11110010", B"11110000", B"00100111", B"10111101",
B"00011011", B"11111000", B"11101000", B"11011110", B"00011011",
B"00110111", B"11110111", B"11101110", B"11010000", B"11011001",
B"11110001", B"00100110", B"00110000", B"11110100", B"00010101",
B"11010111", B"11001101", B"00011100", B"00110101", B"10111101",
B"11101101", B"11101101", B"00001101", B"10111101", B"11100011",
B"00011110", B"11111100", B"11011100", B"11110110", B"00111101",
B"00011111", B"11001111", B"11111100", B"11011011", B"00001111",
B"01010011", B"11101010", B"01000010", B"00100001", B"11111101",
B"11111000", B"00110001", B"11100010", B"00100111", B"11101100",
B"11010101", B"11111101", B"00000111", B"11101100", B"11111111",
B"00000001", B"00101010", B"11110010", B"11011110", B"00000111",
B"00111101", B"00011000", B"11010001", B"00001111", B"00000110",
B"11110011", B"11000111", B"00011110", B"00011111", B"11001101",
B"00101101", B"00000001", B"11101000", B"11010111", B"00101000",
B"11110101", B"11100100", B"00111011", B"11001101", B"00110000",
B"11001101", B"11011001", B"11100010", B"00100000", B"00100101",
B"11011110", B"00001010", B"11011001", B"11000111", B"11011100",
B"00100101", B"00110010", B"00011000", B"00110100", B"11010110",
B"00011001", B"00001100", B"11100011", B"00111011", B"00001100",
B"11010110", B"00001100", B"11111101", B"11101001", B"00100010",
B"11100011", B"11011111", B"00000111", B"10111110", B"00110110",
B"11000000", B"11101100", B"11111110", B"10001111", B"00000000",
B"11111100", B"11010010", B"11001110", B"00011100", B"11110111",
B"00100111", B"11011110", B"00101010", B"11001101", B"00010001",
B"00001010", B"00011001", B"11111110", B"00011111", B"11011011",
B"11111000", B"11001010", B"00001010", B"11000100", B"11000011",
B"11011000", B"10110010", B"00010001", B"00100101", B"01000010",
B"00000111", B"00001001", B"00100110", B"11011101", B"00001111",
B"00000110", B"11011000", B"11101111", B"11101001", B"11010110",
B"11001011", B"11100101", B"11010001", B"00100010", B"00101001",
B"01000100", B"00110001", B"11010101", B"01001000", B"11011100",
B"11111101", B"11000110", B"00110001", B"00100011", B"10111101",
B"11001010", B"00010011", B"00011111", B"00101110", B"11111011",
B"00101011", B"11010010", B"00000110", B"00100010", B"00100011",
B"00100101", B"00101110", B"00010001", B"01000010", B"00110111",
B"00000101", B"00100011", B"11100011", B"00001011", B"00100000",
B"11110110", B"00000011", B"00001101", B"11110010", B"00110111",
B"11101011", B"11101000", B"00010001", B"11110101", B"11111111",
B"10110111", B"11001110", B"00011101", B"00101010", B"01000111",
B"11010110", B"00011001", B"11001110", B"00000010", B"11001101",
B"00111110", B"00011010", B"11011001", B"00011100", B"00011000",
B"11111101", B"11111111", B"00100100", B"00111111", B"11111000",
B"11111010", B"00000111", B"11101011", B"11100101", B"00010011",
B"11101101", B"00101011", B"11110010", B"11001001", B"00000101",
B"11110111", B"11101010", B"10111110", B"11000110", B"00111010",
B"11010001", B"00101100", B"01001010", B"11101001", B"11111100",
B"00010110", B"11011100", B"11110010", B"11011011", B"11010000",
B"10111000", B"00101001", B"00011000", B"00110101", B"00100000",
B"00101100", B"11011011", B"11011000", B"00100100", B"00001001",
B"11100000", B"11100100", B"11001110", B"00010111", B"00001101",
B"00110100", B"11010000", B"00001010", B"11011011", B"01000001",
B"11011010", B"00011101", B"00101100", B"11011101", B"00100001",
B"00000111", B"11010111", B"11101101", B"01000000", B"11001100",
B"01010010", B"00010111", B"11110111", B"10111011", B"11100110",
B"00000010", B"10111010", B"11111010", B"00100011", B"11101101",
B"10111001", B"01000100", B"00101101", B"11110010", B"11001111",
B"00110001", B"00010011", B"00000001", B"11100101", B"00111011",
B"01010001", B"11000111", B"11010111", B"00011010", B"11100111",
B"00100010", B"01000110", B"11001111", B"01011101", B"00010001",
B"11001100", B"00010111", B"11001001", B"10111111", B"10011111",
B"00001110", B"00011111", B"11101100", B"00011000", B"00111101",
B"11010100", B"00100010", B"00111000", B"11000010", B"01010110",
B"01010101", B"11100111", B"11100010", B"00000101", B"11011110",
B"11110111", B"00011100", B"00011000", B"01001010", B"00101010",
B"00000011", B"11010100", B"00000110", B"00010000", B"00000000",
B"00101100", B"00010001", B"11011011", B"01000000", B"11111111",
B"00100100", B"10111001", B"11000000", B"11001001", B"11100010",
B"00011100", B"00111011", B"11011111", B"00110010", B"00110011",
B"11010110", B"00100010", B"00011101", B"00011001", B"00010110",
B"01000010", B"00001010", B"00100111", B"11110100", B"00111111",
B"11111000", B"00101111", B"00100011", B"00010110", B"11110101",
B"11000101", B"00000000", B"00010010", B"11101010", B"11010011",
B"11010100", B"00100001", B"00010101", B"00001001", B"11111100",
B"11101101", B"11000111", B"00000111", B"00110000", B"00001111",
B"11111011", B"11100001", B"00100011", B"00111011", B"00000010",
B"11000101", B"00111100", B"11010111", B"11101010", B"00010100",
B"11100011", B"11111110", B"11100001", B"11110010", B"11100010",
B"01001101", B"00101101", B"11101010", B"11101001", B"11011101",
B"00000001", B"11001111", B"11111001", B"11010001", B"01001100",
B"10101111", B"11011011", B"10011011", B"00000001", B"11111011",
B"11100110", B"11011110", B"11110110", B"11110011", B"11011011",
B"11010100", B"11011011", B"11110111", B"00011011", B"00101011",
B"00011001", B"01000100", B"00001011", B"00110011", B"11100110",
B"00001100", B"11000010", B"00100001", B"11000110", B"11110010",
B"11011001", B"00001111", B"00111011", B"11010010", B"00111001",
B"00001001", B"11110010", B"00010000", B"11111010", B"11010101",
B"11100001", B"00011110", B"00010100", B"00111010", B"00011101",
B"00100110", B"00101110", B"00110110", B"00101011", B"00010110",
B"11111101", B"11111001", B"11101001", B"00011001", B"00111110",
B"11110000", B"11100000", B"00010000", B"00001110", B"00011001",
B"11010111", B"00101010", B"00011001", B"11000100", B"11011111",
B"00010111", B"11110111", B"11101011", B"11011000", B"00011000",
B"00101001", B"00000100", B"00100001", B"11000010", B"01001001",
B"11111111", B"00000100", B"00001010", B"11101100", B"01001110",
B"00110100", B"10110001", B"00001011", B"00011110", B"11100100",
B"00101011", B"00000000", B"11011100", B"11100101", B"00000011",
B"00010101", B"11001011", B"00010000", B"00100110", B"11111001",
B"00101000", B"00001110", B"11110100", B"00011101", B"11100000",
B"10110001", B"00100011", B"11101001", B"10111101", B"11101111",
B"11001001", B"11110000", B"00100010", B"00000111", B"11111011",
B"11011011", B"11011011", B"11110001", B"11111000", B"10111111",
B"11100101", B"00010111", B"11101001", B"00110000", B"11000001",
B"00000000", B"01000011", B"11011101", B"00100000", B"11001110",
B"00000011", B"11100100", B"11001010", B"00010011", B"00101001",
B"00000010", B"11001110", B"10101111", B"11110010", B"00100010",
B"01000100", B"00001001", B"00001011", B"11101001", B"11111000",
B"00111000", B"11100010", B"11111101", B"11011011", B"11000110",
B"00110011", B"00100100", B"11011111", B"11000000", B"00000110",
B"11111010", B"10111101", B"11100010", B"00000110", B"00000100",
B"11001011", B"00000001", B"00001111", B"10100010", B"00100000",
B"00101101", B"11010100", B"11111110", B"11011101", B"11010001",
B"11101001", B"11110010", B"00101000", B"11100011", B"00010100",
B"00001010", B"11110010", B"11011110", B"11101011", B"10111001",
B"00010000", B"11110011", B"11111101", B"11101110", B"00110110",
B"11111111", B"00011111", B"11100001", B"00000110", B"11100000",
B"00100111", B"00111010", B"11100010", B"10110110", B"00000011",
B"11001101", B"00101001", B"11110011", B"11111100", B"11101001",
B"00101010", B"00001011", B"00010110", B"11010000", B"00011010",
B"11001110", B"00001110", B"00001011", B"00111011", B"11001000",
B"00001000", B"00000011", B"11000011", B"10111001", B"01000001",
B"00001001", B"11011001", B"10111101", B"01001011", B"11111011",
B"11001011", B"11001001", B"11010010", B"00000111", B"00110101",
B"00100010", B"01000110", B"11110110", B"00101111", B"11100011",
B"00001111", B"11110011", B"00110011", B"00011010", B"11110001",
B"11011100", B"11100011", B"11110110", B"11101101", B"00011110",
B"00000110", B"11101010", B"11100101", B"00001000", B"00001001",
B"10111010", B"11001011", B"11100011", B"11001101", B"00101011",
B"00111001", B"00101011", B"00110010", B"11001011", B"11100100",
B"00000010", B"00001001", B"11011110", B"00101100", B"00111110",
B"11100010", B"11001100", B"01001010", B"11010110", B"00101111",
B"11101011", B"00001000", B"00011000", B"11001101", B"11100000",
B"01010010", B"00001001", B"11100101", B"11001000", B"11011000",
B"00111111", B"00011001", B"11111110", B"00011111", B"00010000",
B"00010101", B"11110101", B"11010010", B"00101111", B"00101100",
B"11011001", B"11100010", B"00011000", B"00101101", B"00001010",
B"00011010", B"00011001", B"00111101", B"11101100", B"00001101",
B"11010111", B"11011111", B"11101111", B"11100010", B"00100011",
B"00110101", B"00001001", B"00000101", B"11001010", B"11000110",
B"00001100", B"00011001", B"11111111", B"00011111", B"10110010",
B"11110001", B"00001000", B"00010001", B"01000011", B"11101000",
B"00000011", B"11110000", B"00100101", B"11100000", B"11100010",
B"00001110", B"01001001", B"00101011", B"11110110", B"00010111",
B"11101000", B"11100101", B"00010111", B"11101100", B"00001010",
B"11010111", B"00011011", B"11010101", B"00100100", B"11100110",
B"11000010", B"00010010", B"00110011", B"00011000", B"11100010",
B"00111000", B"11100111", B"00111000", B"00001000", B"11011101",
B"11010011", B"00110001", B"11011010", B"11100100", B"11101000",
B"00011110", B"11100000", B"00111010", B"11001110", B"00100010",
B"11001111", B"11110000", B"00011001", B"00111100", B"00011101",
B"10110111", B"11010100", B"11110100", B"00011010", B"00001111",
B"00010011", B"00011110", B"00000011", B"00000100", B"00010100",
B"00011010", B"11110110", B"00100001", B"00100010", B"11100100",
B"00100110", B"10110100", B"11000100", B"11010110", B"11010111",
B"00111000", B"11110110", B"00011001", B"00110001", B"00011000",
B"11101101", B"00110101", B"00010101", B"11101100", B"11101000",
B"01001000", B"00100011", B"00000010", B"11001101", B"11010111",
B"11110101", B"00100110", B"11100101", B"00000011", B"11011001",
B"01100010", B"00110001", B"00000001", B"11100000", B"11110001",
B"00000001", B"11010100", B"00110000", B"10100101", B"11111100",
B"00010110", B"11011100", B"01011000", B"01000000", B"10101100",
B"00100001", B"11111110", B"11110100", B"11111001", B"00010100",
B"11111011", B"00010111", B"11110010", B"11110100", B"00011010",
B"00100000", B"00011011", B"11100100", B"00111000", B"11101100",
B"01010111", B"00011101", B"11101100", B"00010110", B"00010010",
B"11100110", B"00111010", B"00101010", B"11010010", B"11111000",
B"11000010", B"11010111", B"00111001", B"01010011", B"11100001",
B"00101001", B"11010110", B"00100001", B"00011110", B"11100010",
B"00100111", B"00101001", B"11010011", B"10101100", B"00111101",
B"00001111", B"11011101", B"11010110", B"00010010", B"00010010",
B"11100110", B"00010111", B"11011001", B"00100111", B"11110100",
B"11111001", B"00100011", B"10111101", B"11110110", B"00000001",
B"11010101", B"11111111", B"00100000", B"00001100", B"00000101",
B"00000000", B"00011000", B"11100100", B"00101011", B"00001100",
B"11011010", B"11111001", B"00001010", B"00001010", B"11010000",
B"11000101", B"11001011", B"00010011", B"11010011", B"00011001",
B"11011110", B"11010111", B"11111001", B"11011010", B"00010101",
B"00001100", B"11101011", B"11111001", B"00010111", B"11100101",
B"11010110", B"11101101", B"11001000", B"00110011", B"00110110",
B"00010001", B"11111011", B"00011001", B"11101101", B"11111011",
B"11110011", B"11111100", B"11011011", B"11101100", B"00101010",
B"10111011", B"11100010", B"11110100", B"10100001", B"00101111",
B"00011101", B"00100110", B"11010101", B"00001011", B"11010000",
B"00010110", B"11100101", B"11011010", B"11100010", B"00011111",
B"11101110", B"00101000", B"11110100", B"11011010", B"00110111",
B"11111011", B"00100001", B"00000001", B"00111011", B"11111111",
B"00110101", B"00000100", B"01000101", B"00000111", B"00001000",
B"11110000", B"11101000", B"00011100", B"00110001", B"11110000",
B"00111110", B"11011000", B"00110101", B"00100111", B"11000010",
B"10110010", B"11101110", B"11011011", B"11111110", B"01000001",
B"00011111", B"11010101", B"11100111", B"00100010", B"00010111",
B"11010001", B"11110101", B"00011010", B"00111101", B"11001110",
B"11101000", B"00110010", B"00101011", B"11101010", B"11101110",
B"11010000", B"00101001", B"11110000", B"11101100", B"11011010",
B"00100100", B"00010011", B"11100110", B"11101101", B"11000100",
B"11001110", B"11010100", B"00110110", B"00011011", B"00010100",
B"00010101", B"00001001", B"11110001", B"00001111", B"01000010",
B"00011111", B"11111001", B"11111000", B"11110000", B"11010010",
B"00010001", B"00010101", B"11101111", B"11011100", B"11101110",
B"11001000", B"11001100", B"11100000", B"10101111", B"00010100",
B"11110110", B"00010111", B"11011110", B"11001101", B"00111100",
B"11101110", B"11110010", B"11101100", B"00001001", B"00000000",
B"00110010", B"11111010", B"00011100", B"00100001", B"00100001",
B"11100101", B"00111110", B"00000000", B"11011010", B"11110101",
B"00110110", B"11101011", B"11110011", B"00100001", B"11100101",
B"00010011", B"11101011", B"11001011", B"00010010", B"00011110",
B"00010101", B"10110101", B"00000010", B"00111110", B"10100100",
B"11010100", B"00110001", B"11011010", B"00011100", B"11101011",
B"00110111", B"11000111", B"00010000", B"01010010", B"00110100",
B"00111001", B"11110110", B"00111011", B"00001101", B"11001111",
B"00100111", B"00011001", B"11100001", B"11001110", B"00010110",
B"00101011", B"00101111", B"10111010", B"00101110", B"11000000",
B"00000001", B"00010001", B"00100010", B"11111100", B"00011110",
B"01000001", B"00111111", B"00010010", B"11111011", B"00100100",
B"00001100", B"00001001", B"00101100", B"00100100", B"00100001",
B"00100001", B"00000100", B"11100010", B"11111011", B"00011010",
B"00001001", B"00010100", B"00110110", B"11000110", B"10111010",
B"11111000", B"00101010", B"11100111", B"10101011", B"10101110",
B"00101011", B"00010001", B"11000110", B"00101111", B"11011001",
B"00011000", B"00001000", B"10101110", B"00100000", B"00010110",
B"11000101", B"00100100", B"00001010", B"11010011", B"11010110",
B"00111001", B"00010011", B"11111101", B"11000011", B"00100011",
B"11100100", B"00101011", B"00010110", B"11010011", B"00101011",
B"00011000", B"11010100", B"00000101", B"11101001", B"00011001",
B"11100010", B"11010010", B"00001011", B"11110110", B"11011000",
B"11111100", B"00011001", B"01000000", B"11001101", B"11010000",
B"11011000", B"11111101", B"11010111", B"00100011", B"00011110",
B"11010001", B"11011111", B"00011000", B"11111010", B"00001010",
B"10101000", B"11010100", B"00011111", B"00100110", B"11100111",
B"11011101", B"11010001", B"11111100", B"11000010", B"00001011",
B"11101111", B"11100110", B"00110110", B"00000011", B"10111100",
B"10111000", B"11101001", B"11100000", B"11100100", B"11011110",
B"11101111", B"00101110", B"00011111", B"00101100", B"00010100",
B"11110001", B"00111100", B"11011011", B"11110100", B"11101111",
B"00100001", B"00110111", B"11011111", B"00101100", B"00011100",
B"01000010", B"11101111", B"00111011", B"00000000", B"00100010",
B"10110110", B"11001111", B"01000000", B"11100110", B"11011100",
B"11010100", B"00100000", B"00010110", B"11100010", B"00001010",
B"00110010", B"00110100", B"00110110", B"00100011", B"00101010",
B"00100011", B"00101001", B"00010110", B"11000101", B"11010101",
B"11111100", B"11101001", B"11100000", B"11100101", B"11101001",
B"00010010", B"11101111", B"00110010", B"10101110", B"11001111",
B"00000000", B"00001101", B"00101101", B"00011000", B"11100010",
B"11000001", B"11100101", B"11101010", B"00100111", B"11100101",
B"00011001", B"11111101", B"11111011", B"00100111", B"00000100",
B"11110000", B"11100001", B"00111011", B"00101010", B"11010011",
B"11101000", B"00000010", B"11000000", B"00100100", B"11010011",
B"11100000", B"00011001", B"00010111", B"00011100", B"11100000",
B"11110010", B"00010000", B"00111111", B"11101111", B"11100001",
B"00111011", B"11010000", B"11101100", B"00001011", B"11111111",
B"11000111", B"11011011", B"11011000", B"11101000", B"11000110",
B"00010010", B"00101010", B"00101000", B"00001111", B"11101011",
B"11010100", B"11001110", B"11011010", B"00010000", B"11001000",
B"11011000", B"11111000", B"11101110", B"00001111", B"11011101",
B"11010111", B"11100100", B"00101101", B"00011001", B"11000100",
B"00001100", B"11001010", B"11101111", B"11000101", B"11110101",
B"00000010", B"00100111", B"00010011", B"00100100", B"11111100",
B"11110011", B"11010011", B"00101101", B"11101111", B"00000101",
B"11111111", B"11011001", B"00010110", B"00110111", B"11100010",
B"11100110", B"00101001", B"11011100", B"00000101", B"11110010",
B"11101110", B"11101110", B"00001001", B"11101000", B"00000011",
B"11011001", B"11010101", B"01001101", B"00010010", B"00001000",
B"11111100", B"00110111", B"11001110", B"11101010", B"11111010",
B"00011110", B"00011100", B"11011000", B"00001110", B"00000100",
B"00011110", B"00000100", B"00100101", B"11011111", B"00010110",
B"11111100", B"11111111", B"11111111", B"00100011", B"11000101",
B"11100001", B"00111111", B"00101100", B"00011001", B"00011010",
B"00010110", B"00110111", B"00011011", B"11111111", B"01000101",
B"11110110", B"11010011", B"00111010", B"11001011", B"11011111",
B"11101111", B"00111100", B"00101001", B"11010111", B"11010110",
B"11100101", B"00000011", B"00011011", B"00010011", B"00011101",
B"11001101", B"11011010", B"11101110", B"11111110", B"00010000",
B"00101001", B"11111101", B"00110010", B"11001111", B"00111010",
B"11101011", B"11001100", B"11111000", B"11010001", B"01000001",
B"00011011", B"00100111", B"00010111", B"01000101", B"11010111",
B"00110000", B"11010110", B"11011000", B"11100100", B"00101111",
B"00001011", B"00011110", B"11110111", B"11001100", B"11100110",
B"11111110", B"00010011", B"10111001", B"01000100", B"11100111",
B"00010100", B"11110000", B"11001101", B"00000000", B"00100111",
B"00101011", B"11100001", B"11111111", B"11101100", B"00001111",
B"11110101", B"11001101", B"11110101", B"00101011", B"00011110",
B"10110001", B"11111011", B"00001110", B"11011100", B"00111010",
B"11001100", B"11011101", B"00011100", B"11100011", B"11000101",
B"11100001", B"00010100", B"00001000", B"11100101", B"00101000",
B"11101000", B"01011111", B"11111101", B"00101001", B"11100100",
B"11010111", B"11011101", B"00010000", B"00111001", B"11010010",
B"00100111", B"11111100", B"00010100", B"11110001", B"00010101",
B"11100001", B"00000110", B"00100011", B"00101010", B"11011111",
B"11011011", B"00101101", B"00110010", B"00001101", B"11010000",
B"11001101", B"11011001", B"01001000", B"11011000", B"00111111",
B"11100001", B"11000110", B"01000110", B"11011111", B"00011110",
B"11100010", B"00011111", B"00110100", B"00000010", B"00110011",
B"11101000", B"00110011", B"11010100", B"10101101", B"11111001",
B"11011110", B"11011111", B"11000010", B"11010111", B"11001001",
B"11011110", B"00000010", B"00100010", B"00111001", B"00101110",
B"11101011", B"11010100", B"11011101", B"11100100", B"11000100",
B"00111100", B"11111110", B"11011101", B"00100101", B"11100011",
B"00100001", B"00100011", B"00110110", B"00100001", B"00010111",
B"00010000", B"00100001", B"00011011", B"11110011", B"00101100",
B"11111101", B"11101000", B"11101100", B"00100101", B"00010110",
B"11100000", B"11100100", B"00100111", B"11001011", B"00001000",
B"11000110", B"00011011", B"00010010", B"11010111", B"00000010",
B"00100011", B"00111000", B"00100111", B"00010001", B"11101011",
B"00001111", B"00011101", B"00101101", B"00011011", B"00001101",
B"11100001", B"00001000", B"11011111", B"00011111", B"11101000",
B"01000001", B"00110001", B"11000011", B"11010011", B"00011110",
B"00101000", B"11010101", B"11100110", B"11001110", B"11111011",
B"00000110", B"11101001", B"00011000", B"11110011", B"11001011",
B"00010111", B"00011101", B"01000000", B"11111110", B"11011010",
B"11111101", B"00111000", B"11101101", B"00010100", B"11111011",
B"11100111", B"11100100", B"11011111", B"00100000", B"00111001",
B"00011101", B"00110111", B"11100001", B"00011100", B"01000000",
B"00001000", B"11101100", B"00100011", B"11010010", B"00010010",
B"11110010", B"11001100", B"00100100", B"11010001", B"00101111",
B"11010100", B"11100100", B"00111110", B"11011000", B"00011010",
B"00000101", B"11001010", B"11101100", B"11101100", B"11110111",
B"11001101", B"11011100", B"11110000", B"11011110", B"11110100",
B"00111110", B"11011100", B"00011110", B"11101111", B"11000001",
B"11101101", B"00110100", B"00100100", B"00111001", B"00101101",
B"11000001", B"11000100", B"00110011", B"11110101", B"00011100",
B"11111101", B"11101000", B"01000101", B"00101011", B"11111000",
B"00010110", B"11011010", B"11100110", B"11100100", B"11010110",
B"00000011", B"11010111", B"00010111", B"00110000", B"00011000",
B"11101011", B"00111001", B"00010111", B"11110110", B"11111110",
B"11111100", B"00010011", B"00100010", B"11100110", B"00001111",
B"00010110", B"11111011", B"11101001", B"00000110", B"11110111",
B"00100110", B"00111101", B"11010010", B"11011010", B"11010001",
B"11001110", B"11010000", B"00110010", B"11011110", B"11011001",
B"11011111", B"11011100", B"00001111", B"11000011", B"00100011",
B"11011111", B"11011110", B"00011000", B"11011000", B"01010111",
B"11111000", B"11101000", B"00010010", B"00101001", B"10111110",
B"00110001", B"11100101", B"11100010", B"11000110", B"11101110",
B"00101001", B"00100110", B"00001011", B"00101001", B"00000110",
B"11101011", B"00111110", B"00110100", B"11101110", B"00100100",
B"00111100", B"11010100", B"00100010", B"00110010", B"11001110",
B"10111101", B"00100101", B"11010100", B"00010110", B"00011011",
B"00011010", B"11110001", B"00110111", B"11010111", B"11100101",
B"11010111", B"00000100", B"00000100", B"00101100", B"00011101",
B"00101101", B"00010000", B"00010001", B"11100011", B"00000011",
B"00011101", B"11100010", B"11010010", B"11001101", B"00000001",
B"11000100", B"11101110", B"00110101", B"11111010", B"11100010",
B"11010000", B"00011111", B"11111110", B"11010111", B"00100011",
B"11110000", B"11100001", B"00111100", B"10111110", B"11101101",
B"10111000", B"11001011", B"11101000", B"11011110", B"11001100",
B"00101110", B"00001001", B"11000010", B"00011100", B"11110011",
B"00100001", B"11100111", B"00110000", B"00110001", B"11101001",
B"00100110", B"00010010", B"11010010", B"00111111", B"00001110",
B"11010000", B"11100111", B"01000011", B"00010011", B"11101011",
B"00001110", B"11101001", B"11110010", B"00011010", B"00101001",
B"00101000", B"00110010", B"11101100", B"11000010", B"00101100",
B"00001001", B"00001011", B"11101110", B"00101010", B"11011010",
B"11111110", B"11100111", B"00010111", B"11011010", B"11011101",
B"00000100", B"11110101", B"00111000", B"11010111", B"11110001",
B"00101000", B"00110001", B"00010001", B"01010010", B"00101111",
B"01001111", B"00111101", B"00000010", B"11100011", B"00001110",
B"01010011", B"11101011", B"11100100", B"10111110", B"11100100",
B"11110010", B"11011000", B"11001010", B"00110111", B"11011010",
B"00110110", B"10111100", B"10110100", B"00110110", B"11110010",
B"00101011", B"00101100", B"00001010", B"01010101", B"00100001",
B"00001100", B"00100001", B"00000000", B"11100100", B"11111001",
B"11001101", B"00110001", B"00101100", B"00100100", B"00110011",
B"00010110", B"00010100", B"11001110", B"11110101", B"11111011",
B"00101000", B"11010110", B"11101101", B"11010110", B"00101011",
B"00100111", B"00110011", B"11111110", B"01000101", B"11010000",
B"11100001", B"11100100", B"00010000", B"11100001", B"11001111",
B"11100101", B"00110010", B"00110110", B"11100101", B"00100010",
B"00000101", B"01000101", B"11011101", B"01000000", B"11011111",
B"00101100", B"11111101", B"00101110", B"11111101", B"00100101",
B"11011010", B"11110011", B"11001101", B"00101101", B"11110011",
B"00101101", B"00101110", B"00100000", B"11111010", B"11101011",
B"11000001", B"00101111", B"11010010", B"11111011", B"11111110",
B"11010110", B"01001111", B"01000011", B"11111010", B"00111100",
B"10100111", B"11101000", B"11010011", B"00000110", B"00001000",
B"00100010", B"00111110", B"11010110", B"11110101", B"00101000",
B"10100110", B"00111101", B"11001010", B"11110001", B"11100001",
B"00110100", B"00000100", B"10111010", B"11010100", B"11111011",
B"00101111", B"00100110", B"11111111", B"00011010", B"11001111",
B"00001000", B"00001101", B"11101010", B"00111011", B"11001110",
B"11110100", B"11001010", B"11101001", B"00111100", B"00101011",
B"11100000", B"11100010", B"00100010", B"00101011", B"11011101",
B"00010011", B"11110101", B"11101111", B"00011111", B"00000100",
B"11111001", B"00010100", B"01001010", B"11000110", B"00001110",
B"11111001", B"01001000", B"11101011", B"11011010", B"11011100",
B"11110100", B"00111110", B"00100001", B"11010011", B"11010010",
B"11000110", B"11101110", B"00010111", B"00100001", B"00000110",
B"11111001", B"11111101", B"11101000", B"00001110", B"11110001",
B"11011111", B"00011110", B"11101100", B"00101101", B"11100101",
B"00100110", B"11011000", B"11011101", B"11011100", B"00000000",
B"11111111", B"00110110", B"00011111", B"11010100", B"10010111",
B"00011110", B"11111101", B"11111011", B"11111110", B"11010000",
B"11111111", B"11011010", B"11011001", B"11110011", B"11110101",
B"00101011", B"11001011", B"00010001", B"11011101", B"00010011",
B"00101111", B"00001010", B"00111100", B"00101101", B"11001100",
B"11111001", B"11011010", B"00001000", B"00101010", B"01000011",
B"11101101", B"00111000", B"11101110", B"00010101", B"00001111",
B"11111000", B"00011110", B"11010100", B"11011101", B"11010111",
B"11111011", B"11001001", B"11101010", B"00011100", B"00011101",
B"00000110", B"00010100", B"11101001", B"11010001", B"11001001",
B"00101010", B"11101111", B"00001000", B"10101001", B"11111110",
B"11110110", B"00100001", B"00010111", B"11100011", B"11010001",
B"10110110", B"11010100", B"00110011", B"11010111", B"00110111",
B"11010111", B"11011011", B"11001111", B"11001111", B"01000111",
B"00001111", B"11111101", B"00010001", B"11100110", B"11110110",
B"00101110", B"00101110", B"00100011", B"00111111", B"10111000",
B"11011111", B"00101001", B"00101001", B"11100111", B"11111010",
B"00010111", B"00011001", B"11010100", B"10111010", B"11000101",
B"00110111", B"11100001", B"00000011", B"10110010", B"00110110",
B"01010111", B"11100100", B"11001111", B"11001100", B"00100011",
B"00000000", B"00011000", B"11100101", B"11000000", B"00100111",
B"11010010", B"11100110", B"01000100", B"11010100", B"00011111",
B"11100100", B"11101101", B"00001100", B"11010010", B"00001001",
B"10111001", B"00000100", B"11001111", B"11111011", B"00011110",
B"11010111", B"11101001", B"00011100", B"00000011", B"00000011",
B"11001101", B"00101110", B"00100111", B"11110001", B"11011100",
B"11010101", B"00100000", B"00000111", B"01000000", B"00110111",
B"11001110", B"11010111", B"00110100", B"00001000", B"00111101",
B"11001001", B"11010100", B"11001100", B"00010101", B"01000011",
B"00101111", B"11111001", B"00000111", B"11011001", B"00101001",
B"11001110", B"00100011", B"11110100", B"00000011", B"00000001",
B"00000011", B"00001100", B"10101101", B"00010100", B"11101011",
B"00101111", B"00010000", B"11101000", B"00011000", B"11000101",
B"00010011", B"11110001", B"11101100", B"00010111", B"11001000",
B"11100110", B"01000001", B"00100110", B"00011001", B"00100001",
B"11010100", B"00010011", B"11001110", B"11011101", B"00011100",
B"01001111", B"00100100", B"00101101", B"11011011", B"11011010",
B"00010111", B"00101010", B"00000011", B"11011100", B"00100111",
B"11100100", B"00000001", B"00001111", B"00010110", B"11100110",
B"01000000", B"11110111", B"00001001", B"10110011", B"11100100",
B"11110101", B"11001100", B"11100101", B"11110001", B"01001111",
B"00100000", B"11011010", B"00010111", B"11101100", B"11110101",
B"00000001", B"00001011", B"11001110", B"11010011", B"11010011",
B"00100001", B"01000011", B"00100111", B"11001101", B"11101001",
B"00101101", B"11100010", B"11110101", B"00001010", B"11100100",
B"00100101", B"00101100", B"10011111", B"00101011", B"00101110",
B"11001000", B"11111011", B"00110000", B"10110111", B"00100101",
B"00111010", B"00010010", B"11100001", B"00011100", B"11001101",
B"00111111", B"11001111", B"11111111", B"00011101", B"00011010",
B"00100011", B"00101011", B"11101010", B"11010111", B"11101111",
B"11100011", B"00100110", B"10111111", B"11111100", B"11010010",
B"11010000", B"00111110", B"11111101", B"11111011", B"00010001",
B"11000011", B"11011000", B"11100000", B"00100011", B"00011000",
B"11110101", B"00000110", B"00100110", B"11010111", B"11110000",
B"11101111", B"11100001", B"11101010", B"11111110", B"00000110",
B"11100111", B"00101110", B"11110100", B"11011110", B"11000000",
B"11101100", B"11100000", B"00101101", B"00000011", B"00100101",
B"11100010", B"00011110", B"11101010", B"00101000", B"11100011",
B"00111000", B"11001100", B"11000001", B"11011111", B"00010000",
B"00110100", B"11011011", B"00010001", B"11011010", B"11101110",
B"00111000", B"11100001", B"01000101", B"00110000", B"11101100",
B"00011101", B"11101011", B"01000011", B"11011101", B"11111010",
B"10110010", B"00110001", B"00000000", B"00000111", B"11101111",
B"11110101", B"00010011", B"11110001", B"00111001", B"00110010",
B"11100110", B"11000101", B"11101110", B"00010111", B"11010010",
B"00111100", B"00110110", B"00001100", B"01000100", B"10100010",
B"11010110", B"11100100", B"00100001", B"00100111", B"11101010",
B"01000010", B"11101100", B"11010100", B"00001001", B"00110000",
B"00101010", B"11101100", B"00011110", B"00000010", B"00101101",
B"11100111", B"00110011", B"01001010", B"00001100", B"11000010",
B"11111111", B"11100101", B"00111100", B"00100100", B"00010101",
B"01001111", B"00111111", B"11111000", B"00101000", B"00010111",
B"00010001", B"00100010", B"11111000", B"00011000", B"11010010",
B"00101001", B"10101101", B"00000010", B"11011000", B"11000101",
B"11100010", B"00000111", B"00110011", B"00010110", B"00100001",
B"10011110", B"10111110", B"00000011", B"11110101", B"00011110",
B"00010111", B"00100101", B"11111101", B"11001001", B"11001111",
B"00010100", B"11100010", B"00101111", B"11000000", B"11111010",
B"11011000", B"00011010", B"00100011", B"11101110", B"00101001",
B"00100100", B"11100110", B"11101000", B"10111101", B"00010110",
B"11011001", B"11000010", B"00101001", B"11111000", B"11100100",
B"11000010", B"11111000", B"11101100", B"11001110", B"11011000",
B"11110111", B"01000011", B"11110100", B"11010111", B"11010010",
B"11010111", B"01001101", B"00110011", B"00110011", B"00000101",
B"01010100", B"00011111", B"00100000", B"00100000", B"00011101",
B"01010001", B"00001101", B"11000110", B"00101111", B"00111010",
B"11010101", B"11000010", B"00110101", B"11101011", B"11111110",
B"00100101", B"11001001", B"11011110", B"11101101", B"11000010",
B"11100011", B"00000011", B"00111111", B"00000100", B"11000011",
B"11110010", B"11110100", B"00011100", B"10111000", B"11111011",
B"00101100", B"00001001", B"11110101", B"11010111", B"10111000",
B"11011000", B"11111111", B"00010110", B"11011001", B"11011100",
B"11101011", B"11010110", B"00100110", B"10110110", B"11110101",
B"11000111", B"11000001", B"11011110", B"11011110", B"00011101",
B"00011110", B"00011110", B"11111101", B"11010100", B"11110110",
B"00001111", B"11010110", B"00101100", B"11101010", B"11010100",
B"00011110", B"00110011", B"00011010", B"00111101", B"11101001",
B"00010000", B"11100101", B"11110111", B"11001011", B"00011010",
B"00101111", B"11000110", B"00010111", B"00011011", B"11101110",
B"11010010", B"00100001", B"00010001", B"00111101", B"11001000",
B"11100011", B"00000111", B"00110011", B"11101100", B"11001000",
B"00011010", B"00100100", B"11101111", B"00010101", B"00101001",
B"11110001", B"11110110", B"00010110", B"00110100", B"00100000",
B"00010111", B"11010100", B"00101100", B"11011011", B"00110011",
B"11011111", B"00100001", B"11101110", B"11001111", B"11110100",
B"11110100", B"11100010", B"11100110", B"11110101", B"11110110",
B"11110111", B"11111111", B"11101001", B"00010010", B"00010110",
B"00011101", B"00110101", B"00110100", B"00010000", B"11111011",
B"11111111", B"00101010", B"11111000", B"00000100", B"11111100",
B"00011111", B"00101011", B"00111000", B"11010000", B"11111000",
B"11001111", B"11111110", B"11100000", B"11011110", B"00110100",
B"00000111", B"00100110", B"11101010", B"00101010", B"00000100",
B"00010101", B"11101000", B"00110010", B"11011110", B"10111110",
B"11010000", B"00010110", B"11101011", B"11011000", B"11111010",
B"00100101", B"11011011", B"00100100", B"11101000", B"11100100",
B"00110000", B"00110010", B"00100110", B"11111000", B"00100111",
B"01000000", B"10110000", B"01000101", B"00000000", B"00000011",
B"11100101", B"00011010", B"00011011", B"11011011", B"11101000",
B"00000010", B"11011010", B"11011010", B"00100110", B"11000010",
B"01010001", B"00110000", B"11100011", B"00010000", B"00100100",
B"11100000", B"11010011", B"11111011", B"11100111", B"00011111",
B"00101010", B"11110001", B"00100010", B"11101001", B"11010000",
B"00110101", B"11101000", B"00001110", B"11001010", B"11101010",
B"01000111", B"11111111", B"10101010", B"11111110", B"00010000",
B"01000110", B"11111110", B"00100001", B"00001000", B"00111000",
B"11110100", B"11100001", B"00101111", B"00010111", B"00001000",
B"00001101", B"11000010", B"00000011", B"00001111", B"00110000",
B"11100110", B"00111101", B"00111111", B"11100011", B"00000011",
B"00110101", B"00010111", B"11100111", B"00101100", B"01000010",
B"11110100", B"00000011", B"11000101", B"11101010", B"00101100",
B"11010000", B"00010011", B"11110001", B"11100001", B"11101001",
B"00000100", B"00101111", B"11011001", B"11010100", B"00101110",
B"00100111", B"00001001", B"00100110", B"11110001", B"10111101",
B"11010010", B"11000111", B"00000001", B"11101100", B"00011110",
B"01000101", B"00110110", B"00001111", B"00100011", B"11010110",
B"00111101", B"00001111", B"11000010", B"00010000", B"00101110",
B"11001001", B"11001101", B"01011010", B"11011001", B"11110010",
B"11111010", B"00101001", B"00001011", B"11110010", B"00011100",
B"00011101", B"00101010", B"11111110", B"00100001", B"00011110",
B"11110100", B"00100100", B"00010000", B"11010101", B"00010110",
B"11011010", B"11110000", B"11000101", B"00010101", B"00111101",
B"00100111", B"11000001", B"11010001", B"11000111", B"11010110",
B"01001101", B"11001010", B"00101101", B"00011100", B"00000110",
B"00100001", B"11011110", B"00111111", B"11001010", B"01000010",
B"00000000", B"00110001", B"11010010", B"11100111", B"01000010",
B"11011000", B"00000000", B"11011110", B"11011000", B"11111111",
B"11101111", B"00100011", B"11010000", B"11100001", B"11101011",
B"00001100", B"00111001", B"00110011", B"11000011", B"11100011",
B"00100100", B"11111100", B"11010111", B"11001111", B"11011001",
B"11010110", B"00010001", B"11001001", B"00001000", B"11100011",
B"00110010", B"00101111", B"11101010", B"11011000", B"11100111",
B"11001111", B"00000101", B"00000100", B"00100000", B"00000100",
B"00111100", B"11101010", B"11001011", B"00000110", B"00000111",
B"00100111", B"11111100", B"11100000", B"00010101", B"00010111",
B"11110111", B"11110111", B"11100010", B"00000111", B"00100000",
B"00010111", B"00101110", B"00010110", B"00011110", B"11101100",
B"11111111", B"11101111", B"11010111", B"00111110", B"01010111",
B"00101011", B"11110001", B"11010100", B"00010111", B"11100100",
B"11111011", B"11000100", B"11100101", B"00010010", B"00011001",
B"11000011", B"11100110", B"11111100", B"00100001", B"11110010",
B"10111010", B"00001010", B"01000001", B"00011000", B"11111111",
B"00110111", B"00001110", B"00100111", B"00001101", B"00001010",
B"00101110", B"00101111", B"11111110", B"00000011", B"11110011",
B"00001011", B"11000100", B"00011111", B"11110010", B"00100111",
B"11011110", B"11010011", B"11100010", B"00111100", B"00010100",
B"11101010", B"11111011", B"01100000", B"11101001", B"11010100",
B"11011110", B"11101011", B"11010110", B"10110111", B"00011100",
B"00100100", B"11100110", B"11111001", B"11010011", B"11010010",
B"11110001", B"11110000", B"00100000", B"00110110", B"11010101",
B"00000010", B"10010011", B"11011111", B"11100001", B"00011111",
B"00011100", B"00011000", B"11101101", B"00001000", B"11110100",
B"00001001", B"11011010", B"00001111", B"11110010", B"00011001",
B"00010100", B"00010011", B"00000110", B"11110001", B"11101111",
B"11101101", B"00110100", B"11001100", B"00011101", B"11101101",
B"11101011", B"01000010", B"00011100", B"01001101", B"11110010",
B"11011000", B"01000011", B"11001111", B"10111111", B"11100100",
B"11100100", B"00100011", B"00001110", B"11001111", B"11101001",
B"00010011", B"01001011", B"11010000", B"10111101", B"10111010",
B"11011011", B"11100001", B"10111101", B"00110000", B"00001011",
B"00000110", B"00011011", B"00001110", B"00011001", B"11010111",
B"00001110", B"01000000", B"11111101", B"11001011", B"00100000",
B"11000101", B"00011101", B"00100101", B"11000111", B"11101011",
B"11101001", B"11110010", B"11011010", B"11001000", B"11101001",
B"11010111", B"11111100", B"00100000", B"11100011", B"00111100",
B"11010111", B"11000011", B"11101100", B"11010010", B"11011111",
B"00100011", B"00010101", B"00101010", B"00100111", B"00110001",
B"00100000", B"00111101", B"00010010", B"00101001", B"00101011",
B"01001111", B"00000111", B"11100011", B"00000110", B"11100000",
B"11001111", B"11010010", B"00001111", B"01000001", B"11100001",
B"00000110", B"11001000", B"00000000", B"00010000", B"11001100",
B"11011110", B"11001101", B"11100100", B"11100100", B"00110100",
B"00100111", B"11010000", B"00100100", B"11001001", B"11010001",
B"10100110", B"11011001", B"11011111", B"01000110", B"11110100",
B"00101101", B"11100010", B"11000101", B"00111101", B"00000110",
B"00000111", B"11110001", B"11010001", B"00001111", B"00100010",
B"11010100", B"00000100", B"00001101", B"00011011", B"11101000",
B"00010011", B"00110001", B"11110001", B"00000100", B"00010010",
B"11010001", B"10100001", B"00110010", B"00001010", B"00000111",
B"00100100", B"11110001", B"11110000", B"11111110", B"11010101",
B"00011000", B"11011110", B"00111000", B"11011010", B"11001111",
B"00101011", B"11110000", B"01010001", B"00101001", B"00001110",
B"11101001", B"00101000", B"11010101", B"00010010", B"00110101",
B"00000111", B"00110100", B"11101000", B"00110000", B"11111000",
B"00011111", B"11101100", B"00011000", B"00010110", B"11110101",
B"11010100", B"01001100", B"00010010", B"11111010", B"11101011",
B"00100101", B"11101010", B"00100101", B"11001000", B"11100100",
B"11010100", B"00101100", B"00100010", B"00001010", B"00001000",
B"11100111", B"00111001", B"11001011", B"11011110", B"11101111",
B"00011000", B"11100010", B"00011101", B"00001010", B"11000001",
B"11100001", B"11111011", B"11100110", B"10111101", B"00010001",
B"11011101", B"11110001", B"11011001", B"11000111", B"11110011",
B"11011001", B"00001111", B"11011010", B"00101100", B"11101101",
B"00001000", B"11110100", B"11010100", B"00001011", B"00101101",
B"00011100", B"00110101", B"11011001", B"00010111", B"00011110",
B"11011100", B"11100100", B"00100010", B"11011001", B"00100101",
B"00001101", B"00101001", B"10100101", B"00111001", B"11100000",
B"00011101", B"00111100", B"11001101", B"00010110", B"00011101",
B"11110011", B"11110100", B"00111001", B"11100101", B"11011011",
B"11010001", B"11100101", B"11101000", B"00111111", B"00010101",
B"00100010", B"00110010", B"00100101", B"00101101", B"11101110",
B"00101011", B"00001100", B"11110110", B"11001110", B"00000110",
B"00100000", B"00100001", B"00011010", B"00101100", B"11111001",
B"01101001", B"11110111", B"00010110", B"00011110", B"00011100",
B"11100111", B"11101001", B"01000001", B"00011001", B"11110011",
B"11111010", B"11111111", B"00111001", B"11010100", B"00001000",
B"11111000", B"11010000", B"00011101", B"00001001", B"00001001",
B"00110001", B"11010000", B"00100000", B"11011001", B"11010001",
B"00011000", B"00101010", B"11100001", B"11100010", B"00000111",
B"11001001", B"00101010", B"11000110", B"11101100", B"00000111",
B"00001110", B"11000111", B"11000111", B"11111001", B"11010010",
B"00101001", B"11101000", B"00111110", B"11011000", B"00010010",
B"11011111", B"00000000", B"11001011", B"00100100", B"01001000",
B"11001001", B"00100010", B"00100000", B"11100010", B"11101011",
B"00010010", B"00010110", B"11100111", B"11011000", B"00110001",
B"11100111", B"01010111", B"11111111", B"11000101", B"00101100",
B"00101000", B"11010000", B"11110100", B"00000000", B"11011100",
B"11010000", B"00011100", B"00111001", B"00100111", B"00010001",
B"11001000", B"11101010", B"11101000", B"00100111", B"00010101",
B"00000011", B"00011101", B"11100001", B"11111111", B"00010111",
B"11010011", B"11100101", B"11101110", B"00100100", B"11100000",
B"00110010", B"00111000", B"11010010", B"11010110", B"11111001",
B"11110100", B"00100010", B"11001111", B"00100100", B"00101011",
B"11111011", B"11100110", B"00000011", B"11110101", B"11100011",
B"11110010", B"01000111", B"00101101", B"00001100", B"11011000",
B"11000111", B"00011000", B"01000110", B"11001010", B"11011010",
B"00000110", B"11101111", B"11011101", B"11010101", B"11101111",
B"00011010", B"11101001", B"11101010", B"11100110", B"10011001",
B"00111001", B"01000001", B"00001101", B"00110100", B"11100110",
B"00001010", B"00111100", B"00101010", B"11011010", B"00101010",
B"00010010", B"11001001", B"11010111", B"00111100", B"00101100",
B"00000001", B"00110010", B"11001110", B"11000101", B"10111000",
B"00011111", B"00101000", B"11100101", B"11111000", B"11011001",
B"00010001", B"00001011", B"00000010", B"00011110", B"00010011",
B"00100000", B"10110110", B"11011100", B"00001101", B"11001111",
B"11001101", B"11100111", B"11001101", B"11010111", B"11100011",
B"11011100", B"11001000", B"00000000", B"00001010", B"00101001",
B"00011101", B"11010011", B"11111000", B"00100110", B"11011100",
B"00110000", B"11010001", B"11101010", B"00101110", B"00110000",
B"11100111", B"00011010", B"11100000", B"00000100", B"10111110",
B"00100011", B"00001011", B"11111011", B"11010101", B"00111000",
B"00011101", B"00101001", B"00011101", B"11010100", B"11011100",
B"11010011", B"00011111", B"11011111", B"10110110", B"11101110",
B"00010111", B"11111111", B"10111101", B"11010000", B"00011010",
B"00110100", B"11010100", B"11001110", B"00110000", B"00110001",
B"11100011", B"11111000", B"00010100", B"11101011", B"11000100",
B"11010111", B"00100110", B"01001001", B"11101010", B"11100001",
B"11010010", B"00000100", B"00111110", B"00010110", B"10111110",
B"00000111", B"11110101", B"00011101", B"11100000", B"00100000",
B"00100101", B"00001110", B"00001100", B"00100011", B"11010010",
B"00100011", B"11100100", B"11110000", B"10101101", B"11101100",
B"00111000", B"11001101", B"11101111", B"00101001", B"00101101",
B"11110010", B"01000110", B"00001101", B"11000011", B"11100101",
B"00011111", B"11000110", B"11100011", B"00100100", B"00001000",
B"00100001", B"00010011", B"11001011", B"11010101", B"11111011",
B"11100010", B"00011001", B"11101011", B"00011001", B"11100111",
B"11100110", B"00000111", B"11001111", B"00111001", B"11101010",
B"00100110", B"11011010", B"00111000", B"11100010", B"00000011",
B"00100000", B"00111011", B"00010111", B"00101011", B"00001000",
B"00100111", B"00010001", B"00100010", B"00111000", B"11110000",
B"11001010", B"00011101", B"11010100", B"11101111", B"11100101",
B"10110010", B"11011011", B"00000110", B"11110001", B"11111101",
B"11011000", B"11100010", B"00000110", B"11110000", B"00010010",
B"00011110", B"11010000", B"11110101", B"00011101", B"11110111",
B"10100110", B"00010001", B"00100110", B"00010111", B"00101111",
B"11011000", B"00010001", B"11011010", B"01000011", B"11010010",
B"11000111", B"11110000", B"00000001", B"11001111", B"00110011",
B"00000000", B"00100010", B"00111101", B"00010110", B"00100101",
B"11100011", B"00100110", B"00111011", B"11111010", B"11101000",
B"00010001", B"11101111", B"11110101", B"00010011", B"11111100",
B"01000100", B"00011111", B"11110101", B"01001111", B"00100000",
B"11111011", B"00001111", B"11101111", B"00011110", B"10110000",
B"00011110", B"11010010", B"00000100", B"10111110", B"01000001",
B"10111111", B"11101010", B"11010100", B"00111110", B"00011101",
B"00011011", B"11110100", B"11001110", B"00010010", B"10011101",
B"00101110", B"11110000", B"00000000", B"11011110", B"00001101",
B"00001101", B"00100001", B"11000011", B"00001011", B"11100011",
B"11111001", B"10110010", B"00100101", B"11100100", B"00000101",
B"10100111", B"11000100", B"00100111", B"01000001", B"11111111",
B"01100101", B"00001100", B"11111010", B"00101011", B"00111111",
B"11111100", B"00011111", B"11111011", B"00000110", B"11100000",
B"00100100", B"01100001", B"11010010", B"00010000", B"11010000",
B"11011100", B"11010010", B"11111100", B"11001010", B"00100010",
B"00100011", B"00111000", B"00011101", B"11010011", B"11101110",
B"11101011", B"11110110", B"01000010", B"00010010", B"00111000",
B"00010010", B"10110100", B"11010001", B"11011000", B"11100000",
B"00001010", B"01000100", B"00011000", B"00110101", B"11001100",
B"00010110", B"11000110", B"11011110", B"11101001", B"00001001",
B"00001000", B"11100010", B"10111110", B"11010101", B"11100100",
B"00000000", B"00101010", B"11110001", B"00100011", B"11011011",
B"00001100", B"00011001", B"11100001", B"11111111", B"11100111",
B"00011010", B"11101101", B"11111101", B"11010001", B"11101111",
B"11111111", B"11101010", B"11101111", B"00011011", B"00001010",
B"00001110", B"11111110", B"00101101", B"00010101", B"11101011",
B"00010001", B"11100000", B"00011010", B"11011111", B"11101001",
B"01010000", B"00010011", B"11110001", B"11011110", B"11100110",
B"11001111", B"11001110", B"00011110", B"00001101", B"00010110",
B"11111001", B"11111001", B"11010010", B"00101101", B"00100111",
B"00111110", B"00011001", B"11101010", B"11000011", B"00111101",
B"00000001", B"11110110", B"10101110", B"11111111", B"00001100",
B"00010110", B"11010110", B"00111101", B"11010101", B"00001000",
B"11011011", B"00110100", B"11011101", B"11111000", B"00011110",
B"11010011", B"11011000", B"11011111", B"11100111", B"11101010",
B"00100011", B"11100001", B"11111010", B"11011111", B"00000100",
B"11111001", B"11101111", B"00110010", B"00111010", B"11000010",
B"11110100", B"00010011", B"11010000", B"10111010", B"00000101",
B"00011111", B"11011011", B"11011011", B"11000111", B"11100110",
B"00010011", B"11100011", B"00110111", B"10111000", B"11100100",
B"11111111", B"10111101", B"00101001", B"00101111", B"00011010",
B"00011010", B"11010110", B"11000110", B"00100100", B"11011011",
B"00100011", B"00001100", B"11011100", B"00100100", B"11101001",
B"11110110", B"11010100", B"00110110", B"00110000", B"11100011",
B"00101001", B"10111111", B"00010010", B"11011000", B"11001111",
B"11111100", B"00010001", B"11010001", B"11111001", B"11000100",
B"11100111", B"00101111", B"11011001", B"00001001", B"11110000",
B"11100101", B"00101110", B"00101111", B"11010111", B"11000110",
B"11110111", B"00001111", B"01001100", B"11110111", B"01000110",
B"11101000", B"11111010", B"11110011", B"00010010", B"00100010",
B"00000111", B"00110100", B"11101001", B"11101111", B"11010011",
B"11100001", B"00100110", B"00010100", B"11111010", B"11111000",
B"11000000", B"11100100", B"11101110", B"11100100", B"10111101",
B"00101100", B"00100010", B"11111000", B"00001111", B"00000100",
B"00000000", B"11110011", B"11100111", B"11001010", B"00011111",
B"00001011", B"00011101", B"00100000", B"00001010", B"00101000",
B"00111110", B"11010011", B"11111100", B"11010100", B"00110001",
B"11110100", B"11101111", B"00101001", B"11001111", B"00100000",
B"11010110", B"11011010", B"00111101", B"01010100", B"00101110",
B"11100111", B"00001010", B"11001101", B"11100011", B"00010000",
B"10111110", B"00000111", B"00101010", B"11100100", B"10111110",
B"11110001", B"10101010", B"00010110", B"11000111", B"00011011",
B"11010101", B"00101111", B"11010111", B"11100111", B"11101110",
B"00111110", B"11110111", B"10111111", B"11100100", B"00011100",
B"00010111", B"00000001", B"11100110", B"00011110", B"11001110",
B"00010110", B"11110101", B"00100101", B"10111010", B"11011101",
B"11101110", B"00110000", B"00001111", B"11011110", B"00111010",
B"00010101", B"00110111", B"00111100", B"00100000", B"00100110",
B"01001000", B"00000011", B"00011100", B"11111110", B"11110111",
B"11000000", B"00101001", B"00001010", B"11010111", B"11110100",
B"00000011", B"11011111", B"00001101", B"00001100", B"10111000",
B"00000111", B"01000100", B"11010011", B"11001000", B"00101110",
B"00110011", B"11101110", B"11011010", B"00000111", B"11100011",
B"11110000", B"00100111", B"11011110", B"11100011", B"00011101",
B"11100111", B"11011111", B"11001010", B"11101101", B"00110000",
B"00010111", B"11110011", B"00111101", B"01000110", B"00110011",
B"11001110", B"00011001", B"11001010", B"01001001", B"00001000",
B"00101010", B"11000010", B"00100000", B"11011111", B"00110001",
B"00111001", B"00000011", B"00011110", B"00010001", B"00100110",
B"11110001", B"00000100", B"10111000", B"11100011", B"11110101",
B"00101111", B"11000010", B"00100110", B"11000001", B"11000111",
B"00101110", B"11101110", B"11010010", B"01000001", B"11000100",
B"00010001", B"11101000", B"11101010", B"00101000", B"10111101",
B"00101010", B"11011001", B"01100001", B"11100011", B"11101101",
B"00100011", B"00010011", B"00110101", B"11011100", B"00101000",
B"11101011", B"00010101", B"11011001", B"00111100", B"11011001",
B"11101010", B"11101001", B"00100001", B"00101010", B"00111000",
B"00111001", B"10110110", B"11011000", B"00111001", B"00101001",
B"00001101", B"00000111", B"00100100", B"01000000", B"11100000",
B"00011000", B"11100011", B"10111111", B"00111011", B"11011101",
B"00110110", B"10110111", B"00010000", B"00100010", B"00010000",
B"00011101", B"11110000", B"00011111", B"01001100", B"10100011",
B"11111011", B"00010101", B"11111111", B"11001001", B"00000101",
B"11100010", B"00111101", B"00101000", B"00111001", B"01000001",
B"11000101", B"00101001", B"00001001", B"11100101", B"11001110",
B"11101110", B"10111010", B"10111111", B"11000011", B"11101000",
B"00011000", B"00111001", B"10111111", B"00000110", B"11010101",
B"00000110", B"00101010", B"11110010", B"00010101", B"01010001",
B"11111000", B"00110111", B"00010010", B"11110110", B"11010000",
B"11101101", B"11010010", B"10111111", B"00111010", B"00100010",
B"11110101", B"00011110", B"00101001", B"11010111", B"11110001",
B"11001110", B"11011010", B"00011111", B"11111010", B"00011001",
B"11010001", B"00101110", B"11110001", B"11100111", B"11101011",
B"00010010", B"00100100", B"11101101", B"00110001", B"11010011",
B"11011101", B"00010001", B"10110111", B"11001101", B"11000011",
B"11011001", B"00011010", B"01000110", B"00110000", B"01000011",
B"01000001", B"00001000", B"00010111", B"00101101", B"00111010",
B"01000101", B"00000000", B"00000011", B"00110110", B"11001000",
B"00000001", B"11010100", B"00111010", B"00001001", B"11001010",
B"01000011", B"00100001", B"11100011", B"11011101", B"01001010",
B"11011111", B"11111001", B"00010010", B"11100000", B"00001100",
B"11000011", B"10101011", B"00101101", B"00000011", B"11100011",
B"00000111", B"11010101", B"11101101", B"11001111", B"11011101",
B"11101111", B"00010111", B"11001000", B"00000100", B"11111111",
B"11011001", B"11100110", B"11101010", B"11011111", B"00011000",
B"11100011", B"00011100", B"11101110", B"11100111", B"11011000",
B"01001110", B"00010111", B"00010110", B"11101100", B"11000001",
B"11001000", B"00100000", B"00100000", B"00111101", B"00100011",
B"00101111", B"00010100", B"11011001", B"11101001", B"00000000",
B"00111111", B"00010001", B"11110011", B"11011111", B"11010111",
B"11001100", B"00100111", B"00010000", B"11100000", B"01001101",
B"11101101", B"00010110", B"11010101", B"00100010", B"11011011",
B"11001111", B"11101010", B"00110100", B"00010010", B"11110101",
B"00010000", B"11110101", B"00111001", B"11100001", B"11100111",
B"00101000", B"00001101", B"11010010", B"00011101", B"11111011",
B"00100010", B"01010110", B"00111101", B"11100000", B"11100000",
B"11110111", B"11001100", B"00010010", B"11000101", B"11010011",
B"11110101", B"11110011", B"00011111", B"11011100", B"11100001",
B"01000010", B"00010111", B"00101111", B"00110011", B"11101010",
B"11011111", B"00001101", B"11000110", B"11100010", B"00100011",
B"11011011", B"11010001", B"11000001", B"11001000", B"11110111",
B"00010101", B"11110010", B"00000111", B"00011110", B"11110001",
B"11001110", B"11110111", B"00111001", B"00111110", B"00101101",
B"11110000", B"00110011", B"11001100", B"00111100", B"11000100",
B"11111101", B"00110011", B"00111010", B"00010100", B"00101011",
B"00000101", B"00101110", B"00101011", B"11010000", B"11100111",
B"01101101", B"11011101", B"11110110", B"11000001", B"10111000",
B"00001000", B"11110011", B"00101101", B"00110010", B"11101000",
B"11011000", B"10111101", B"10111010", B"11110010", B"00111100",
B"11111001", B"00101111", B"11111000", B"00010000", B"11010110",
B"00100001", B"11101101", B"00110001", B"11011111", B"01010100",
B"01001001", B"00001000", B"00100100", B"11111011", B"10110011",
B"11100110", B"11111001", B"11101100", B"00000111", B"00001110",
B"11001101", B"00011100", B"10111111", B"10110011", B"00110010",
B"00110000", B"00011000", B"10101010", B"00011001", B"11100111",
B"00001110", B"00010011", B"11110100", B"11100001", B"00100111",
B"11100011", B"11101001", B"11110011", B"11000100", B"11000011",
B"11011100", B"00100111", B"00001100", B"00100011", B"00011000",
B"11001011", B"11010110", B"11001001", B"00100010", B"00110100",
B"00001100", B"11011100", B"00111110", B"11011110", B"00000110",
B"00000100", B"11100101", B"00010101", B"00011001", B"00011101",
B"00100100", B"11001010", B"11011110", B"00111110", B"11110010",
B"11100111", B"11101000", B"11101000", B"00111001", B"11110100",
B"00011001", B"11111010", B"00110010", B"00110110", B"11010110",
B"11101111", B"11110100", B"11011010", B"11010101", B"11001010",
B"00010001", B"01010000", B"11101011", B"10110110", B"11001100",
B"11110010", B"11011001", B"00010001", B"11100010", B"11000000",
B"00100001", B"00001001", B"00001111", B"11011000", B"11010100",
B"11100110", B"00001100", B"11100101", B"00000000", B"11110010",
B"11101000", B"00110000", B"00010010", B"00010110", B"00011110",
B"00011110", B"11011011", B"00100110", B"10110110", B"00100000",
B"11100011", B"00000111", B"11100101", B"11000111", B"00001100",
B"11011111", B"11110100", B"11010101", B"11111110", B"01000111",
B"00000010", B"00010001", B"11101101", B"00100011", B"11001000",
B"00110100", B"11100101", B"00100100", B"11000101", B"00110111",
B"11111010", B"11101100", B"11001011", B"11111100", B"00111010",
B"11010111", B"00010111", B"00100100", B"01001000", B"10100101",
B"00100010", B"11100010", B"11111000", B"00001000", B"11001000",
B"00010101", B"11101010", B"11011000", B"11011011", B"00010000",
B"01001100", B"00110101", B"11011100", B"00101101", B"00001010",
B"11011101", B"11110100", B"00111001", B"00101011", B"00000101",
B"11101101", B"10101110", B"11111100", B"11110010", B"00001000",
B"11110011", B"00010011", B"00100011", B"00100001", B"00100111",
B"00110000", B"00110101", B"00111010", B"00000011", B"00001110",
B"00111000", B"11110011", B"11111100", B"11011010", B"11000101",
B"00101011", B"11101011", B"00001101", B"11111000", B"11011110",
B"01000100", B"11000010", B"00010011", B"11010101", B"11100010",
B"00101011", B"00010010", B"00011010", B"11110000", B"00100101",
B"00100110", B"11110111", B"11111111", B"00101001", B"00101111",
B"00010000", B"00110000", B"11100100", B"00111010", B"11101001",
B"11101011", B"11100001", B"00001110", B"11001011", B"00101010",
B"00111100", B"11100000", B"00101001", B"00010011", B"11011111",
B"00100101", B"00010001", B"10111011", B"11010011", B"00100110",
B"11111101", B"11111010", B"11101111", B"10111100", B"11110111",
B"11000110", B"00000001", B"00010010", B"11100100", B"01011011",
B"00010100", B"00100001", B"11101011", B"00001101", B"00100100",
B"11010101", B"00100000", B"00111001", B"11011010", B"11011010",
B"11110010", B"11110000", B"00101001", B"00101101", B"00000000",
B"11111111", B"10111011", B"11101110", B"00100010", B"00111000",
B"00000011", B"11011010", B"00100111", B"11111011", B"00001011",
B"00011010", B"11111101", B"11011011", B"01000010", B"11000011",
B"11111110", B"11000100", B"11110000", B"11010111", B"00110000",
B"00110100", B"11010100", B"00000011", B"11011111", B"11101010",
B"11110100", B"10111100", B"11100001", B"00010101", B"11011001",
B"11101100", B"11000101", B"10111011", B"11111001", B"00110000",
B"11010011", B"11101101", B"00110101", B"11101000", B"00010111",
B"00000000", B"11111001", B"00011111", B"11101110", B"11000111",
B"11001010", B"11100001", B"00100111", B"11100000", B"00101111",
B"11110101", B"00101100", B"11110011", B"00101110", B"11101100",
B"01001110", B"11101100", B"00011001", B"10110110", B"00010100",
B"11010110", B"11010100", B"10111001", B"00011110", B"00001101",
B"11100010", B"00001001", B"00011000", B"11000101", B"00110110",
B"00101100", B"11110000", B"11011110", B"00111111", B"00010011",
B"11101110", B"00000111", B"11100010", B"11001010", B"00001001",
B"10101001", B"01010101", B"00100101", B"00101000", B"11101101",
B"00001000", B"00011100", B"11101001", B"11000111", B"00101100",
B"11110011", B"00101100", B"00110011", B"00010001", B"11100100",
B"00101101", B"11101110", B"00001101", B"00001010", B"11011101",
B"11101111", B"00100000", B"10110111", B"11110110", B"11111000",
B"11001111", B"00110001", B"00101011", B"00010001", B"00110001",
B"00111110", B"00101101", B"00110001", B"00010111", B"11000010",
B"11110111", B"00100011", B"00100000", B"11001011", B"00011100",
B"00001010", B"00111011", B"11110110", B"11100010", B"01100010",
B"00010100", B"11100000", B"11000011", B"00010111", B"01001010",
B"11110000", B"11010011", B"00011110", B"11001000", B"00011110",
B"11011000", B"10110010", B"00111011", B"01000011", B"00000110",
B"11110110", B"00110000", B"00110110", B"11101111", B"11111011",
B"00010000", B"11100010", B"00000100", B"00001110", B"00001000",
B"00111010", B"11111111", B"11100000", B"00010010", B"11011110",
B"00011100", B"11010010", B"00101001", B"11000101", B"10100111",
B"00110101", B"00001010", B"00010111", B"00101110", B"00110001",
B"01000101", B"00010101", B"00001111", B"00010001", B"00100111",
B"11001011", B"11011010", B"11000101", B"11011110", B"00011000",
B"00001111", B"11011100", B"11111111", B"11010001", B"00111110",
B"01001001", B"11010101", B"00000011", B"11000001", B"11011011",
B"10111110", B"00100100", B"00100010", B"00011000", B"00101100",
B"00011010", B"00110111", B"00010010", B"00101011", B"11100001",
B"00010001", B"00001100", B"11011100", B"11110100", B"11111101",
B"00011101", B"11111101", B"00100111", B"11111100", B"00010001",
B"11100001", B"11001111", B"11010011", B"00000010", B"00111010",
B"00001010", B"11101101", B"11011101", B"10111011", B"11010110",
B"00110111", B"11110011", B"11111100", B"11011101", B"00001101",
B"00111011", B"00100111", B"11011100", B"11111010", B"00000000",
B"00000101", B"11100101", B"00000011", B"11011010", B"00011001",
B"00101101", B"00001000", B"11010111", B"10101100", B"00101100",
B"00001110", B"11110111", B"01001100", B"00001000", B"11100001",
B"11000001", B"00100010", B"00000111", B"00001111", B"00010000",
B"10111111", B"00101011", B"10100110", B"00011111", B"11001000",
B"00001110", B"00001011", B"11010010", B"00011000", B"00110111",
B"11010000", B"11011100", B"00000110", B"10111001", B"00110010",
B"11100011", B"00000110", B"11110110", B"00101111", B"00001100",
B"10110001", B"11100000", B"00101100", B"00100011", B"00100100",
B"11111000", B"11111000", B"10110000", B"00000001", B"00001110",
B"00011100", B"11101011", B"00001011", B"00101010", B"11100001",
B"00010100", B"00011010", B"01000001", B"00011010", B"00000011",
B"00011000", B"00100100", B"00010011", B"00011010", B"00110010",
B"00101011", B"11001111", B"00110010", B"01000010", B"00000100",
B"00001000", B"00101110", B"11100011", B"00000001", B"00010011",
B"11010010", B"00011011", B"00101010", B"00000100", B"11101111",
B"00001110", B"00010011", B"00111110", B"00001100", B"11100100",
B"00110111", B"11011100", B"00011111", B"00001010", B"00000101",
B"11011100", B"00110010", B"00101011", B"11010111", B"00010111",
B"00010011", B"11111000", B"11100110", B"00011010", B"11111110",
B"11011001", B"11100110", B"00111001", B"00010100", B"11011010",
B"00100001", B"00001111", B"00110100", B"11101011", B"00111101",
B"11001111", B"00001100", B"11001111", B"11010101", B"00000001",
B"00100101", B"01000001", B"00001010", B"00010101", B"11011101",
B"11101000", B"11101001", B"10111100", B"00101101", B"00001010",
B"00100110", B"00000111", B"11100100", B"11010110", B"11111110",
B"11100110", B"11010001", B"00101101", B"11010000", B"11001010",
B"11011111", B"11001000", B"11001011", B"00100101", B"11110011",
B"10111011", B"11101111", B"11100101", B"11011001", B"11101100",
B"00100110", B"11010100", B"00110101", B"00001100", B"11101111",
B"00100011", B"00111010", B"11001110", B"00101011", B"11101011",
B"00010100", B"11101000", B"11000001", B"11101011", B"00100011",
B"00000100", B"00000001", B"11010011", B"11101100", B"00100001",
B"11110111", B"00000101", B"00110010", B"11000111", B"11011110",
B"00110000", B"11001100", B"11111110", B"11111101", B"11100000",
B"00001010", B"00100110", B"00100011", B"00100011", B"00100100",
B"11011011", B"00100110", B"11001101", B"00100111", B"11011010",
B"00000101", B"11011000", B"11010101", B"00100101", B"11010011",
B"11010011", B"11000111", B"11110100", B"11111000", B"10111110",
B"00001001", B"11110100", B"11111101", B"00010110", B"00111101",
B"11111011", B"11111010", B"11011000", B"11100010", B"00010111",
B"11110011", B"11001010", B"00101100", B"11011101", B"00000001",
B"11100011", B"11101010", B"11111110", B"11110010", B"00010100",
B"11011110", B"00000011", B"11100101", B"11011101", B"10111010",
B"11010011", B"01001010", B"01010000", B"00100110", B"00101101",
B"11101111", B"11011100", B"11110100", B"11110010", B"00011110",
B"11101111", B"00110110", B"11100011", B"00111010", B"00000000",
B"00010101", B"11100100", B"00010101", B"11101100", B"00101000",
B"11010101", B"11100101", B"11011101", B"00000100", B"00110110",
B"11100000", B"00100010", B"11001101", B"11001101", B"00011000",
B"11010011", B"00011010", B"11101101", B"11001111", B"10110000",
B"00011001", B"00111101", B"00101010", B"00001010", B"00110011",
B"01000010", B"00000010", B"00011010", B"00101000", B"01000001",
B"11100001", B"11001011", B"00100101", B"00100110", B"00000101",
B"01000110", B"00000011", B"11100011", B"00011110", B"00101010",
B"11010111", B"11101101", B"00011001", B"00101100", B"11101100",
B"11111000", B"11111000", B"11111011", B"11111011", B"11000100",
B"11001000", B"11110101", B"11110100", B"11100000", B"00101001",
B"11000111", B"00010110", B"11110001", B"11011100", B"11111000",
B"00101101", B"00010110", B"00000111", B"11110110", B"00111010",
B"11011101", B"11010101", B"11101011", B"11110011", B"00111000",
B"11101110", B"11010101", B"00100001", B"00100010", B"00110010",
B"01000000", B"00000000", B"11000010", B"00110111", B"00110000",
B"00011000", B"01010010", B"00101110", B"00011100", B"00100100",
B"00010010", B"01011111", B"10111100", B"00010101", B"00110100",
B"11010000", B"00000011", B"00001001", B"11101011", B"11101011",
B"00010110", B"11101001", B"11101001", B"11001101", B"00110011",
B"00100011", B"11100000", B"11011111", B"00001000", B"11101011",
B"11101010", B"11110101", B"00011011", B"00011000", B"00001000",
B"01001011", B"01010000", B"11110110", B"11001101", B"00100101",
B"11010110", B"00010110", B"11100100", B"11011001", B"00101011",
B"00000010", B"11011100", B"11011111", B"00100000", B"00110111",
B"11011100", B"11100011", B"00100011", B"00110111", B"11101111",
B"11101010", B"11101000", B"11011111", B"11100001", B"10110101",
B"11100000", B"11000000", B"10111111", B"00011101", B"00101000",
B"00111010", B"00010100", B"00100100", B"11100001", B"11111001",
B"00011100", B"11111101", B"00101000", B"00011110", B"11110011",
B"11110001", B"00011100", B"00010101", B"11100111", B"00000100",
B"11100000", B"11011100", B"11101101", B"00011001", B"00011000",
B"00100010", B"11100100", B"00000010", B"00100011", B"11100010",
B"11100000", B"01000101", B"11111111", B"00110100", B"00001001",
B"00100110", B"00011110", B"00000110", B"00011110", B"11000100",
B"00011101", B"11100000", B"00011110", B"11011010", B"00010111",
B"00001001", B"00011111", B"11101011", B"00101111", B"00110011",
B"00010001", B"11000101", B"00101100", B"11011101", B"00011011",
B"00010100", B"11010010", B"10101011", B"00110011", B"11111001",
B"11011011", B"11011011", B"11011101", B"11000100", B"00011010",
B"01010111", B"00111001", B"11011101", B"00101100", B"11110101",
B"00010100", B"00111100", B"00100110", B"11110100", B"00101111",
B"01000000", B"00100010", B"00001110", B"00101100", B"11010110",
B"11111011", B"00001010", B"11001001", B"00100111", B"11010000",
B"11011111", B"00100110", B"00100101", B"11100111", B"00011111",
B"00101000", B"11100101", B"00100111", B"11111100", B"11010111",
B"11110100", B"11100001", B"00111010", B"00111111", B"00101100",
B"00011000", B"11111000", B"11000000", B"11100011", B"11010110",
B"11110100", B"00010010", B"00111011", B"11001110", B"00011011",
B"00001010", B"00011111", B"00101100", B"00010101", B"10111101",
B"11111111", B"11110111", B"00010111", B"11110010", B"00110010",
B"11110111", B"11110011", B"11001110", B"11101010", B"00101100",
B"11011100", B"11101100", B"00001111", B"00100111", B"00010001",
B"11100101", B"00100010", B"10110111", B"01000011", B"11001101",
B"00100011", B"10110011", B"00100011", B"11101101", B"11100110",
B"11101011", B"00001110", B"00001110", B"00100000", B"00010011",
B"00100100", B"11000010", B"00101011", B"11110111", B"00100011",
B"11010101", B"00010011", B"11110111", B"00101100", B"11110010",
B"11111001", B"11011111", B"00001101", B"11101100", B"11011010",
B"01000000", B"00111101", B"00100000", B"11101101", B"00011010",
B"00001001", B"00100001", B"11000101", B"00001011", B"11001000",
B"11010011", B"00101101", B"00010110", B"00101010", B"00101011",
B"11101000", B"00011100", B"01000000", B"00100000", B"11101010",
B"00100111", B"11000111", B"01011001", B"00001100", B"11101110",
B"11111000", B"11110110", B"11011011", B"00100000", B"11100000",
B"00100101", B"11111111", B"11100010", B"00011010", B"00100010",
B"00011001", B"00001011", B"11001011", B"11011101", B"11111111",
B"11010001", B"11011001", B"11000110", B"11011010", B"00100000",
B"11110110", B"00011101", B"11010001", B"00101110", B"11011010",
B"00011010", B"11101110", B"11001001", B"00100111", B"11111001",
B"00011100", B"00011100", B"00000100", B"11110001", B"00111110",
B"00000101", B"11010001", B"11100100", B"11110001", B"00000101",
B"11011011", B"00100000", B"11111101", B"11011010", B"11100101",
B"00110111", B"00011000", B"11111111", B"00101100", B"11111111",
B"00100001", B"11011111", B"11100001", B"00011010", B"01001011",
B"00010000", B"00000000", B"11011110", B"00001100", B"00011111",
B"00000011", B"11111000", B"00000001", B"00100111", B"11100110",
B"11010001", B"11010101", B"00111110", B"00101011", B"11000001",
B"00100001", B"11100001", B"00000101", B"00111011", B"11100101",
B"00101101", B"01010111", B"11010100", B"11100010", B"11010001",
B"00000010", B"11101101", B"00100010", B"00101011", B"00111101",
B"00101000", B"00100100", B"11101111", B"00111101", B"10100100",
B"00010101", B"10110011", B"11000100", B"01000111", B"11001010",
B"11111110", B"00000101", B"11111111", B"00011000", B"00010101",
B"11100001", B"11100101", B"11011111", B"01000111", B"00010001",
B"00101011", B"00001010", B"00110000", B"11011011", B"00101010",
B"00100011", B"11010010", B"11110001", B"00101010", B"11011010",
B"00100110", B"00001110", B"11101101", B"11100110", B"01000010",
B"00110000", B"00000011", B"11010000", B"11100111", B"11011110",
B"11001011", B"00011101", B"00000110", B"11111110", B"11101010",
B"00011011", B"00010100", B"11010101", B"11011111", B"11010001",
B"11010111", B"11001011", B"00010111", B"00011000", B"11101001",
B"00010100", B"11111010", B"00100011", B"10101110", B"00100101",
B"11011110", B"11010100", B"11011000", B"00000100", B"00011001",
B"00011011", B"11101011", B"11111111", B"11000100", B"11100011",
B"00001001", B"01001010", B"00000100", B"10110110", B"10101001",
B"00100010", B"00011011", B"00111101", B"00010111", B"11011000",
B"00010010", B"11011001", B"10111010", B"11011110", B"11100101",
B"00101111", B"00111010", B"00110100", B"01001100", B"00000010",
B"11011111", B"00011110", B"00011100", B"00011010", B"00100111",
B"10111010", B"11110100", B"11100000", B"11110011", B"00011100",
B"00011111", B"00010111", B"00100000", B"11101101", B"11000110",
B"00100011", B"00001101", B"00010011", B"11001000", B"00011011",
B"11011100", B"11101000", B"11001100", B"11110110", B"11101100",
B"11101111", B"00011101", B"00000101", B"11110010", B"01000000",
B"11110001", B"11101100", B"00101101", B"00000111", B"11111101",
B"11010101", B"00011011", B"11101010", B"00100011", B"00000011",
B"11001101", B"00000100", B"11111101", B"11100011", B"11101000",
B"00100111", B"00110000", B"11110011", B"11011100", B"11111001",
B"11000011", B"00100011", B"00001000", B"01000011", B"00010000",
B"00111010", B"11111110", B"00100001", B"11101001", B"11100001",
B"11011000", B"00010101", B"00010010", B"11010011", B"00100010",
B"11011001", B"11000101", B"11000010", B"00010001", B"00110111",
B"10111000", B"11100101", B"11001011", B"00011010", B"11011110",
B"00100111", B"11101011", B"10110110", B"00010100", B"11011111",
B"00100011", B"00000100", B"11100100", B"11001111", B"11011111",
B"11100101", B"11110001", B"11011001", B"00011011", B"11011110",
B"10100101", B"11011001", B"00100000", B"00100000", B"11101011",
B"11100111", B"11101010", B"11001111", B"11101110", B"00001010",
B"00110011", B"01010001", B"00011111", B"00010011", B"00100100",
B"00011001", B"11101000", B"00101111", B"11000110", B"00001111",
B"11111011", B"00001000", B"10101110", B"00110111", B"11010011",
B"11011101", B"11101111", B"00110111", B"00010011", B"00001111",
B"01000110", B"00010000", B"11001011", B"00100110", B"00001000",
B"00100111", B"10111000", B"11111101", B"11110111", B"00010010",
B"00100110", B"11001110", B"11111010", B"11101000", B"00010010",
B"11100001", B"00000100", B"00111100", B"00101010", B"00001101",
B"01000000", B"11011100", B"11110101", B"01000000", B"11000001",
B"11110001", B"01001001", B"11000111", B"11111011", B"11101101",
B"11001100", B"11011010", B"00101000", B"11011010", B"01001100",
B"11100110", B"11100110", B"01000001", B"00100111", B"11000110",
B"00100100", B"00001101", B"00011010", B"11000111", B"00100010",
B"00010110", B"00010010", B"11110101", B"00100100", B"11010110",
B"11111111", B"00010010", B"00111100", B"11010110", B"11100001",
B"11001010", B"11110111", B"11010100", B"11101000", B"00101000",
B"00000001", B"00101001", B"00110010", B"00110101", B"00011001",
B"11000001", B"11100100", B"00110010", B"00001101", B"11100110",
B"11100100", B"11111000", B"11010100", B"00010111", B"00110100",
B"00010000", B"00101101", B"11011001", B"10111101", B"11100000",
B"11011010", B"00010111", B"11010111", B"00001100", B"11010001",
B"11010110", B"00101100", B"11101100", B"11010011", B"00110011",
B"11101010", B"01000100", B"11101101", B"11010100", B"00010111",
B"10101111", B"00011010", B"10111100", B"11100000", B"11100011",
B"00100101", B"00111001", B"11110110", B"11100111", B"00100100",
B"00001010", B"00100000", B"00001111", B"11111000", B"00100100",
B"00101100", B"01000100", B"00110111", B"11110000", B"11101000",
B"00110011", B"00110100", B"00001000", B"11011100", B"11011010",
B"00011100", B"00100111", B"00011100", B"11011100", B"00011101",
B"11100101", B"01000100", B"00011101", B"00100001", B"00001001",
B"00000100", B"00100010", B"11100010", B"00001101", B"11110000",
B"11111010", B"00101011", B"11001110", B"11110011", B"00110101",
B"00110000", B"11001101", B"11110111", B"11101001", B"00100101",
B"11010010", B"00101010", B"11101110", B"11101001", B"00001111",
B"00100010", B"11001000", B"00111010", B"00111111", B"00111100",
B"11100010", B"01000010", B"11111011", B"11101101", B"01100100",
B"00101011", B"00011000", B"00010000", B"00001110", B"00100101",
B"00000110", B"00111100", B"00110110", B"00100111", B"11111100",
B"00111000", B"00101011", B"00010000", B"00101000", B"00011100",
B"00001100", B"11000011", B"11100011", B"11010100", B"11011001",
B"11111000", B"11101101", B"00010010", B"11100001", B"11101011",
B"11111011", B"11100001", B"00010011", B"11100000", B"11101000",
B"00111100", B"11000000", B"11010111", B"00101010", B"00101010",
B"01000011", B"01000010", B"11011000", B"10110100", B"10110001",
B"11010110", B"11100010", B"00010000", B"00101011", B"11100100",
B"00001110", B"11110101", B"11110010", B"11011000", B"11101100",
B"11101100", B"00011110", B"00101010", B"00011101", B"00111100",
B"00001001", B"00100010", B"11100001", B"00110011", B"00110000",
B"11010110", B"11101000", B"01000101", B"01000001", B"11000100",
B"11101010", B"00000000", B"11000000", B"00000110", B"00101011",
B"11110101", B"11001010", B"11101011", B"00101110", B"11001111",
B"00110100", B"11010111", B"11000001", B"00101001", B"11011110",
B"01000001", B"00001000", B"00011101", B"11100101", B"00001011",
B"11100100", B"00001110", B"11111110", B"00000001", B"11010011",
B"00010010", B"11101000", B"11011000", B"00001101", B"00100101",
B"11010101", B"00000111", B"11111101", B"11011111", B"11111001",
B"00111000", B"01000000", B"11011010", B"11110000", B"00110000",
B"00111100", B"11100011", B"11100100", B"11101001", B"11110110",
B"11001110", B"00110001", B"00100000", B"11101101", B"00010110",
B"01001101", B"11010011", B"11100001", B"00011010", B"11000110",
B"11011010", B"11011110", B"11011001", B"00101000", B"00011101",
B"00101010", B"00001111", B"11110100", B"00011000", B"11011000",
B"11110000", B"11101101", B"00110101", B"00101010", B"11111001",
B"00011100", B"11101001", B"11011001", B"11011111", B"11101011",
B"00101100", B"10110011", B"11111111", B"11111101", B"01000001",
B"11010010", B"00010100", B"11011101", B"11100111", B"00011000",
B"01001011", B"11100001", B"00011001", B"00100001", B"11101100",
B"11011111", B"10110101", B"11100000", B"11000001", B"11011001",
B"11110001", B"11101100", B"00101100", B"00011101", B"11010011",
B"00001011", B"11000111", B"11100110", B"11010010", B"11101011",
B"00101011", B"00111010", B"11010111", B"00011110", B"11110010",
B"00100100", B"11000101", B"11011101", B"11111111", B"11011000",
B"11000110", B"11001000", B"10101001", B"11111001", B"11010100",
B"00101011", B"11011010", B"00010111", B"11101110", B"11100110",
B"00010100", B"11110110", B"00010111", B"00001110", B"10111111",
B"11111010", B"11111100", B"11100100", B"11010100", B"00110000",
B"11010101", B"00100010", B"11100110", B"11000000", B"11011111",
B"01000000", B"00101100", B"00001001", B"11010011", B"00001110",
B"11010101", B"11001101", B"11111001", B"00101001", B"00110000",
B"11110010", B"11100011", B"00010011", B"11101111", B"00101001",
B"11011100", B"11001100", B"00101011", B"00010001", B"00001111",
B"00011001", B"11110101", B"11111111", B"11010001", B"11001111",
B"11100001", B"01000100", B"11101001", B"00011001", B"11101010",
B"11110101", B"11111001", B"00001101", B"00000110", B"00011100",
B"00000010", B"11111101", B"11001001", B"00101011", B"00110011",
B"11010100", B"11110101", B"11111101", B"00000110", B"00001010",
B"11010011", B"11100111", B"01000001", B"11101110", B"11101101",
B"00100101", B"11110011", B"00110111", B"11111010", B"11100000",
B"11101010", B"00101000", B"00001010", B"00001011", B"10110101",
B"11000001", B"00001011", B"11110010", B"11101011", B"11111010",
B"11101001", B"11110100", B"11010001", B"11001011", B"11110001",
B"00011011", B"00011110", B"00011101", B"00001010", B"11110001",
B"11011101", B"00100111", B"00000001", B"00100010", B"11101110",
B"11001001", B"00111100", B"00001011", B"00000100", B"00000111",
B"11111001", B"11100000", B"11111011", B"00011001", B"11010011",
B"00000000", B"11011101", B"11011000", B"10110001", B"11111001",
B"00111001", B"00110011", B"01011111", B"11110100", B"00100110",
B"11110001", B"11101001", B"11001100", B"00011110", B"00100010",
B"11110110", B"11011111", B"00110110", B"00100011", B"11010010",
B"11001100", B"11110011", B"11111110", B"11001010", B"11111110",
B"00110001", B"00101111", B"10011100", B"11101110", B"00001111",
B"11100100", B"11101111", B"00010011", B"11010001", B"00011000",
B"11111100", B"11000110", B"00110000", B"11100010", B"11110111",
B"11010111", B"00101101", B"00010001", B"00011111", B"11000110",
B"10101111", B"00100110", B"01000110", B"00011110", B"11110110",
B"00110011", B"11100001", B"11101001", B"11011111", B"00100111",
B"00101001", B"00011011", B"00110011", B"10110100", B"00000110",
B"00100111", B"11101101", B"11111010", B"00011000", B"11010000",
B"00000001", B"11111010", B"00100100", B"11110010", B"00011011",
B"11011111", B"11101110", B"11001110", B"00100100", B"11010000",
B"11001000", B"11100011", B"00101010", B"00100001", B"11110000",
B"00100111", B"11101000", B"00011100", B"00001011", B"11001110",
B"00110110", B"11111000", B"11100010", B"00011111", B"10110110",
B"11011111", B"11101010", B"11011001", B"01000000", B"11010011",
B"11111000", B"00010000", B"00101110", B"11111110", B"11101100",
B"11110011", B"00110001", B"11011001", B"11001010", B"11101011",
B"11011011", B"11111100", B"11000111", B"00110111", B"11010110",
B"11010001", B"11111000", B"11001011", B"00010001", B"11010000",
B"11110001", B"00101000", B"00010111", B"00110010", B"00000110",
B"00101001", B"00011100", B"00010001", B"11011100", B"11111101",
B"11010011", B"00101000", B"11101101", B"00001000", B"10110100",
B"00001001", B"11100011", B"11100111", B"10100101", B"00110100",
B"00100011", B"00001000", B"00011011", B"11010011", B"11001101",
B"01000101", B"00100100", B"10110011", B"11110010", B"11101000",
B"11100010", B"00101010", B"00011010", B"11010101", B"00001011",
B"11110100", B"00001101", B"11110101", B"11010100", B"00011000",
B"11110110", B"00010001", B"11110001", B"11001011", B"00011100",
B"00001000", B"00100011", B"00111011", B"11101001", B"00001100",
B"11100010", B"00110000", B"11010000", B"00100110", B"00101001",
B"00000000", B"00101100", B"00100000", B"00011101", B"00100001",
B"00110010", B"00111110", B"11001001", B"11100001", B"11001100",
B"11100100", B"00100110", B"11010111", B"00100111", B"11100100",
B"00000101", B"11110100", B"11111100", B"11100101", B"11100110",
B"01001101", B"11111010", B"11100010", B"00101100", B"00011101",
B"00010110", B"11001111", B"00101000", B"11100010", B"00001000",
B"00010011", B"00101010", B"11000101", B"00100100", B"01011111",
B"11101110", B"11100011", B"00011000", B"00011100", B"00101011",
B"00100111", B"00001110", B"00101101", B"01000011", B"00101101",
B"11110110", B"00110010", B"11111111", B"11001010", B"10111001",
B"00101000", B"00101110", B"11001100", B"11111111", B"00000001",
B"11100110", B"00010100", B"00010100", B"11101101", B"00110001",
B"00010011", B"11001000", B"00000010", B"11100110", B"00110111",
B"00011111", B"11010111", B"00101100", B"00100010", B"11100001",
B"01000101", B"00101010", B"00111110", B"00100100", B"11110000",
B"00110010", B"00010011", B"00011101", B"00111010", B"10111111",
B"11101101", B"11000111", B"11100000", B"00111001", B"11011111",
B"00100111", B"11110011", B"00010100", B"00000101", B"00101111",
B"11101101", B"00001000", B"11111001", B"00000110", B"00011010",
B"11011111", B"00011000", B"11100110", B"11001011", B"11011010",
B"00010011", B"00001110", B"11000110", B"00110111", B"00011100",
B"11011111", B"11100100", B"11101010", B"11010100", B"11101111",
B"11111001", B"11110000", B"11010011", B"11101100", B"00111110",
B"00001001", B"00000110", B"00011110", B"11001000", B"11100100",
B"11111110", B"00110001", B"00100010", B"11100110", B"00001011",
B"11001010", B"00001010", B"11111100", B"11100000", B"00111100",
B"00000000", B"11010011", B"11001110", B"00111110", B"00011111",
B"00001111", B"11011010", B"00011000", B"00011010", B"10110110",
B"11110011", B"01011010", B"00110110", B"00000010", B"00010101",
B"00010110", B"00011111", B"01001001", B"00001011", B"00011010",
B"10111000", B"11101011", B"00111010", B"00101101", B"00100001",
B"00101010", B"11001011", B"11100001", B"00100011", B"00110101",
B"11000101", B"00010010", B"00110000", B"11100101", B"10111111",
B"11110100", B"00101111", B"10111001", B"10111111", B"11001011",
B"11011110", B"00100111", B"11100011", B"00110001", B"01001100",
B"01001101", B"00100001", B"00101101", B"01010111", B"00001110",
B"11111001", B"00010001", B"11011101", B"11110011", B"11101111",
B"11101011", B"11101110", B"00001001", B"00011110", B"11001100",
B"11100110", B"11011000", B"11001011", B"00110100", B"00011011",
B"11110010", B"00011111", B"11101101", B"11010011", B"11001001",
B"00101000", B"11111100", B"00100111", B"00010111", B"11110011",
B"00111000", B"11101100", B"11011001", B"10111111", B"00000011",
B"11111010", B"11000000", B"00101001", B"11010011", B"00101010",
B"00010101", B"00110100", B"00011101", B"00110001", B"00011000",
B"00010010", B"00110011", B"00111101", B"00001001", B"11111111",
B"00110110", B"00100010", B"11110010", B"11001011", B"00011010",
B"10111011", B"00011000", B"00011110", B"11001100", B"00000101",
B"00010100", B"11000001", B"11011000", B"00101100", B"00011001",
B"11100111", B"11100010", B"11101111", B"00101010", B"11100000",
B"11100110", B"11111111", B"11110010", B"11010100", B"11011101",
B"00011010", B"01001010", B"00010101", B"00010011", B"11101111",
B"11110101", B"00000110", B"00010011", B"00100110", B"00100010",
B"11101100", B"11011100", B"00100110", B"01000011", B"00011111",
B"00110110", B"11110101", B"00100001", B"00011110", B"00110111",
B"00100110", B"11100101", B"11111001", B"11010101", B"10111101",
B"11100101", B"00110001", B"11101010", B"11101011", B"11011111",
B"01000100", B"11000111", B"11110111", B"11100110", B"10111011",
B"01010000", B"11101010", B"01000001", B"00011100", B"11010000",
B"00001101", B"10111101", B"00011001", B"11110100", B"11100100",
B"11000000", B"00000010", B"00011010", B"00101010", B"11011111",
B"00010111", B"11000110", B"00111110", B"00111011", B"00100000",
B"11110100", B"00100010", B"11011111", B"00100100", B"11010010",
B"11010000", B"11100001", B"00001101", B"11101101", B"00110001",
B"00000001", B"11101011", B"11111111", B"00000101", B"00011011",
B"00100110", B"11111001", B"00111001", B"11111101", B"00000001",
B"00011010", B"00011100", B"00101101", B"11011000", B"00011011",
B"00000100", B"11001100", B"11100000", B"11110100", B"00110000",
B"11001100", B"11010000", B"00011000", B"11010100", B"11100001",
B"11100111", B"11001011", B"11111001", B"00001101", B"11111000",
B"00000100", B"11111100", B"00100001", B"11110000", B"00011001",
B"00101011", B"00100100", B"11010000", B"00001101", B"11110011",
B"11101100", B"11010001", B"00110011", B"00011011", B"00001000",
B"11001011", B"11011001", B"00010110", B"11110000", B"11101100",
B"11110100", B"00010111", B"11111010", B"01000010", B"00011100",
B"11111111", B"00011100", B"00101001", B"11010011", B"00000011",
B"00011101", B"00110111", B"00010101", B"11110001", B"00110111",
B"11010111", B"00100110", B"11010000", B"00100111", B"11100000",
B"11100100", B"11101011", B"11101101", B"00011011", B"11000001",
B"00010110", B"00010110", B"00011001", B"11101011", B"00111001",
B"11101111", B"00110100", B"11010000", B"11101111", B"11000111",
B"00001101", B"11111011", B"00100110", B"11000000", B"11101010",
B"00100111", B"10101111", B"11000101", B"11001111", B"01000100",
B"00101000", B"11101011", B"00011000", B"11101011", B"11110010",
B"01010010", B"00101011", B"00110001", B"11010100", B"00011100",
B"10110100", B"00110101", B"00011011", B"00101101", B"00101100",
B"11001010", B"01000101", B"11010010", B"00000010", B"11000110",
B"00011110", B"00110110", B"11111111", B"11101100", B"00011100",
B"00000011", B"11110010", B"11110110", B"01010111", B"11110111",
B"00101000", B"00011001", B"11100011", B"00011101", B"00100001",
B"11100110", B"11001110", B"00010111", B"01001010", B"00000000",
B"11000011", B"11101110", B"11010111", B"11011001", B"00011100",
B"11101101", B"00010101", B"11100101", B"11011000", B"11010010",
B"00100110", B"00100000", B"11011111", B"00101110", B"00010101",
B"11100100", B"11100100", B"11011011", B"10111001", B"11101100",
B"00010110", B"00001011", B"11110111", B"00000001", B"00101100",
B"11111000", B"11010011", B"00010001", B"11111001", B"00011110",
B"11011000", B"00010101", B"11101111", B"11100011", B"00001001",
B"01000001", B"00101000", B"01001101", B"11111011", B"11010111",
B"00111000", B"11010110", B"00101101", B"11011011", B"00010000",
B"00100011", B"00110110", B"00100000", B"00101001", B"01000000",
B"00100111", B"00001000", B"11010011", B"00011101", B"11001101",
B"00011010", B"00001111", B"11111111", B"00101100", B"00100101",
B"11101010", B"11010110", B"00010010", B"00111101", B"01001101",
B"11101010", B"00111110", B"11100011", B"00111000", B"11010100",
B"11110101", B"00000000", B"11101100", B"00100000", B"00001011",
B"00011110", B"11111101", B"11011001", B"00001100", B"11100001",
B"00110111", B"11100010", B"11011011", B"00011001", B"11111101",
B"11111001", B"11100000", B"11011101", B"11111000", B"00011111",
B"00010110", B"00010101", B"11111001", B"11001101", B"11100001",
B"10111010", B"00001001", B"11101011", B"11111110", B"01011100",
B"00110111", B"11011111", B"00100001", B"00110000", B"11111101",
B"00101100", B"00100110", B"00000010", B"00011001", B"11111110",
B"00000100", B"11000101", B"00111001", B"00100111", B"00010001",
B"00101111", B"11010011", B"11011111", B"01000001", B"11001110",
B"00001110", B"11100011", B"11101101", B"00100010", B"11101001",
B"00001011", B"11111100", B"00011101", B"00110000", B"11010010",
B"00101110", B"11100010", B"00000111", B"11001100", B"00000011",
B"11010000", B"11011010", B"11011100", B"00001101", B"00100101",
B"00100110", B"11101010", B"10111111", B"11011000", B"11101000",
B"00011100", B"11101000", B"00010100", B"00100100", B"00011101",
B"11010001", B"11100001", B"00001111", B"00010000", B"00001010",
B"11110111", B"11011001", B"11010001", B"00101010", B"10110101",
B"00010100", B"11100110", B"11011110", B"00101110", B"11011010",
B"00000010", B"00101000", B"00110000", B"00100010", B"01001000",
B"11010001", B"00010100", B"11000011", B"00010111", B"11101001",
B"11011011", B"11110010", B"00000101", B"00010010", B"11110100",
B"11011101", B"11010000", B"10101101", B"00101001", B"00111100",
B"10110000", B"00101100", B"00000011", B"11100011", B"00000100",
B"00000100", B"00000100", B"00111000", B"11100000", B"00010101",
B"11101010", B"00110001", B"00011011", B"00011111", B"11011100",
B"00011101", B"10110011", B"00110010", B"11000000", B"00011111",
B"11010001", B"01001001", B"11011000", B"11011000", B"11011011",
B"00100011", B"11100110", B"11011010", B"11001010", B"11000001",
B"00010111", B"01001011", B"11001001", B"00101101", B"11101000",
B"11001011", B"00011001", B"00110101", B"00110101", B"11110010",
B"00010101", B"11011111", B"00001111", B"00010100", B"11011010",
B"11001000", B"11011101", B"11001110", B"00010011", B"11100000",
B"00111001", B"00011010", B"00001110", B"11001011", B"00011110",
B"00011001", B"11110110", B"10111010", B"00101100", B"01000010",
B"11110011", B"11010000", B"00110010", B"11011010", B"10111111",
B"11101111", B"11011011", B"00000101", B"11110101", B"10100111",
B"00010100", B"11110110", B"00110000", B"11000110", B"00010001",
B"11110101", B"00011111", B"11100011", B"11011010", B"11101001",
B"00001101", B"00110011", B"11011110", B"00001101", B"11001100",
B"00000011", B"00101100", B"11011100", B"00101100", B"00011101",
B"11111101", B"11011001", B"11101000", B"00001100", B"00011010",
B"00101100", B"11000111", B"00101111", B"11111111", B"00001100",
B"00010011", B"11011110", B"00000011", B"00010111", B"11100010",
B"11010100", B"11111101", B"00011110", B"00110001", B"00001000",
B"11000001", B"00011010", B"11100010", B"00101100", B"11011010",
B"11010010", B"11100110", B"11110100", B"00100000", B"11111010",
B"11000101", B"00110011", B"11011110", B"00011010", B"11100001",
B"11000010", B"00011110", B"11010111", B"11010000", B"00001100",
B"00001000", B"11101010", B"00110001", B"11000111", B"00001111",
B"11001111", B"11001001", B"00101111", B"00100101", B"00101100",
B"11101011", B"01000101", B"00010000", B"11011011", B"00000001",
B"00001010", B"00101101", B"11101111", B"00000010", B"00000100",
B"00110100", B"11101010", B"00010001", B"11101011", B"00001001",
B"00101001", B"11101010", B"11100011", B"00101111", B"00010001",
B"11011000", B"11101110", B"00000100", B"11110010", B"00001100",
B"11010110", B"00101111", B"11001100", B"11111011", B"00000010",
B"00110100", B"00110000", B"11101001", B"00100010", B"11111011",
B"11111010", B"11110111", B"00010111", B"00101111", B"11001001",
B"11100101", B"00100110", B"00010011", B"00010110", B"00111101",
B"11100001", B"11010011", B"00000101", B"01010110", B"00010101",
B"11101111", B"00010101", B"11010011", B"00001111", B"11111100",
B"11010001", B"00000010", B"00111010", B"11011011", B"11010000",
B"11010101", B"11000001", B"11101100", B"11100101", B"11000011",
B"00001111", B"00000100", B"00001100", B"11110001", B"11110010",
B"11111001", B"11001110", B"00111101", B"00011011", B"00000001",
B"11000101", B"00010010", B"11110101", B"01000100", B"11111110",
B"00100111", B"00000011", B"00111000", B"11110111", B"01000101",
B"11110110", B"00101110", B"11001001", B"11101100", B"11001000",
B"00111110", B"00011000", B"11111110", B"00110110", B"10111101",
B"00111001", B"10110110", B"01001110", B"11011011", B"11100110",
B"11111101", B"00000000", B"00101001", B"00110101", B"11100101",
B"00101110", B"01011101", B"00111100", B"00000101", B"00000101",
B"00011011", B"11100011", B"00110011", B"00110010", B"00011000",
B"11101110", B"00011101", B"11011110", B"00100111", B"11110100",
B"11100011", B"00011010", B"11100010", B"00001100", B"11111100",
B"00011011", B"11110100", B"11111111", B"11000011", B"00000100",
B"11011011", B"00011000", B"11011000", B"00000100", B"00110010",
B"00010100", B"11101011", B"00010011", B"11000000", B"11100000",
B"11011011", B"00000001", B"00101001", B"00001011", B"00010011",
B"00101010", B"10110100", B"00010100", B"11000010", B"11110111",
B"11101000", B"11000000", B"11101100", B"01000100", B"00000001",
B"00110001", B"00110110", B"11000001", B"11010110", B"11011000",
B"10111101", B"11111011", B"11011001", B"00110010", B"00000011",
B"11101110", B"11111011", B"11101110", B"11110000", B"11100110",
B"00000011", B"01001011", B"11001111", B"01011011", B"11001011",
B"00011100", B"11101111", B"01001001", B"00011101", B"11111010",
B"00100010", B"00111110", B"11110110", B"11110101", B"11001101",
B"00110010", B"11011011", B"00101001", B"11001100", B"10111000",
B"00101001", B"00001011", B"10110101", B"00011100", B"00100000",
B"11100100", B"00101011", B"00001101", B"11001100", B"11011011",
B"00010111", B"11010101", B"11001001", B"11000001", B"00011101",
B"00101101", B"11000111", B"00101010", B"00011101", B"11111001",
B"00110110", B"11111101", B"11010101", B"11100011", B"00100010",
B"11100000", B"11000001", B"11001001", B"00111011", B"00101000",
B"11010111", B"00000011", B"11010000", B"11100010", B"00000000",
B"00011001", B"00011110", B"00000000", B"00100010", B"11110101",
B"11111101", B"01000000", B"00001001", B"11110001", B"00001110",
B"00011011", B"11100010", B"11010010", B"00000001", B"11010100",
B"00001011", B"00101011", B"11011110", B"00100100", B"11100001",
B"11001100", B"00010010", B"00001101", B"00110011", B"00101101",
B"00000000", B"00010010", B"11010111", B"00011001", B"11110100",
B"00011101", B"11111110", B"11100101", B"11000110", B"11010101",
B"00110101", B"11100000", B"00111100", B"00000011", B"00011000",
B"00010010", B"11111001", B"01001001", B"11100111", B"00101101",
B"11011111", B"00110110", B"11100111", B"00111010", B"00110001",
B"11001001", B"00010011", B"00100111", B"11001001", B"00101001",
B"11101001", B"11101110", B"00100100", B"11110011", B"11010011",
B"11111110", B"11110011", B"00011011", B"11010101", B"00111001",
B"00011010", B"11011000", B"00100101", B"00010010", B"11110100",
B"00100011", B"11000010", B"11111001", B"00101101", B"11010111",
B"11010010", B"11001111", B"11011010", B"11010110", B"11110100",
B"11101001", B"00100101", B"00110101", B"00000101", B"00010111",
B"11101101", B"11001010", B"00111110", B"11001111", B"10111100",
B"11100110", B"00001101", B"11111111", B"11110011", B"11010100",
B"00100101", B"11001011", B"00001010", B"11001100", B"11011010",
B"00010011", B"00001010", B"00101001", B"11001111", B"00000111",
B"00000000", B"10111100", B"00010111", B"00001111", B"11011011",
B"11011000", B"00101111", B"11110100", B"11001110", B"11110100",
B"00110111", B"01000011", B"11011101", B"00011011", B"11010101",
B"00010111", B"00011011", B"11010101", B"10101010", B"11011000",
B"11110010", B"11111011", B"00010100", B"10110111", B"00011101",
B"11001110", B"00010101", B"00011000", B"00110011", B"01001100",
B"00010101", B"11010011", B"00001110", B"00010111", B"11011101",
B"11111010", B"00001100", B"11011001", B"11110101", B"00010010",
B"01000010", B"00010011", B"00010111", B"11101001", B"11101101",
B"00000001", B"11100001", B"11011011", B"00100011", B"00100100",
B"11110101", B"00110010", B"11000001", B"11110010", B"00100010",
B"11100110", B"11110011", B"11101010", B"00010111", B"11101110",
B"11000011", B"00100001", B"00010111", B"11110010", B"00011011",
B"00010001", B"11010001", B"11000111", B"00101100", B"00001101",
B"10111100", B"00111101", B"00011110", B"00010100", B"00100001",
B"11111000", B"11011001", B"00101011", B"11100110", B"00010111",
B"00100010", B"11110101", B"11011000", B"11000000", B"11100010",
B"00110010", B"00100001", B"11011001", B"11100010", B"00100000",
B"11111110", B"11001101", B"11001111", B"11110001", B"11110001",
B"11101110", B"00111001", B"00110001", B"11101000", B"00011110",
B"11101010", B"11100011", B"00111001", B"11100010", B"00011000",
B"11011100", B"11010011", B"00011001", B"00100100", B"11111000",
B"11100101", B"00010101", B"11100001", B"11000101", B"01000000",
B"11000110", B"00100100", B"00110111", B"11010101", B"11110110",
B"11110101", B"11011101", B"00000001", B"00000001", B"00101000",
B"00010011", B"00010101", B"11010000", B"00111101", B"00101011",
B"11110010", B"11100010", B"11110010", B"11000101", B"11100110",
B"00100100", B"11011010", B"00000111", B"11011110", B"11011001",
B"00000111", B"11011100", B"01001101", B"00001111", B"00000000",
B"11111000", B"00001000", B"11111011", B"00110110", B"11010111",
B"00000011", B"11000001", B"11011101", B"01001100", B"11110011",
B"01000100", B"00100111", B"11001000", B"00010100", B"11101011",
B"00011000", B"11000000", B"00000000", B"00011010", B"00010101",
B"11010000", B"11111101", B"00011110", B"10111100", B"00101000",
B"11001010", B"11011100", B"00011100", B"11010011", B"00111100",
B"01001110", B"11111100", B"11100001", B"11011110", B"11101001",
B"11101000", B"11101010", B"00110101", B"00110111", B"11100011",
B"00100101", B"11100011", B"11100000", B"11110010", B"00101010",
B"11100000", B"11100110", B"00001100", B"11000000", B"10110101",
B"11101001", B"11101000", B"11101101", B"00010101", B"11011110",
B"00110100", B"11000100", B"11101111", B"00001011", B"11101010",
B"00110101", B"00011000", B"11011010", B"11001101", B"11111111",
B"00100101", B"11100000", B"11110110", B"00011111", B"00010111",
B"11100001", B"11111000", B"00101010", B"11110011", B"11100110",
B"00011100", B"11001100", B"00100001", B"00010100", B"11001111",
B"11010110", B"11011010", B"11100011", B"11100100", B"00011110",
B"00001101", B"00000110", B"00011101", B"00100101", B"00011001",
B"00001111", B"00110111", B"00101011", B"11000110", B"00100001",
B"00000100", B"11101010", B"11101000", B"00011100", B"00111101",
B"11110000", B"11010001", B"00011100", B"00011000", B"11101111",
B"00101000", B"00001011", B"11110010", B"10110000", B"00010010",
B"11010001", B"00110000", B"11100110", B"11001100", B"11111100",
B"00000100", B"11110001", B"11011101", B"11111001", B"00101100",
B"00111100", B"11000011", B"00100011", B"11101011", B"11011011",
B"00110010", B"11111111", B"00010100", B"11111001", B"11100001",
B"11100100", B"11010111", B"00001010", B"11100100", B"11000001",
B"00001101", B"11010101", B"11110000", B"11011110", B"11110011",
B"11001000", B"00010111", B"11000100", B"00000000", B"11100011",
B"00111001", B"00000011", B"11011111", B"11100100", B"01000111",
B"00100010", B"00010110", B"11101100", B"00101001", B"11101000",
B"00111010", B"11011011", B"10110101", B"01000100", B"11100000",
B"00101000", B"00000110", B"11101001", B"00010000", B"11001111",
B"00011011", B"11010111", B"00110101", B"11011100", B"11010110",
B"00111101", B"11110000", B"00011111", B"00100000", B"11101111",
B"00110101", B"00010101", B"11000111", B"11010100", B"00110000",
B"11001100", B"11110101", B"11010110", B"11010001", B"00010110",
B"00011101", B"00010001", B"00111111", B"11010010", B"00101100",
B"00111001", B"00011010", B"11100010", B"00101010", B"11001111",
B"00101010", B"11111001", B"00101001", B"11001110", B"11000011",
B"11100111", B"00111100", B"00100101", B"11100101", B"00001000",
B"10111010", B"10111110", B"00101101", B"11100011", B"11010110",
B"11010001", B"11010100", B"00001010", B"01000010", B"00100100",
B"00100111", B"00000001", B"00100111", B"11011100", B"00110111",
B"11011110", B"00000010", B"11011010", B"00000111", B"11011010",
B"11011100", B"00110111", B"00101011", B"01000000", B"11111110",
B"11010100", B"00011011", B"00011001", B"11100010", B"11010101",
B"00111100", B"11011000", B"11010110", B"00100001", B"00010011",
B"01000100", B"00110110", B"11000111", B"10111001", B"00010001",
B"00101110", B"00010100", B"00001000", B"00011000", B"00010010",
B"00101000", B"01001101", B"11100111", B"00011011", B"00100111",
B"11011110", B"11010101", B"11110101", B"11101110", B"11100010",
B"00101101", B"11011011", B"11011100", B"00100111", B"11001111",
B"11001110", B"11011110", B"11011110", B"11101111", B"11100001",
B"11010110", B"00000001", B"00010011", B"00100101", B"00111010",
B"00011010", B"11000111", B"00001000", B"00110110", B"00101101",
B"11010100", B"00110001", B"11011010", B"00001101", B"00111111",
B"11010011", B"00110010", B"00011101", B"11001100", B"00000101",
B"00010000", B"10111000", B"11100110", B"11100000", B"11000010",
B"00000111", B"00111100", B"00101100", B"00011111", B"11111111",
B"11111111", B"11111110", B"11011110", B"11011001", B"00110011",
B"11011010", B"10101100", B"00000000", B"11110001", B"00110011",
B"11000000", B"11011001", B"10110000", B"00011011", B"00111001",
B"11110101", B"00000101", B"11100101", B"00000010", B"00010111",
B"00101011", B"00010000", B"00001011", B"00000100", B"11010101",
B"00010101", B"10110010", B"00000101", B"00001011", B"11011111",
B"00111010", B"01000010", B"00100001", B"00111001", B"00111011",
B"11101100", B"00100000", B"11011001", B"00100110", B"11101010",
B"00101101", B"00010010", B"11000001", B"11010001", B"11110111",
B"00010010", B"11011100", B"11011011", B"00010001", B"00100011",
B"11100110", B"11100010", B"11101011", B"11011010", B"01010100",
B"11101001", B"11110100", B"00010100", B"11100100", B"00001110",
B"11001110", B"00001001", B"00011101", B"11001100", B"01000111",
B"00011010", B"11011001", B"00011010", B"00011100", B"11011100",
B"11101101", B"00100010", B"00101011", B"11101001", B"10111111",
B"11011100", B"11010001", B"11111010", B"11111000", B"11101010",
B"11010111", B"00011111", B"11010100", B"00011010", B"11010111",
B"11111111", B"00000100", B"00011110", B"11011110", B"11101100",
B"00001011", B"00100001", B"11101111", B"00011110", B"11010000",
B"00011101", B"11101001", B"11011011", B"11001110", B"00101100",
B"00101010", B"11011101", B"00111001", B"11101000", B"00101001",
B"11110111", B"00110010", B"11111011", B"11100000", B"11110000",
B"00111100", B"00011101", B"11110001", B"00101011", B"11101111",
B"11110000", B"11001000", B"11100100", B"00110010", B"00100001",
B"11101000", B"11010001", B"00100100", B"00101001", B"01001000",
B"00101011", B"11101010", B"11010110", B"11010100", B"00011001",
B"10101110", B"01000110", B"11100100", B"11000000", B"01010100",
B"11111001", B"11011011", B"11101110", B"10011110", B"00000000",
B"00011011", B"11011001", B"00111101", B"11110100", B"11001101",
B"11001011", B"00011000", B"11010100", B"00100001", B"11100010",
B"11100110", B"00011110", B"00101000", B"00110001", B"00001000",
B"10111001", B"11011110", B"11100000", B"00110111", B"11011101",
B"11111000", B"11101001", B"00011111", B"11000001", B"11001110",
B"11011100", B"00100111", B"00001101", B"00011100", B"00101001",
B"11111111", B"11010001", B"00101011", B"11011001", B"00000100",
B"11111001", B"00110101", B"11111001", B"11100100", B"00010101",
B"00000011", B"10110110", B"11101001", B"11111110", B"11100111",
B"11010111", B"00000111", B"11010011", B"01000011", B"11100111",
B"10101011", B"00110011", B"11110010", B"11100000", B"11110011",
B"11100010", B"11111000", B"00000100", B"11110011", B"00110100",
B"11011101", B"11010011", B"00010110", B"00001110", B"00100001",
B"00101010", B"11101001", B"11100110", B"11110000", B"11101000",
B"11111000", B"11100010", B"00000110", B"00101110", B"01001101",
B"00110111", B"11010111", B"11010101", B"11110000", B"10110111",
B"11110001", B"01000010", B"00100000", B"00010110", B"00100010",
B"00101110", B"11101001", B"11011110", B"11110000", B"00100111",
B"11000001", B"10110111", B"11110101", B"11001000", B"00000111",
B"11001100", B"11100110", B"00110010", B"10110110", B"00100010",
B"00100011", B"00000001", B"00110100", B"00111110", B"11111000",
B"11111010", B"00011100", B"00100100", B"00110111", B"11010100",
B"11010101", B"00110101", B"11010110", B"00001011", B"11101110",
B"11100110", B"00100100", B"11011101", B"00110011", B"00010001",
B"10111000", B"00000111", B"00101010", B"11111110", B"11000001",
B"00010000", B"11111100", B"11000100", B"11011000", B"11110101",
B"10110110", B"11110100", B"11101011", B"00000110", B"00000101",
B"11100000", B"11011001", B"11000110", B"11101011", B"11001110",
B"01001011", B"11000111", B"00011100", B"00011000", B"11011111",
B"00011110", B"00101001", B"11011110", B"00011111", B"00000011",
B"11111010", B"01011010", B"00101101", B"10111100", B"11111101",
B"00100100", B"10110010", B"00110001", B"11000101", B"11101000",
B"11000111", B"00000101", B"11110100", B"00011001", B"00101110",
B"01010100", B"10110101", B"00101111", B"00100000", B"11101010",
B"11101010", B"00000010", B"11101011", B"11110010", B"00010100",
B"11111010", B"00001101", B"11000000", B"11101101", B"00010010",
B"01010011", B"00010010", B"00100101", B"01010010", B"00001101",
B"00010000", B"00011100", B"00101100", B"00001010", B"00001011",
B"11110011", B"00000001", B"00000110", B"11100011", B"00110101",
B"11110101", B"00001011", B"11100010", B"00000101", B"00101101",
B"11101100", B"00011111", B"11000101", B"00000010", B"11101111",
B"11001101", B"11011001", B"11001010", B"00111111", B"01001101",
B"00000110", B"00100101", B"11101011", B"10111100", B"00011010",
B"11011110", B"00101100", B"11001100", B"11001000", B"00110101",
B"00100000", B"00000111", B"11001100", B"11101111", B"00001001",
B"00000101", B"11001000", B"11001100", B"00010010", B"11011110",
B"11100110", B"11011000", B"10111000", B"00110100", B"10101110",
B"11110101", B"11000010", B"11111001", B"00100011", B"00011100",
B"00110011", B"01000111", B"11101000", B"11110011", B"11100100",
B"11100010", B"11110110", B"00000100", B"01000010", B"11011111",
B"00101010", B"00000011", B"11011110", B"00011001", B"11110000",
B"11100110", B"10111010", B"00000001", B"00011100", B"11110111",
B"11101011", B"11011000", B"00001111", B"11100100", B"00101001",
B"10111111", B"11101101", B"00001101", B"00101000", B"00101100",
B"00011001", B"11010011", B"00101111", B"11011110", B"00011001",
B"10111111", B"10100111", B"11010110", B"00010000", B"11111111",
B"00110001", B"11010101", B"11100010", B"00001000", B"00110111",
B"00110101", B"11011101", B"11001110", B"00100000", B"00101011",
B"11010000", B"11100010", B"00100011", B"00011101", B"10110111",
B"00110111", B"11101011", B"11110000", B"11110011", B"00100111",
B"11011101", B"00000011", B"11110010", B"11011101", B"00000011",
B"00010011", B"00110111", B"11001000", B"00100000", B"00011011",
B"00001001", B"11011100", B"00000011", B"00100100", B"11001111",
B"11001100", B"00110000", B"00110100", B"01000110", B"10111001",
B"00111100", B"11101101", B"00100100", B"11110100", B"11110000",
B"11000100", B"00100100", B"11110101", B"00010110", B"00001101",
B"11101101", B"11000001", B"00100101", B"00000111", B"00100000",
B"11010100", B"00011100", B"11011011", B"00101010", B"11011110",
B"11101001", B"00100011", B"11001110", B"11100011", B"11000111",
B"00011000", B"00101001", B"10111111", B"00100100", B"00001010",
B"11011111", B"11001110", B"11100101", B"00100001", B"11100111",
B"00100001", B"00010110", B"11011110", B"00110110", B"11110111",
B"11100011", B"11011110", B"00101101", B"00100011", B"11000001",
B"00011101", B"11011111", B"00010011", B"11000011", B"10111111",
B"00011100", B"00001011", B"00001101", B"00111010", B"11010010",
B"11111111", B"10110001", B"11111111", B"00110011", B"00010010",
B"00011001", B"00101100", B"00100101", B"11011111", B"00111100",
B"11110101", B"00101101", B"11110110", B"00110100", B"00011100",
B"11001111", B"10100011", B"00001011", B"00100001", B"11011101",
B"10111110", B"11011010", B"11100111", B"11100100", B"00100100",
B"00101101", B"11101111", B"00100111", B"10111100", B"00111111",
B"00111111", B"00011100", B"11110010", B"01000110", B"00100101",
B"00111110", B"00001001", B"11001001", B"11011101", B"00111010",
B"00101011", B"00100100", B"00100000", B"11110000", B"11111011",
B"00110001", B"11010111", B"00011001", B"10111110", B"11011111",
B"00100100", B"11111010", B"00100101", B"00010010", B"00010010",
B"01000111", B"11011110", B"00110011", B"11110000", B"00010011",
B"11011110", B"11111100", B"00111010", B"00011011", B"00101101",
B"11110011", B"00011010", B"11100001", B"00101110", B"00010111",
B"00011011", B"11101110", B"01000000", B"00010100", B"00010010",
B"11011110", B"00100001", B"01101001", B"00010100", B"00101011",
B"00101010", B"00100111", B"00100011", B"00011011", B"00101101",
B"11101101", B"11010010", B"11100110", B"10110000", B"00111010",
B"00010100", B"00101101", B"00011100", B"00111100", B"00101111",
B"11101110", B"00101101", B"01000001", B"11100001", B"11011110",
B"00101001", B"11111100", B"11101011", B"11011011", B"00101001",
B"00101110", B"10111111", B"00000010", B"11011100", B"00100011",
B"11010101", B"11100011", B"11011110", B"11110001", B"00010100",
B"11100010", B"00001010", B"00001001", B"11001010", B"00111110",
B"11111001", B"00111000", B"11111011", B"11100001", B"00110000",
B"00101110", B"00111111", B"11011000", B"11111010", B"00100011",
B"00111010", B"10101101", B"11110000", B"00000100", B"11101110",
B"00011101", B"11111000", B"00001000", B"11100110", B"11011111",
B"00000111", B"10110100", B"00100000", B"00010001", B"11111100",
B"11111011", B"11001110", B"11110010", B"11000101", B"00111001",
B"00011101", B"00100001", B"10101111", B"00101000", B"11011111",
B"00111100", B"11111110", B"01000110", B"00000101", B"11101011",
B"11000011", B"11101000", B"00001000", B"11101100", B"00001100",
B"11001111", B"00101101", B"11011010", B"10111010", B"11011011",
B"00100001", B"00011110", B"11011111", B"00110100", B"00000001",
B"00011010", B"11111100", B"11101100", B"11111001", B"00000001",
B"01000010", B"00101101", B"11110000", B"11100010", B"11001000",
B"00011000", B"00010101", B"11101101", B"00001001", B"00100011",
B"00010011", B"11011111", B"00010000", B"00010010", B"10101010",
B"11110011", B"11111101", B"00100111", B"11100011", B"00010001",
B"00010101", B"11101100", B"00001111", B"00001100", B"11011010",
B"00110100", B"01000010", B"11100001", B"11111101", B"00100000",
B"11111010", B"11001100", B"11011101", B"11100000", B"00010111",
B"10111110", B"11011001", B"11110000", B"00101000", B"00011010",
B"11100000", B"11001001", B"11010110", B"00001101", B"00100000",
B"00101110", B"11101011", B"00000100", B"11111010", B"10111111",
B"10111010", B"11011101", B"00110011", B"00001001", B"11101110",
B"00110010", B"11101000", B"11100001", B"11001011", B"11111011",
B"00100000", B"00010101", B"00001111", B"00001010", B"00000011",
B"11110101", B"11110111", B"00111011", B"11110011", B"00110110",
B"11100101", B"11001001", B"00100110", B"11010011", B"11000100",
B"11100111", B"00101011", B"11111101", B"11010010", B"00101110",
B"11100110", B"11110000", B"00001010", B"00101111", B"11001100",
B"11000011", B"11101001", B"11000100", B"11010100", B"11100011",
B"00011100", B"11101001", B"00000011", B"10111010", B"00100111",
B"00101001", B"11010111", B"00010100", B"10111001", B"11101001",
B"00001110", B"11110100", B"00111100", B"00101001", B"11111000",
B"01000101", B"00010100", B"11011111", B"11010101", B"00000101",
B"11111000", B"11101001", B"00001000", B"11110111", B"00010001",
B"00000101", B"11010000", B"11100011", B"10101100", B"11011110",
B"11100110", B"00110011", B"00101100", B"11110010", B"11011101",
B"00100111", B"00001000", B"00000101", B"11011101", B"11111011",
B"11111011", B"11001100", B"11111000", B"00111100", B"00010110",
B"00011110", B"00011011", B"00000100", B"11001001", B"00010001",
B"00010001", B"11011101", B"00101010", B"00100111", B"11100110",
B"11110000", B"00011100", B"00010111", B"00101011", B"11100000",
B"00100000", B"11101111", B"00000110", B"11010000", B"11110010",
B"11011010", B"00110111", B"11101110", B"11100111", B"00011101",
B"11010100", B"11011010", B"01010101", B"00101000", B"11001101",
B"11101001", B"11100101", B"11111111", B"11111001", B"11110110",
B"10110011", B"11011100", B"11011100", B"00111111", B"00111010",
B"00101111", B"00100110", B"10111111", B"11111111", B"11011111",
B"00100011", B"00100111", B"11010111", B"00111110", B"11100110",
B"11001110", B"11001100", B"00110110", B"00100001", B"00011100",
B"00000110", B"11100000", B"11011100", B"11100100", B"00011100",
B"11001011", B"11000010", B"11101000", B"00100101", B"00011000",
B"00000010", B"00010001", B"11010000", B"11010111", B"11011100",
B"11110001", B"00101000", B"11011011", B"00111110", B"11001011",
B"00100110", B"00001100", B"11011000", B"11110110", B"11010000",
B"00000011", B"11110101", B"00010101", B"00111101", B"00110010",
B"00111111", B"00010001", B"00011101", B"00000111", B"00101110",
B"00001111", B"11010001", B"00011110", B"00101101", B"11111000",
B"00001000", B"00001001", B"11010110", B"11110000", B"00001000",
B"11010101", B"00100000", B"11111001", B"11100111", B"00101010",
B"00100011", B"00100110", B"11100011", B"00000000", B"11010101",
B"11011111", B"00001001", B"00110101", B"00101001", B"01000111",
B"00010010", B"11100110", B"00110011", B"11110101", B"11100111",
B"11010101", B"11011001", B"11100000", B"11111010", B"00100001",
B"11001011", B"11101010", B"11001011", B"11110111", B"11011001",
B"00011111", B"11011111", B"11011000", B"00100010", B"11111100",
B"00001011", B"11000101", B"11100101", B"00010010", B"11010100",
B"10111110", B"11100010", B"11000000", B"00010111", B"11101111",
B"00101000", B"11110001", B"11101100", B"11111000", B"11001110",
B"00010011", B"00111101", B"11111011", B"00111001", B"00001000",
B"10101011", B"11010110", B"11110101", B"11111000", B"00110001",
B"11101010", B"00010101", B"00110100", B"11011101", B"11010111",
B"00011100", B"11000111", B"11010101", B"11000101", B"00011110",
B"11011111", B"00111111", B"01010001", B"00011110", B"11001001",
B"00010100", B"11110110", B"00110101", B"11111100", B"11010101",
B"00101000", B"11011100", B"00100000", B"11000100", B"11010111",
B"00011001", B"00111011", B"00001011", B"11001010", B"11100001",
B"00101111", B"00011111", B"11000110", B"11100001", B"00101011",
B"11101110", B"11110111", B"11001111", B"11010000", B"00001110",
B"11100010", B"00101101", B"11000100", B"11111011", B"00101101",
B"11111101", B"00100000", B"11001001", B"11111000", B"00010101",
B"11100000", B"00101111", B"00000000", B"11111100", B"00000000",
B"00001011", B"11110110", B"10111111", B"11111100", B"01000011",
B"00101010", B"00100000", B"00110101", B"00110011", B"00110000",
B"00010011", B"00100100", B"00110011", B"00010101", B"00010110",
B"00100100", B"00100010", B"11110011", B"00011111", B"10111000",
B"11111111", B"00011111", B"11100111", B"00110011", B"11011000",
B"11100000", B"00000111", B"00011101", B"11000101", B"00011110",
B"00100000", B"11011000", B"00010111", B"00011101", B"11101000",
B"11010001", B"11001011", B"11101010", B"11111101", B"00110010",
B"11110100", B"00101000", B"11001110", B"11110010", B"11100000",
B"11010011", B"00101100", B"11011000", B"11110011", B"11001101",
B"11100001", B"11100000", B"00010101", B"11100111", B"00101111",
B"11001011", B"11101111", B"00010000", B"00000110", B"11100100",
B"11110110", B"00100101", B"01000000", B"11100100", B"00101100",
B"00011110", B"11000111", B"11011100", B"10100000", B"00010000",
B"00000001", B"00011100", B"00101001", B"00101011", B"00101100",
B"11001000", B"11111100", B"11000110", B"00010011", B"11000011",
B"11101110", B"00100100", B"00101101", B"11001101", B"11010100",
B"00010111", B"11001000", B"00100000", B"11000001", B"11001010",
B"00011001", B"11100011", B"11100001", B"11111110", B"11110011",
B"00011111", B"11011001", B"11100111", B"00000100", B"00010110",
B"11100001", B"11100101", B"01010100", B"11010111", B"11101110",
B"10111111", B"11101000", B"11010100", B"11101101", B"00011000",
B"00101101", B"00011111", B"01001001", B"11110110", B"10111111"
 
);
 
signal input_counter : integer range 0 to 19999 := 0;
signal start_fifo : bit_vector (7 downto 0) := ( B"0100_0000" );
signal clk : bit;
 
begin
 
process (clk, clear)
begin
if (clear = '1') then
rxin <= (others => '0');
elsif (clk = '1' and clk'event) then
rxin <= input_bank(input_counter);
end if;
end process;
 
process (clk, clear)
begin
if (clear = '1') then
input_counter <= 0;
elsif (clk = '1' and clk'event) then
if (input_counter < 19999) then
input_counter <= input_counter + 1;
else
input_counter <= 0;
end if;
end if;
end process;
 
rom_pos <= input_counter;
 
process (clk, clear)
begin
if (clear = '1') then
start_fifo <= B"0100_0000";
elsif ( clk = '1' and clk'event) then
start_fifo <= start_fifo (6 downto 0) & start_fifo (7);
end if;
end process;
 
clk <= clock;
start <= start_fifo (7);
 
end test_bench;
/trunk/xilinx/input6DB.vhdl
0,0 → 1,4109
-- $Id: input6DB.vhdl,v 1.1 2006-01-16 03:40:22 arif_endro Exp $
-------------------------------------------------------------------------------
-- Title :
-- Project :
-------------------------------------------------------------------------------
-- File :
-- Author : "Arif E. Nugroho" <arif_endro@yahoo.com>
-- Created : 2005/12/18
-- Last update :
-- Simulators :
-- Synthesizers: ISE Xilinx 6.3i
-- Target :
-------------------------------------------------------------------------------
-- Description :
-------------------------------------------------------------------------------
-- Copyright (C) 2005 Arif E. Nugroho
-- This VHDL design file is an open design; you can redistribute it and/or
-- modify it and/or implement it after contacting the author
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
-- ASSOCIATED DISCLAIMER.
--
-------------------------------------------------------------------------------
--
-- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
 
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
 
entity input is
port (
clock : in bit;
clear : in bit;
start : out bit;
rom_pos : out integer;
rxin : out bit_vector (07 downto 00)
);
end input;
 
architecture test_bench of input is
 
type rom_bank is array ( 00000 to 19999 ) of bit_vector (7 downto 0);
 
constant input_bank : rom_bank :=
(
 
B"00110111", B"00100110", B"11100011", B"00101110", B"00100101",
B"11100111", B"11110011", B"00011110", B"00101100", B"00100001",
B"00010111", B"11010110", B"00110010", B"11100101", B"00000001",
B"11010110", B"11011110", B"11011101", B"00010100", B"11010011",
B"00001001", B"11011110", B"10101000", B"00010011", B"11010110",
B"00011111", B"11011011", B"11101001", B"11101100", B"00110111",
B"00100101", B"11010110", B"11101101", B"00010111", B"00011001",
B"00010010", B"11100110", B"00100010", B"11101001", B"00101101",
B"11111000", B"00101000", B"11010110", B"11100110", B"11010110",
B"00010001", B"00011001", B"00001001", B"11000110", B"11110000",
B"00011110", B"11001101", B"00100011", B"11001011", B"11100101",
B"00001011", B"11010100", B"11111001", B"00101110", B"00001011",
B"00101000", B"00010010", B"11101000", B"11100000", B"00100101",
B"00011010", B"11100100", B"00010010", B"00100101", B"11100001",
B"11010111", B"00100110", B"00100001", B"00010101", B"11101011",
B"11101110", B"00111011", B"00000110", B"11110010", B"11101100",
B"11011111", B"00000101", B"00001110", B"00000100", B"00100110",
B"00011010", B"11110011", B"11111001", B"11000111", B"00111010",
B"11101000", B"00011010", B"11100101", B"11101101", B"00011011",
B"00001101", B"11101101", B"00001011", B"00110000", B"11101111",
B"11001110", B"11011100", B"11011000", B"11000110", B"11100111",
B"11010010", B"11001100", B"11011110", B"00011100", B"00010110",
B"00001110", B"00010000", B"00011111", B"11100011", B"11001010",
B"00010110", B"11100111", B"11010100", B"11101010", B"11111111",
B"00000010", B"00110000", B"11100101", B"00010100", B"00100101",
B"11101000", B"11010010", B"00011100", B"11100101", B"11100000",
B"00101100", B"00011000", B"00110010", B"00001010", B"11011101",
B"11010111", B"11101100", B"00100111", B"00010110", B"00010011",
B"11111001", B"00011000", B"11111000", B"00001000", B"00010101",
B"00001110", B"00100011", B"11101111", B"00010010", B"11011001",
B"00101101", B"11001101", B"11011111", B"00011010", B"11001011",
B"01001011", B"11011100", B"11011000", B"00100000", B"00100011",
B"00010111", B"11011110", B"11100111", B"00011001", B"11010110",
B"11001011", B"11110110", B"11110100", B"11010110", B"00001101",
B"11011100", B"00000001", B"11101111", B"00001010", B"00001010",
B"11011110", B"01000010", B"11010101", B"00110100", B"11010011",
B"11101111", B"11001100", B"00011110", B"00010001", B"00101001",
B"00010100", B"00101111", B"11011000", B"00010111", B"11100011",
B"00110010", B"11110010", B"11101111", B"11100110", B"00111001",
B"00110111", B"00100110", B"00010100", B"11100010", B"11100010",
B"11001010", B"00100100", B"00101000", B"11011000", B"11011010",
B"11011100", B"11110000", B"11101000", B"11010101", B"11110111",
B"00001000", B"00001101", B"00010110", B"01001011", B"11011001",
B"11111011", B"11011000", B"00011110", B"00010101", B"00100010",
B"11010110", B"00010110", B"11000100", B"00001101", B"00110010",
B"11010110", B"11010110", B"00011001", B"11100100", B"11011100",
B"11100011", B"11011001", B"11101110", B"11101101", B"00011000",
B"11011101", B"00110010", B"11011101", B"11011100", B"00010111",
B"00010011", B"00100011", B"00100110", B"00110111", B"00011101",
B"00011011", B"00100010", B"00010001", B"00010100", B"11011001",
B"00011101", B"00110100", B"11010101", B"00110010", B"00110001",
B"11011101", B"00100111", B"00000010", B"00001001", B"00100101",
B"00011001", B"00100010", B"00100010", B"00000001", B"00010111",
B"11000110", B"11010110", B"00010001", B"11101000", B"11010110",
B"11101110", B"11011001", B"11001110", B"11100110", B"00010100",
B"11111001", B"00010010", B"11110111", B"11100010", B"00001101",
B"11111001", B"00011001", B"11111110", B"11100100", B"11010100",
B"00101011", B"00111101", B"11101101", B"00011001", B"00011000",
B"00100000", B"00010000", B"00010001", B"00011101", B"00001100",
B"00001011", B"11000101", B"00011011", B"00110000", B"11011110",
B"11010000", B"11110001", B"11100101", B"11010111", B"11010001",
B"00010011", B"00101100", B"11100100", B"11100000", B"11010100",
B"11100000", B"11111001", B"11011011", B"00101100", B"00011001",
B"00110011", B"11100110", B"00010001", B"11010011", B"00011011",
B"00101001", B"11101001", B"11010001", B"00100111", B"11011011",
B"11111101", B"11100100", B"11110001", B"11110111", B"11011101",
B"00100100", B"00010101", B"00100111", B"00001010", B"11011011",
B"11010111", B"00011111", B"11010101", B"11101001", B"00100100",
B"11110110", B"11110000", B"11001011", B"11010011", B"11110000",
B"11010010", B"00011111", B"11111010", B"00011101", B"11100101",
B"11110001", B"00100110", B"11110111", B"11101001", B"00001000",
B"11010111", B"00001001", B"11110010", B"11010001", B"00010111",
B"11010101", B"00001100", B"11100111", B"11001110", B"11010100",
B"00101000", B"00011001", B"11110000", B"00010000", B"11011101",
B"00100010", B"11011110", B"11011011", B"11101010", B"00110000",
B"00011101", B"11100001", B"11011110", B"00100100", B"11010000",
B"00100011", B"11011010", B"11101110", B"00101011", B"11100011",
B"11011111", B"11010101", B"11011010", B"00010110", B"00101011",
B"00101010", B"00011101", B"00011000", B"11110011", B"11101000",
B"00111111", B"11110010", B"11100010", B"11100000", B"11110111",
B"11100101", B"00010100", B"00011011", B"00100110", B"11010010",
B"00110010", B"11101010", B"00011101", B"00110001", B"00110001",
B"11010101", B"00101001", B"00100111", B"11101111", B"11001001",
B"11110100", B"00000010", B"11011000", B"11111100", B"11110110",
B"00101010", B"00010101", B"00100110", B"00110000", B"00110101",
B"11100010", B"11001001", B"00101101", B"11101111", B"11100111",
B"11000011", B"11001101", B"00011100", B"00010110", B"00100000",
B"00010000", B"00101011", B"00100000", B"00111001", B"00100110",
B"11101111", B"11101110", B"11011011", B"00011100", B"00100111",
B"11110111", B"00100010", B"11010001", B"00010010", B"00110000",
B"00110100", B"11111000", B"00011000", B"11101111", B"00100000",
B"11111001", B"00101111", B"11010001", B"00111001", B"11001100",
B"11010010", B"11011100", B"00011000", B"00101010", B"00110110",
B"11010100", B"11010010", B"11011001", B"11001111", B"00100011",
B"11111000", B"00110011", B"11011010", B"10111100", B"11011111",
B"11011110", B"00011110", B"00011111", B"00011010", B"00101100",
B"00100010", B"00000010", B"00010101", B"00011000", B"11101100",
B"00100111", B"00011110", B"11010011", B"11000111", B"00010000",
B"11011001", B"00101001", B"11010101", B"11000010", B"00011110",
B"00110010", B"11101100", B"00111010", B"11101011", B"11010100",
B"10111101", B"00001010", B"00010010", B"11011100", B"00101111",
B"11101101", B"11010000", B"11101011", B"11011010", B"00111110",
B"11100001", B"00110000", B"00011000", B"00101100", B"11011000",
B"11100000", B"00100011", B"00101110", B"11000110", B"11100110",
B"11100100", B"11110100", B"00100010", B"11100000", B"00101100",
B"11011110", B"11001000", B"00100010", B"11010110", B"00101111",
B"00111010", B"00110001", B"11010110", B"00100000", B"11010010",
B"00010100", B"11101100", B"00010101", B"00100101", B"00100111",
B"11100110", B"00100100", B"11110011", B"00011111", B"00111001",
B"00001101", B"00010100", B"10111111", B"00011010", B"11110011",
B"00001111", B"11010010", B"11101001", B"01000001", B"00111111",
B"11111101", B"11100110", B"00110100", B"11001111", B"00011010",
B"11100110", B"11001001", B"11001100", B"00101000", B"01000010",
B"11001000", B"00101001", B"11010010", B"00011100", B"11010111",
B"11100001", B"00111000", B"11001000", B"11100101", B"11000101",
B"11010011", B"11010010", B"11010010", B"11010010", B"11100001",
B"01000011", B"00001001", B"00101101", B"00010011", B"11110011",
B"11010100", B"00101001", B"11011011", B"00011000", B"11111000",
B"11010010", B"00011110", B"00110101", B"00001111", B"00100101",
B"00011101", B"00100011", B"11111111", B"00011010", B"00100001",
B"00110111", B"00110100", B"00011011", B"00001001", B"00100100",
B"00101101", B"00101011", B"00100101", B"11100110", B"11010110",
B"00000100", B"11010000", B"00101100", B"00100001", B"00101101",
B"00110000", B"11100110", B"00010111", B"11100000", B"11101101",
B"11010010", B"11101001", B"00101010", B"00100111", B"11011000",
B"00010011", B"00011011", B"11000111", B"11010100", B"11011101",
B"11101011", B"11000001", B"01000111", B"00100101", B"00001011",
B"11100110", B"00100110", B"00100111", B"11011110", B"00010000",
B"11110100", B"11011100", B"11100111", B"00100101", B"00100010",
B"00000111", B"00000101", B"11101001", B"00101111", B"00011101",
B"11101100", B"00001101", B"00100100", B"11011000", B"11100110",
B"00110111", B"00001110", B"00001011", B"11000111", B"00001010",
B"00010110", B"11001000", B"11011011", B"00101101", B"00100101",
B"00010111", B"11010101", B"11101111", B"00100000", B"00101000",
B"11011010", B"11011110", B"00000101", B"00010010", B"00100011",
B"00010101", B"11001000", B"00100010", B"11110011", B"00011001",
B"11011100", B"11100000", B"00101010", B"11100010", B"00100001",
B"11000111", B"11101010", B"00110011", B"11100001", B"11000101",
B"00010110", B"11111100", B"00010110", B"11100110", B"11101000",
B"00101111", B"00100100", B"00101011", B"00010100", B"00100010",
B"00101011", B"00110100", B"00011100", B"00110110", B"00011011",
B"00001110", B"00011010", B"00001011", B"00100001", B"00010100",
B"00101100", B"00011011", B"11010011", B"00101001", B"00010101",
B"11100111", B"11101001", B"11100111", B"11110101", B"11101111",
B"11001001", B"00100110", B"11010100", B"00001010", B"11101000",
B"00001111", B"00100000", B"00101011", B"11110100", B"00100011",
B"11100100", B"00101101", B"11110010", B"11011100", B"00100010",
B"00101000", B"00100111", B"00100100", B"00110010", B"00100011",
B"00010111", B"00110000", B"00011001", B"00100110", B"00101000",
B"11101110", B"11001111", B"11100010", B"11011001", B"00100111",
B"11010000", B"00101011", B"00011001", B"00110001", B"00011000",
B"11001101", B"00100100", B"11011111", B"00010111", B"11011111",
B"11010111", B"11011111", B"11011010", B"11101010", B"00110000",
B"00101110", B"00101101", B"00010000", B"11100100", B"00001000",
B"11000111", B"00110001", B"11000101", B"11010011", B"01000110",
B"00101110", B"00110101", B"10110100", B"11100100", B"00000111",
B"11110000", B"11100001", B"11100101", B"11011100", B"00011101",
B"11101000", B"00000001", B"00111001", B"11111111", B"11111100",
B"00100101", B"11111111", B"00100010", B"00001001", B"00011001",
B"00101000", B"00011000", B"00011010", B"11111110", B"00001110",
B"11101101", B"00010110", B"11011101", B"11110000", B"11001000",
B"00100100", B"00011000", B"11001010", B"11101011", B"11110000",
B"00011100", B"00111110", B"00110000", B"00001001", B"11010010",
B"11001100", B"00011010", B"00101010", B"00010011", B"00011111",
B"00111000", B"00011011", B"00011011", B"00101011", B"00010001",
B"00010101", B"11010010", B"11010011", B"00110100", B"00001101",
B"11100001", B"11101011", B"11110010", B"11100100", B"10110110",
B"11100100", B"00011010", B"00010011", B"00011011", B"00100100",
B"00000100", B"00111100", B"00010001", B"11100100", B"00001001",
B"11100001", B"00011110", B"11100000", B"00101100", B"00101001",
B"00001111", B"00101000", B"00001001", B"00011001", B"00010000",
B"00010111", B"00011111", B"00011011", B"00101101", B"00010110",
B"00001010", B"00001100", B"00101010", B"00100011", B"11100011",
B"00001111", B"11111110", B"10111110", B"11110010", B"00101111",
B"00101001", B"11111110", B"00100000", B"11110010", B"00100001",
B"00100100", B"11110000", B"00011010", B"00100101", B"11011110",
B"11011011", B"11101101", B"00100000", B"11001000", B"00010111",
B"11101110", B"11011001", B"11110111", B"00110011", B"00010001",
B"11010100", B"10110011", B"00100010", B"11111110", B"11101011",
B"11010001", B"11111101", B"00001000", B"00101001", B"11010010",
B"00110110", B"11010101", B"00000101", B"11001101", B"11010110",
B"00101101", B"01000001", B"00101110", B"11101011", B"00100111",
B"11001001", B"00100001", B"00011101", B"11010011", B"00110001",
B"11100100", B"11101001", B"11101111", B"00010101", B"00100110",
B"00011011", B"00100000", B"00100011", B"00101111", B"00100001",
B"00011110", B"00110111", B"00010000", B"00111011", B"11110101",
B"00011010", B"00100111", B"11010101", B"00011110", B"00000101",
B"11000111", B"11011101", B"11001101", B"11010100", B"11011111",
B"00011101", B"00011100", B"00101010", B"00101010", B"00100111",
B"11101110", B"00010110", B"00100101", B"11100100", B"00011111",
B"00101110", B"11100110", B"00100100", B"00101111", B"11101101",
B"00110100", B"00100101", B"11100110", B"11011000", B"00011010",
B"00101100", B"11110010", B"00101100", B"11010001", B"11110000",
B"11011100", B"00100011", B"00001100", B"00100001", B"00010111",
B"01000010", B"00100010", B"00100000", B"00011001", B"11110011",
B"00100011", B"00101010", B"00011010", B"00101001", B"11110111",
B"00100000", B"11101000", B"00011001", B"11110101", B"01000111",
B"11100001", B"00100001", B"00110010", B"11011000", B"00001011",
B"00010110", B"11100011", B"11011001", B"00010110", B"00000101",
B"00101000", B"11100110", B"00100001", B"11110010", B"00011110",
B"01001011", B"00000110", B"00000000", B"00001000", B"00011111",
B"00100010", B"00011000", B"00100000", B"11111001", B"11100000",
B"00101111", B"11011111", B"00010010", B"11100100", B"11010000",
B"00010111", B"11101000", B"11100110", B"00110011", B"00100111",
B"00101011", B"00100101", B"11010010", B"11100101", B"10111001",
B"11011100", B"00000011", B"00101111", B"00101001", B"11110001",
B"00010011", B"11101010", B"11101110", B"11110100", B"00110000",
B"00011100", B"00000000", B"00100101", B"11100001", B"11110111",
B"11011101", B"00100000", B"00101100", B"11100010", B"11011101",
B"11100001", B"11111011", B"11010111", B"00011100", B"00010011",
B"11100001", B"00100001", B"00001010", B"11010000", B"11111111",
B"00100110", B"00001101", B"11110001", B"11111110", B"11101111",
B"11100101", B"11011001", B"00100001", B"00100010", B"00101100",
B"00011010", B"00011001", B"11011010", B"00001101", B"11101001",
B"00011100", B"11101001", B"00100011", B"11110011", B"00001101",
B"11011011", B"11100111", B"11010011", B"00010101", B"00011100",
B"11001011", B"00001100", B"00010111", B"11001100", B"11101110",
B"11010111", B"11101101", B"10111110", B"00100000", B"00101111",
B"11011000", B"11100001", B"00100100", B"00000110", B"11101001",
B"11010000", B"11011000", B"00011101", B"11011010", B"00110011",
B"11100001", B"11110100", B"00011000", B"00001111", B"00011101",
B"11010010", B"11101000", B"11001110", B"11001111", B"00101011",
B"11100000", B"00001001", B"11110010", B"11100110", B"00011010",
B"11101111", B"00110110", B"10110100", B"10111101", B"00100010",
B"00101101", B"00010110", B"10110011", B"00010010", B"00101000",
B"11001011", B"11110000", B"00010111", B"11001011", B"11110000",
B"11011111", B"11010101", B"11111110", B"01000100", B"00010110",
B"00100100", B"11010011", B"00100000", B"11101101", B"00010000",
B"11001011", B"11100011", B"00011000", B"00010001", B"00010010",
B"00011011", B"11110001", B"11101000", B"01000110", B"00010011",
B"11000100", B"11100001", B"00100000", B"00011011", B"11100001",
B"11100001", B"00110100", B"00010110", B"11011111", B"11001100",
B"00100000", B"00100001", B"11010100", B"00000110", B"00100010",
B"11110010", B"11100111", B"00001100", B"00100100", B"11001100",
B"11100111", B"11111011", B"11001100", B"00101000", B"11110110",
B"00010001", B"00011011", B"00000100", B"11101111", B"00110000",
B"00001011", B"11100010", B"11100011", B"00101100", B"00011010",
B"00011100", B"00000101", B"00010010", B"00010111", B"00100001",
B"00110000", B"00010100", B"00101001", B"00101011", B"00001010",
B"11011111", B"00100000", B"11110011", B"00100010", B"11001001",
B"00000001", B"11101111", B"11100111", B"00001110", B"11100011",
B"11011001", B"11011111", B"11011010", B"11100100", B"11011001",
B"00101110", B"00011100", B"00101010", B"00001000", B"11101100",
B"00001010", B"00000110", B"00010000", B"00010011", B"11010100",
B"00100100", B"11101000", B"00100110", B"11011100", B"00011101",
B"00000110", B"11101010", B"00100100", B"11100000", B"11101111",
B"11010001", B"11000101", B"11100011", B"11110001", B"11110101",
B"00011101", B"00101000", B"11100100", B"00001100", B"11000111",
B"00011010", B"11011110", B"11100101", B"11111100", B"11010010",
B"11111000", B"11101101", B"11000101", B"11100010", B"11001011",
B"00101011", B"11100011", B"00101010", B"11101011", B"11011000",
B"00001111", B"00101010", B"00011100", B"00000110", B"11011011",
B"00011101", B"11101101", B"00000001", B"11001111", B"11101001",
B"11011001", B"00100110", B"00000000", B"00011010", B"11010111",
B"11001010", B"00101010", B"00101001", B"00100001", B"00101111",
B"11011011", B"00001001", B"11010100", B"00011101", B"11110000",
B"00110010", B"01000001", B"00001101", B"11101011", B"01001011",
B"00110110", B"10110000", B"11101100", B"11011111", B"00101000",
B"00110000", B"00010001", B"11001100", B"00111110", B"11010111",
B"00000101", B"11011100", B"00011001", B"00001111", B"11011111",
B"11011111", B"11010010", B"11000010", B"11001010", B"11100100",
B"00110001", B"11001100", B"00010001", B"11101100", B"11011110",
B"00101110", B"00010000", B"00100010", B"11011100", B"11100010",
B"01000011", B"11101011", B"11011000", B"11010111", B"11001101",
B"11101110", B"11010110", B"00001011", B"11101010", B"00111111",
B"11101000", B"11001101", B"00000001", B"00110001", B"11011010",
B"11010110", B"00000111", B"11010011", B"11011011", B"11110101",
B"11110000", B"11011100", B"00010000", B"11000110", B"00110010",
B"11011100", B"11001011", B"11110111", B"00011011", B"00110011",
B"00100101", B"00000000", B"11011111", B"01000100", B"00100110",
B"11011000", B"11011111", B"00010101", B"00011111", B"11111101",
B"00011110", B"00010100", B"11101100", B"11011110", B"00011001",
B"11111101", B"11101011", B"11111000", B"00100011", B"00101010",
B"11011100", B"00010110", B"11101001", B"11010111", B"11110101",
B"00110000", B"11001010", B"00010000", B"11110010", B"11110100",
B"00101001", B"11101110", B"00011101", B"11101011", B"11011010",
B"11011110", B"00010110", B"00100001", B"11011110", B"00111110",
B"00110010", B"11100010", B"00100001", B"01000100", B"11100010",
B"11100111", B"00110000", B"11100110", B"11101100", B"11100010",
B"00110010", B"00100010", B"11100001", B"00110000", B"11100001",
B"11101000", B"11110010", B"00110011", B"00100101", B"11010011",
B"00010011", B"11011100", B"00100001", B"00011100", B"00100011",
B"11011111", B"00010010", B"01001100", B"11100011", B"11100010",
B"00101010", B"11011110", B"11100010", B"11111000", B"11101100",
B"00111000", B"00101111", B"00011001", B"11111111", B"00110101",
B"00010110", B"11011100", B"00101001", B"00011110", B"11011010",
B"11011000", B"00011111", B"00100001", B"11010001", B"11000111",
B"00110010", B"11000011", B"11110100", B"11011011", B"11100011",
B"11010011", B"11011111", B"00000011", B"00101101", B"11111100",
B"11100011", B"00110000", B"11100101", B"11100110", B"11100001",
B"00010001", B"00010110", B"01000110", B"00010101", B"11100110",
B"10110101", B"00101110", B"00010111", B"00100110", B"00001001",
B"00001010", B"00001111", B"11111110", B"00001100", B"00101110",
B"00001010", B"11111001", B"00101111", B"00010110", B"00101000",
B"00010110", B"11111111", B"11111011", B"00010111", B"00011111",
B"00110110", B"00010110", B"00011011", B"00100111", B"00101111",
B"00110100", B"11101101", B"11010011", B"00001100", B"10110110",
B"11001100", B"11101101", B"11101010", B"11110110", B"11101111",
B"11001100", B"00000101", B"00010101", B"11101011", B"00011001",
B"11101010", B"00100001", B"11011011", B"00101111", B"11010110",
B"11100011", B"11100010", B"00001101", B"00111001", B"11110001",
B"00011000", B"11011101", B"00010010", B"11100100", B"11110101",
B"00011000", B"00101001", B"11110101", B"00011001", B"00011000",
B"00101100", B"11010111", B"00100101", B"11000010", B"00011011",
B"00010110", B"11011100", B"00110011", B"00010010", B"11101001",
B"00110110", B"00010010", B"11101100", B"00111110", B"00010011",
B"00010000", B"11110110", B"00010011", B"11100111", B"00110010",
B"11000101", B"00100100", B"00001000", B"00101000", B"11011010",
B"11100001", B"11100000", B"00100110", B"00011001", B"00001100",
B"11010011", B"11010100", B"00010101", B"11100111", B"11111110",
B"11101100", B"11011111", B"11011100", B"11010110", B"00101101",
B"11110110", B"00001111", B"11001110", B"11000000", B"00100110",
B"11110100", B"00100111", B"11100100", B"11011001", B"11101111",
B"00011111", B"00100100", B"11100110", B"11011011", B"00100010",
B"00110001", B"00100011", B"11011101", B"00110111", B"11001101",
B"00101100", B"11101000", B"11100110", B"11011011", B"11110011",
B"00100000", B"00010101", B"00100110", B"00101011", B"11011111",
B"11101000", B"11010001", B"11111011", B"00100111", B"00101000",
B"00101001", B"01000101", B"11110010", B"11010001", B"00011110",
B"11001111", B"00010000", B"11010100", B"11100111", B"00001100",
B"00101000", B"00001110", B"00011010", B"11101100", B"00100101",
B"11011100", B"00100001", B"11100011", B"11011101", B"00101010",
B"11001110", B"11101001", B"11011011", B"00001110", B"00000111",
B"11100010", B"11011101", B"00111001", B"00011000", B"00010110",
B"11010111", B"00101011", B"11000100", B"00110111", B"00111010",
B"00100011", B"11010011", B"11001100", B"01010100", B"00100111",
B"11010101", B"11110101", B"00101101", B"00000010", B"11100110",
B"00111111", B"00011111", B"11111011", B"11011011", B"00100111",
B"00110000", B"11101101", B"00011000", B"00010011", B"11011000",
B"00100111", B"00010100", B"11100101", B"00011000", B"00101010",
B"11001010", B"00001011", B"00100100", B"11000000", B"11001101",
B"00100010", B"00101001", B"00101110", B"00000100", B"00111111",
B"00100110", B"11101100", B"11010101", B"00011110", B"00101001",
B"01001101", B"11110000", B"00111001", B"00100001", B"11110000",
B"11001111", B"00011010", B"00100000", B"11110011", B"00111010",
B"11001111", B"11001101", B"11011000", B"00011100", B"00110011",
B"00011100", B"11011001", B"11011100", B"10111110", B"11110110",
B"00010011", B"11110011", B"00110011", B"11100000", B"11100111",
B"00001010", B"11101100", B"00001100", B"11011011", B"11101000",
B"00010111", B"11011111", B"11111011", B"00001001", B"00110011",
B"11111001", B"00010110", B"11101001", B"00000110", B"11000110",
B"11000110", B"00101011", B"11001100", B"00101011", B"11001101",
B"11001101", B"00010010", B"11011110", B"00010100", B"00011111",
B"11001101", B"11101111", B"11101011", B"11010101", B"11001001",
B"11011100", B"00001111", B"00101101", B"11100000", B"11111011",
B"11001010", B"11110100", B"11101101", B"00011010", B"11001100",
B"00100111", B"11011000", B"11101101", B"11100110", B"00100001",
B"00010011", B"00011010", B"00010100", B"11110010", B"11010001",
B"00010000", B"00011010", B"11110011", B"11101101", B"00101001",
B"11101111", B"00000110", B"00001111", B"11100101", B"00101001",
B"00100010", B"11011101", B"00011100", B"00011110", B"00010011",
B"00010101", B"00011111", B"00101101", B"00011000", B"00100011",
B"11000110", B"11010101", B"00011110", B"00010111", B"00100001",
B"00010101", B"11011000", B"11011100", B"11011111", B"11110010",
B"00101001", B"00100001", B"00101101", B"00100100", B"11010110",
B"11101011", B"11001010", B"11111101", B"00010111", B"00011001",
B"11011111", B"00100101", B"11011111", B"00100000", B"11011101",
B"00101000", B"00100000", B"11101010", B"11100011", B"11100001",
B"11101111", B"11011111", B"00011110", B"00001011", B"00001111",
B"00001000", B"00101001", B"00010100", B"00001000", B"00110001",
B"11100110", B"11011111", B"11110110", B"00001010", B"00101111",
B"11011010", B"00000010", B"11101001", B"00001001", B"11100101",
B"11010101", B"00001000", B"11101101", B"11010111", B"11100010",
B"11100101", B"11011110", B"11011101", B"00010110", B"11001010",
B"00011001", B"11110101", B"11011110", B"00111011", B"00101100",
B"00100001", B"11101001", B"00011011", B"00011111", B"11011100",
B"11011000", B"00100111", B"00011100", B"00111110", B"01000001",
B"00011100", B"00011101", B"00010011", B"00111110", B"00100110",
B"11110010", B"11101110", B"11101000", B"10110111", B"00101101",
B"00110010", B"00110010", B"00101101", B"11001001", B"00000110",
B"11100101", B"00110101", B"11011010", B"10111101", B"00011011",
B"00100010", B"11010011", B"00110010", B"11101010", B"00101000",
B"11011110", B"11101100", B"11111110", B"00111010", B"00111011",
B"11101001", B"11111010", B"00100110", B"11001010", B"01000001",
B"00101010", B"11100001", B"11100010", B"11001011", B"00100000",
B"11011010", B"00010101", B"11100010", B"11100011", B"00101110",
B"00001111", B"00011111", B"11101110", B"00101101", B"00100010",
B"11110010", B"11001110", B"00100110", B"11110000", B"00000000",
B"00110111", B"11010111", B"11110010", B"11011110", B"11100110",
B"11011000", B"11000100", B"00110110", B"00001001", B"11011011",
B"11110110", B"11101011", B"11001110", B"11101111", B"11100100",
B"00001101", B"11011100", B"11100100", B"11011111", B"00101011",
B"00101000", B"11100001", B"11011001", B"00011001", B"00110011",
B"00010010", B"11101110", B"00010001", B"11000101", B"11111101",
B"00001100", B"00001111", B"00101011", B"00010111", B"00011011",
B"11110111", B"00110010", B"00011010", B"11100010", B"00100111",
B"00011110", B"11111000", B"11010111", B"11001111", B"11110100",
B"11010110", B"11000110", B"11100100", B"11110001", B"00101100",
B"00001010", B"11111110", B"00100100", B"11101100", B"00010011",
B"00110100", B"00110001", B"00101010", B"00100010", B"00001001",
B"00100001", B"00001110", B"00100111", B"11111010", B"11010011",
B"00100010", B"11001110", B"11011000", B"11011110", B"11101110",
B"11111001", B"00100001", B"00001001", B"00011110", B"11100100",
B"00100010", B"00010000", B"00110111", B"00101010", B"11011001",
B"00001111", B"11000011", B"11110101", B"11001101", B"00101001",
B"00000111", B"11110110", B"00100000", B"11011000", B"00010100",
B"01000110", B"11001011", B"11011001", B"00001110", B"11011011",
B"11100010", B"00101001", B"00100011", B"00100010", B"00101000",
B"11011010", B"11101101", B"01000110", B"11011100", B"00100101",
B"00010110", B"11001000", B"00100010", B"00101110", B"11001111",
B"11100110", B"00101010", B"00001100", B"00110101", B"11101101",
B"00001011", B"11010110", B"00011001", B"00011111", B"11011000",
B"11001000", B"00010110", B"11100100", B"11011110", B"11111011",
B"11100101", B"00011000", B"11011100", B"00011001", B"00011111",
B"11101100", B"00111000", B"00011100", B"11011011", B"11010100",
B"11100001", B"11110101", B"11110001", B"00100101", B"00011010",
B"00011000", B"00100100", B"11111000", B"00100010", B"11001000",
B"11011001", B"00110001", B"00100111", B"11010010", B"11100010",
B"00100111", B"11101111", B"00010010", B"11101100", B"11100001",
B"11001010", B"00000001", B"00011101", B"00011010", B"00100010",
B"00110100", B"00010110", B"00011000", B"00100000", B"00110110",
B"00101001", B"11010110", B"11011100", B"00011101", B"01000100",
B"00101100", B"00011110", B"11010101", B"11011100", B"00110011",
B"11001010", B"11101011", B"00100011", B"11111101", B"11011110",
B"11010100", B"11111000", B"11011111", B"11101110", B"11010110",
B"00010110", B"00011100", B"11010111", B"00010011", B"11101010",
B"11101001", B"11100011", B"11010101", B"11100001", B"00010000",
B"00100100", B"00100111", B"00010111", B"11000101", B"00111100",
B"00011101", B"00011010", B"00000000", B"00100011", B"11101101",
B"00011010", B"11100011", B"00010011", B"00100010", B"00011100",
B"11011011", B"00010001", B"11001010", B"00010010", B"00010110",
B"11100000", B"11010111", B"00010000", B"11011001", B"11011101",
B"11011111", B"11011100", B"11010101", B"00100101", B"00011011",
B"00011011", B"11101111", B"00011101", B"11100101", B"00101000",
B"11100011", B"00010101", B"00000100", B"00101110", B"11010111",
B"00001100", B"11100011", B"00111110", B"11100000", B"11011010",
B"00110001", B"00101001", B"00011011", B"00100001", B"11010111",
B"11111101", B"11100001", B"00100001", B"00001000", B"00011100",
B"11100101", B"00011010", B"11000001", B"00010101", B"11000100",
B"11110100", B"00100111", B"00001110", B"00100001", B"00000101",
B"00000011", B"11100011", B"00110100", B"00011100", B"11110000",
B"11100010", B"00011101", B"00101101", B"11010101", B"11011111",
B"00011000", B"11001101", B"11100111", B"00011111", B"11101100",
B"11100111", B"11011011", B"11101110", B"11010100", B"00001000",
B"00011100", B"11110011", B"11010100", B"11100111", B"11101100",
B"11010100", B"00101110", B"11101100", B"00011100", B"11100010",
B"11010110", B"11110001", B"00011011", B"00100010", B"01001000",
B"00100111", B"11101111", B"11100011", B"00110001", B"00101110",
B"11011010", B"11101000", B"00111110", B"11011011", B"11101011",
B"11111010", B"11000110", B"01000011", B"11101100", B"00111010",
B"00011011", B"11101011", B"00010010", B"00001000", B"11011101",
B"00100100", B"00010101", B"11001000", B"00010000", B"00011101",
B"11010000", B"00011010", B"00001011", B"11010111", B"11100101",
B"00100101", B"11100010", B"00011011", B"00000101", B"11100100",
B"11110000", B"00011001", B"00011001", B"11100111", B"11010110",
B"00010010", B"00111001", B"11111001", B"11010101", B"11001100",
B"11101001", B"11111001", B"00101000", B"11011010", B"11000001",
B"11011001", B"11101100", B"01000100", B"11010010", B"00001111",
B"11010010", B"11100000", B"11011110", B"00100101", B"00100100",
B"11101011", B"00101100", B"11010011", B"00111100", B"00111011",
B"00110001", B"11101101", B"00100100", B"11100001", B"00010100",
B"11010000", B"11101100", B"00001100", B"00011000", B"11010100",
B"11011010", B"11100010", B"11111110", B"11000111", B"00010110",
B"11101011", B"11100110", B"00011000", B"11101001", B"11110011",
B"11110001", B"11101011", B"00000010", B"00110001", B"00101000",
B"11111100", B"11110110", B"11110011", B"11100100", B"10111100",
B"11110111", B"11001100", B"11010011", B"11010111", B"11111010",
B"00011000", B"11110100", B"00100110", B"11001111", B"11110010",
B"11011101", B"11010001", B"00100101", B"00101010", B"00011111",
B"00101110", B"00001001", B"00011010", B"11110110", B"00110110",
B"00001001", B"11011111", B"11010110", B"00011101", B"11011011",
B"00100110", B"11111010", B"00001111", B"11110101", B"11111111",
B"00100101", B"00011111", B"00010101", B"00011011", B"11011000",
B"11101010", B"00001000", B"00101011", B"11100010", B"11101010",
B"00110100", B"11010111", B"00101001", B"11100000", B"11010011",
B"11011110", B"00110001", B"00010000", B"11011000", B"00110111",
B"11011101", B"00101001", B"11101101", B"11110010", B"00110010",
B"00101111", B"00100110", B"00010100", B"00101111", B"00100000",
B"00011010", B"11101111", B"11111111", B"00100101", B"00011010",
B"00010011", B"00111100", B"00000001", B"00100000", B"00101110",
B"00100100", B"00100011", B"00110001", B"00101101", B"00100101",
B"11100011", B"00010011", B"11010000", B"11111010", B"11010001",
B"00111100", B"11011000", B"11101110", B"00011101", B"11100101",
B"11110111", B"11001100", B"11011111", B"11010111", B"10111100",
B"11001100", B"11010100", B"00000010", B"00001101", B"00010110",
B"00100111", B"00010110", B"00110010", B"11100111", B"00011001",
B"11111111", B"11101010", B"11000000", B"00111001", B"00100000",
B"11011011", B"00110010", B"00011100", B"11010011", B"00100011",
B"00011011", B"11011110", B"11010111", B"11100111", B"00101110",
B"00101001", B"00010111", B"00101001", B"11010010", B"00001011",
B"00010110", B"11010010", B"00101010", B"00101010", B"11011001",
B"00011001", B"00100000", B"11010100", B"00010101", B"00011110",
B"00110111", B"00000100", B"00100001", B"11100001", B"00010100",
B"11101000", B"00101011", B"00100100", B"00101001", B"00010111",
B"00011110", B"00110001", B"00111100", B"00011111", B"11010110",
B"00001100", B"11101011", B"00010000", B"11100011", B"11011011",
B"00010110", B"00010111", B"11101001", B"00100101", B"00100010",
B"11001100", B"11011101", B"11111000", B"11010011", B"11011000",
B"11100001", B"00011001", B"11011110", B"11010110", B"11010111",
B"00111101", B"00010100", B"11100000", B"00001101", B"11011111",
B"00010110", B"11101011", B"11011100", B"10111100", B"00001011",
B"00100100", B"11110011", B"11101101", B"00011001", B"11110010",
B"00100011", B"11101101", B"11011110", B"00100010", B"11011000",
B"11000111", B"00011001", B"00100010", B"00100001", B"00100000",
B"11011000", B"11010000", B"00000110", B"00010001", B"11101101",
B"00101101", B"11101111", B"11101001", B"00010001", B"00011101",
B"00100011", B"00101011", B"11010000", B"00011010", B"11111101",
B"11100110", B"11010011", B"00100000", B"11101100", B"11101110",
B"00011001", B"11011100", B"00101010", B"11100101", B"11010110",
B"00011001", B"11110111", B"11001110", B"11010101", B"11100111",
B"00100011", B"00000000", B"00101110", B"00010011", B"11100011",
B"00011111", B"00011001", B"00010110", B"11101000", B"00001011",
B"11110010", B"00010110", B"00010011", B"11011111", B"00001011",
B"11100011", B"11010111", B"01001010", B"11010000", B"00010010",
B"00011111", B"11100111", B"00110111", B"11111001", B"11100101",
B"11000100", B"00101100", B"00101100", B"00100000", B"00010111",
B"11101100", B"00000011", B"00000111", B"11100110", B"11101011",
B"00110100", B"11011011", B"11011100", B"00001100", B"11010100",
B"00111010", B"11100001", B"11011011", B"00010100", B"00000111",
B"11011000", B"11110011", B"11101001", B"11101100", B"00001000",
B"11110101", B"00100110", B"11101100", B"11011000", B"11010011",
B"00001000", B"00101000", B"11110001", B"00100110", B"11101100",
B"11100011", B"00101001", B"11101010", B"11100011", B"11100101",
B"00100010", B"01001010", B"11001100", B"00101000", B"11100111",
B"10111110", B"00001111", B"00000001", B"11100100", B"11111010",
B"11001010", B"00000100", B"11101000", B"00010101", B"11011010",
B"11100101", B"11010010", B"00100111", B"00101001", B"11011010",
B"00100000", B"11111001", B"00000111", B"11100001", B"11010100",
B"00011110", B"00011011", B"11010011", B"00010001", B"00100110",
B"11100100", B"11011100", B"11100100", B"11101111", B"11011010",
B"00101110", B"00111101", B"00011000", B"11101110", B"00100101",
B"11010101", B"00011110", B"11100000", B"00011111", B"00010100",
B"00011111", B"11001100", B"00100011", B"11011101", B"00010101",
B"11100100", B"11100101", B"00010101", B"00010001", B"00001101",
B"11011100", B"00011111", B"11001010", B"00011111", B"00011101",
B"11001111", B"00111100", B"00010111", B"11100010", B"00010111",
B"00111011", B"11100101", B"11010110", B"00011010", B"11001111",
B"00110111", B"11100001", B"11101101", B"00010110", B"01000000",
B"11011110", B"11100010", B"11100010", B"11011011", B"00001010",
B"00001101", B"00110011", B"00110010", B"11100000", B"11101000",
B"01000110", B"00100010", B"00101011", B"00010110", B"11001000",
B"11011100", B"11100011", B"00101111", B"00101010", B"00011110",
B"11100011", B"00100111", B"11011111", B"00010000", B"00110011",
B"11100100", B"00010111", B"11010111", B"11011010", B"11110011",
B"00101000", B"00011100", B"11011101", B"00001010", B"11100101",
B"00100000", B"11110110", B"11110100", B"00101011", B"00010111",
B"00100000", B"00101111", B"11000110", B"11100010", B"00010111",
B"00011011", B"11100001", B"11101101", B"00101110", B"00010111",
B"11011000", B"00010111", B"00100101", B"11100001", B"11101010",
B"00100111", B"11110110", B"00101011", B"11101100", B"00100000",
B"11111101", B"11010001", B"00110010", B"00010101", B"11001000",
B"00100000", B"11100001", B"00100111", B"11101010", B"11100100",
B"00110100", B"00100010", B"00011101", B"11100011", B"11101000",
B"00101101", B"11100011", B"11011010", B"11010000", B"11100011",
B"00001110", B"11110011", B"00101000", B"11100111", B"11011001",
B"11101111", B"00011110", B"00101011", B"00011111", B"00010001",
B"00011010", B"00011111", B"00011011", B"01000001", B"00011111",
B"00011011", B"00001100", B"00010101", B"11000010", B"11100011",
B"00010111", B"00100101", B"11110111", B"11100000", B"00001100",
B"00010011", B"11111001", B"11100101", B"00011100", B"00010100",
B"11101010", B"10111100", B"00100010", B"11000011", B"11101010",
B"00011011", B"11011010", B"11100000", B"11011000", B"11100111",
B"00000001", B"00101001", B"11101011", B"11011000", B"00010010",
B"00111001", B"11010000", B"10111111", B"11001101", B"11101011",
B"00100101", B"00011000", B"00011010", B"00100001", B"11001100",
B"11010001", B"11110010", B"11010111", B"11110000", B"11101101",
B"11111001", B"00100110", B"00011110", B"00110000", B"01000011",
B"00110101", B"00011011", B"11100000", B"01010010", B"11010101",
B"00101010", B"10111011", B"11101011", B"11110000", B"00010001",
B"11010110", B"00011111", B"11001100", B"11010010", B"00010000",
B"11011010", B"00010010", B"11010011", B"11100110", B"11010111",
B"00101001", B"00010111", B"11010110", B"11100000", B"11101100",
B"11001011", B"11100100", B"00010011", B"00001001", B"00000101",
B"00000111", B"11001101", B"11001001", B"00011101", B"00001010",
B"00011011", B"00101101", B"11011111", B"11101111", B"00000011",
B"00011101", B"00010000", B"00101000", B"11011100", B"00100111",
B"11001111", B"00011110", B"11110101", B"00110011", B"11011100",
B"00000100", B"00011011", B"11011011", B"11101011", B"00011101",
B"11001110", B"11001000", B"00001110", B"00011110", B"00011111",
B"00001011", B"11100110", B"00000011", B"00011100", B"00011100",
B"11010101", B"00100010", B"00011111", B"11100000", B"11110000",
B"00100000", B"11101001", B"11100011", B"00100010", B"00010000",
B"00100100", B"00010111", B"11100001", B"11111010", B"00101001",
B"00011100", B"00100001", B"11011111", B"00100111", B"11010000",
B"00110000", B"11110111", B"11100011", B"11001100", B"00001000",
B"11101000", B"00100101", B"11010000", B"00000111", B"00001001",
B"00100101", B"11101111", B"11101010", B"11101011", B"11100010",
B"00010110", B"11010010", B"00100001", B"00011010", B"00100110",
B"00011011", B"11010010", B"00001010", B"11010000", B"00010000",
B"11011000", B"11100101", B"11011100", B"11101110", B"00101101",
B"00110010", B"11111101", B"00100011", B"11101001", B"11101011",
B"00100111", B"11101010", B"00001110", B"11011100", B"11100000",
B"00110011", B"00101111", B"00001011", B"00011000", B"11001111",
B"00011001", B"00100101", B"11001111", B"11101001", B"00100110",
B"11110101", B"11011101", B"11101110", B"11000111", B"00000010",
B"00101100", B"00100101", B"00100111", B"00111111", B"11010111",
B"00011001", B"11011110", B"11100000", B"11010111", B"00101100",
B"00100111", B"11110111", B"00011110", B"11111010", B"11101111",
B"11110001", B"00100000", B"00011101", B"11011010", B"11111001",
B"11100110", B"11010101", B"00011100", B"00100001", B"11101010",
B"00011011", B"11011111", B"00100110", B"00010110", B"00101001",
B"11110100", B"01000010", B"11101000", B"00101000", B"11111110",
B"00010111", B"00011001", B"00001011", B"11101100", B"00011100",
B"11111000", B"00010000", B"11010110", B"11100011", B"00010011",
B"00110111", B"00011100", B"11111101", B"00110100", B"11011111",
B"00111111", B"11101001", B"00100001", B"00010000", B"00100001",
B"11100110", B"00100110", B"00001011", B"00011001", B"11010010",
B"00011101", B"00110001", B"00010100", B"11111010", B"00110001",
B"11110111", B"00111010", B"11101000", B"00010001", B"11100101",
B"11100110", B"11011111", B"00100011", B"00000001", B"11000101",
B"00011011", B"11101000", B"01000000", B"11101111", B"11100100",
B"11010000", B"00000110", B"00100110", B"11010100", B"00101011",
B"00011000", B"00011101", B"11011111", B"00001100", B"11001011",
B"00001011", B"11110010", B"00110010", B"11010010", B"11100110",
B"11011100", B"00010110", B"00101010", B"11011110", B"00110001",
B"00011110", B"11110100", B"11011010", B"00011100", B"00100001",
B"11001001", B"11110010", B"00000111", B"00101010", B"00111000",
B"11110000", B"00101010", B"11000101", B"00101001", B"11110101",
B"11011100", B"11011010", B"11000010", B"00100100", B"00111100",
B"11010110", B"00111111", B"11010101", B"11100111", B"11111000",
B"11110000", B"11100011", B"11111001", B"00110011", B"00010110",
B"00101001", B"00001001", B"11001010", B"11100111", B"11010111",
B"11110010", B"00101110", B"11010011", B"00001011", B"00010000",
B"00100000", B"11010000", B"00001101", B"00001001", B"11100110",
B"11010000", B"00100100", B"00001110", B"11100101", B"00110101",
B"11001011", B"11010110", B"11101101", B"00000100", B"00100011",
B"00111001", B"11010101", B"10111001", B"00010010", B"11011010",
B"11101001", B"11011111", B"11101111", B"00110100", B"00011000",
B"00011011", B"00110100", B"00101001", B"00010001", B"00011011",
B"00000111", B"11100011", B"11110000", B"11110011", B"00011101",
B"00011011", B"11100111", B"00011101", B"11100011", B"00101111",
B"00011100", B"00100110", B"00111100", B"00101010", B"00010000",
B"00100111", B"00100011", B"00010101", B"11100010", B"11100111",
B"11101011", B"11010111", B"11100111", B"11011000", B"11100000",
B"11011000", B"00011110", B"11100011", B"11101010", B"11111101",
B"00101011", B"00101011", B"11110000", B"00001100", B"11011110",
B"00001100", B"11110110", B"11011001", B"11010110", B"00000100",
B"00010100", B"00011010", B"00011111", B"00110111", B"11010110",
B"00100010", B"11111010", B"01000110", B"11111100", B"00101010",
B"00011001", B"00100100", B"11001111", B"11111001", B"11001001",
B"01000011", B"11100001", B"11100100", B"11011111", B"11101101",
B"11001000", B"00010010", B"00101010", B"00010001", B"00111010",
B"11011010", B"11110010", B"00010101", B"00011001", B"11110100",
B"00110111", B"11101111", B"11101011", B"11010011", B"11011111",
B"11110111", B"00010100", B"00101011", B"11110001", B"00100011",
B"11011011", B"00010100", B"00101011", B"00100011", B"00110010",
B"00111101", B"00101110", B"01000011", B"00001111", B"00101110",
B"11011011", B"00101001", B"11000101", B"11110101", B"11001101",
B"00100111", B"00011001", B"11010000", B"00100111", B"11010010",
B"11011011", B"11010101", B"00111011", B"00101001", B"11100100",
B"11111110", B"00100010", B"11101100", B"11101001", B"11110111",
B"00001110", B"01001001", B"11110100", B"00000010", B"00110100",
B"00100000", B"00010110", B"00010101", B"00101101", B"00100001",
B"00100101", B"00101111", B"11101110", B"11001111", B"00010101",
B"11100101", B"11011111", B"11110101", B"11100001", B"00010001",
B"11011011", B"11011111", B"11001101", B"11010111", B"01001110",
B"11100110", B"00101110", B"00011001", B"11100110", B"11110001",
B"11011101", B"11000110", B"00010111", B"11010110", B"00010111",
B"11100101", B"10110001", B"11100101", B"00011010", B"00100010",
B"11000000", B"00011100", B"11101011", B"00100011", B"00101001",
B"11110110", B"11101011", B"00011101", B"00010001", B"11101000",
B"11100100", B"00000111", B"11110101", B"00010101", B"00100110",
B"01000000", B"00011001", B"00010110", B"00010111", B"11001001",
B"00000100", B"00011000", B"00100101", B"00111001", B"00000000",
B"11001110", B"11010111", B"00011110", B"11011100", B"11001100",
B"00110011", B"11110011", B"11100110", B"11100000", B"11010101",
B"00100100", B"00011100", B"00011010", B"00101111", B"00010100",
B"00001111", B"00100111", B"00011000", B"11011011", B"00011010",
B"00011000", B"00101010", B"10110010", B"00100110", B"11011011",
B"00111011", B"00100000", B"00010010", B"11100100", B"00100111",
B"00110111", B"11101100", B"11010101", B"00100101", B"00011010",
B"11101011", B"00100111", B"00010000", B"11011010", B"00110010",
B"00001111", B"11101110", B"11010101", B"11101001", B"00011000",
B"11110010", B"00101011", B"11011101", B"11010011", B"11111101",
B"00001011", B"00010101", B"00000100", B"00111001", B"00101100",
B"00001111", B"00110010", B"00101110", B"00101100", B"00010110",
B"00101100", B"00011110", B"00011110", B"00011001", B"00011100",
B"00101101", B"00011101", B"00001111", B"00000011", B"11010111",
B"00000100", B"11001100", B"00010011", B"11101110", B"00100111",
B"11010110", B"00100101", B"00000101", B"11100010", B"00101000",
B"00011110", B"11101101", B"11100111", B"11101100", B"00010111",
B"11011110", B"00110011", B"11011000", B"00000110", B"00110100",
B"00011111", B"00100011", B"11111100", B"11010000", B"00011001",
B"00100101", B"11101001", B"11010110", B"11001111", B"00110010",
B"00100001", B"11011000", B"11101001", B"11101010", B"11011111",
B"11000110", B"00011111", B"11100000", B"11100100", B"00001010",
B"11100101", B"11100111", B"11110011", B"11010001", B"11011101",
B"00110101", B"00100111", B"11111011", B"11000101", B"11011101",
B"11100011", B"11101010", B"01000010", B"00101010", B"11110000",
B"00001101", B"00010111", B"00100110", B"00101100", B"00101010",
B"00100101", B"00100100", B"00010111", B"00011101", B"00101100",
B"00110001", B"00011001", B"00110010", B"00011001", B"00100110",
B"00010111", B"11101111", B"00101010", B"11110110", B"00110111",
B"11100000", B"11011111", B"00000011", B"11100110", B"11011000",
B"11110000", B"00110110", B"00011110", B"11100100", B"11011111",
B"00011010", B"00001110", B"00010011", B"10111110", B"00010010",
B"11010110", B"00010111", B"11010011", B"00010100", B"00010000",
B"11110011", B"11011011", B"11011101", B"11010000", B"11101101",
B"00011000", B"11111000", B"00010011", B"00010100", B"00100100",
B"00011010", B"00010110", B"00100101", B"11100000", B"00100000",
B"00010000", B"00101101", B"11111011", B"00100100", B"11000010",
B"00101111", B"11101100", B"11101110", B"00011100", B"11101111",
B"00011011", B"11010111", B"11110010", B"00011111", B"00011010",
B"11111110", B"11100100", B"11011100", B"11011101", B"00011101",
B"11011101", B"00010101", B"00100100", B"11101010", B"11110110",
B"11111100", B"11100001", B"00000100", B"11111010", B"11101011",
B"00110111", B"11011110", B"00100001", B"00001111", B"11000110",
B"00100101", B"01001011", B"11111001", B"11101001", B"00011010",
B"11011110", B"11101111", B"11101010", B"00110011", B"00100011",
B"11001110", B"00101001", B"00110000", B"11111010", B"00100101",
B"00011100", B"11110001", B"11101000", B"00011011", B"00100110",
B"00101011", B"00010011", B"00111001", B"00000011", B"01000010",
B"00010001", B"00011111", B"01000100", B"11001001", B"00100111",
B"00101011", B"11010100", B"00010110", B"00001011", B"10111011",
B"11110111", B"00011000", B"11010100", B"00101010", B"11100100",
B"11110101", B"00110110", B"00011010", B"11000000", B"11001000",
B"00010001", B"11100100", B"00001110", B"11001000", B"11100100",
B"00110010", B"11100010", B"00011000", B"11110110", B"11110100",
B"11110010", B"00011000", B"00100011", B"11101101", B"11110011",
B"10111000", B"00011001", B"00010111", B"00011010", B"00100101",
B"11000100", B"11010110", B"00001111", B"11010100", B"11010101",
B"11110001", B"11010100", B"00011101", B"11000111", B"00100110",
B"11011011", B"11001010", B"11110010", B"11011101", B"00101110",
B"00011110", B"00001111", B"00001101", B"00100011", B"11000100",
B"11100010", B"00010001", B"11110100", B"11011100", B"11100101",
B"11011000", B"01000001", B"00010111", B"11010011", B"11001101",
B"00100000", B"00011110", B"11110011", B"11101000", B"00101010",
B"00000101", B"00011111", B"11010011", B"00101100", B"11010000",
B"00001110", B"11011101", B"00010100", B"11010111", B"00010101",
B"00100011", B"11001111", B"00011101", B"00010101", B"11001101",
B"00000111", B"00011011", B"00100100", B"11011101", B"00110010",
B"11110000", B"00011000", B"11001001", B"11100001", B"00100000",
B"11110100", B"00110010", B"11000001", B"11101111", B"00010010",
B"00000110", B"11010010", B"00101010", B"11011011", B"11101100",
B"11011000", B"00110001", B"00100100", B"11100100", B"00011011",
B"00001001", B"11001011", B"00001001", B"11000111", B"11110111",
B"11100111", B"11100000", B"11011101", B"11011110", B"11101101",
B"11000111", B"00101010", B"00000110", B"00101000", B"00101101",
B"00001001", B"00111010", B"11101001", B"00010101", B"11101010",
B"11100011", B"00100011", B"00011101", B"11011001", B"11001010",
B"11010101", B"00110100", B"00100100", B"11100010", B"00101010",
B"11110011", B"00001111", B"00110011", B"11001111", B"00101100",
B"00011101", B"11101000", B"11010101", B"00101010", B"11101111",
B"00010011", B"00101000", B"00101100", B"11100101", B"00101111",
B"11001000", B"00010000", B"00011101", B"11011010", B"00011001",
B"00101110", B"11000111", B"00100100", B"00010111", B"11110001",
B"00011111", B"01000001", B"11011000", B"00110001", B"00110100",
B"11101010", B"11010111", B"00000011", B"10111100", B"00111111",
B"11101010", B"00110011", B"11100010", B"11001001", B"00101001",
B"00110001", B"11001000", B"00100001", B"11110110", B"00010110",
B"11001111", B"11001100", B"00011011", B"00010000", B"11011010",
B"00010111", B"11110110", B"00010011", B"11010101", B"11010100",
B"00110001", B"00011000", B"00011110", B"00010100", B"00100110",
B"11011110", B"00000011", B"11100101", B"00011000", B"11010111",
B"00000101", B"00111011", B"11011001", B"00111101", B"11111010",
B"11100110", B"00111110", B"00101010", B"00110011", B"00101001",
B"00011011", B"00101000", B"00011001", B"00011100", B"00001111",
B"00101110", B"11010011", B"00101011", B"11101100", B"00111101",
B"11111100", B"00001000", B"00101111", B"00001111", B"00010110",
B"10111100", B"00010010", B"11011110", B"11100001", B"11000011",
B"00110001", B"00101010", B"11010110", B"01000110", B"11100100",
B"11010000", B"11010101", B"00100110", B"00001101", B"11011011",
B"00101101", B"01001100", B"00111011", B"00101000", B"00010000",
B"00110100", B"00100000", B"00011100", B"00100101", B"11100111",
B"00101010", B"00100100", B"11010001", B"00001010", B"00110000",
B"11100111", B"11010011", B"00001101", B"00001101", B"00010000",
B"11110111", B"00101010", B"11100000", B"00100100", B"11101100",
B"11100010", B"11011011", B"11101000", B"00101100", B"00101110",
B"00010110", B"00011111", B"00110011", B"11011111", B"00100000",
B"00101111", B"11110001", B"00100010", B"00011001", B"11010110",
B"11110101", B"00011110", B"11110001", B"00011011", B"11100110",
B"11001001", B"00110001", B"11101110", B"11011101", B"11010100",
B"10111101", B"11000011", B"00100000", B"00111101", B"00010010",
B"00101010", B"11011111", B"00010110", B"00010001", B"00101010",
B"11110010", B"00011000", B"11100100", B"00101011", B"11011000",
B"11001100", B"11010010", B"00110000", B"00110000", B"11100001",
B"00110100", B"11100101", B"00011001", B"00001010", B"11000101",
B"11110110", B"11100011", B"00101110", B"00000111", B"00010000",
B"11011100", B"10111001", B"11010001", B"00000110", B"00011100",
B"11011101", B"00110001", B"11011011", B"11000000", B"00110010",
B"11001110", B"11111101", B"11001000", B"00101000", B"00110010",
B"11100011", B"00101000", B"00111011", B"00000010", B"00010010",
B"01000010", B"00010101", B"00011010", B"00010000", B"11010100",
B"11011011", B"00110100", B"00011110", B"00100110", B"00011000",
B"11110010", B"11001110", B"00001111", B"00101110", B"11010100",
B"11001000", B"00110100", B"00010111", B"11011010", B"11011010",
B"00100000", B"00011001", B"00100111", B"00100100", B"00110001",
B"00100000", B"00001001", B"00010100", B"11001111", B"00011100",
B"11111001", B"11011101", B"11010110", B"00100010", B"01000100",
B"11101101", B"11010100", B"11011101", B"00010111", B"00100111",
B"00110100", B"00000010", B"11010101", B"11010111", B"00100000",
B"00010110", B"11011110", B"00101001", B"00101111", B"11011110",
B"11010110", B"00010111", B"11111100", B"00100000", B"00101111",
B"00110001", B"11101010", B"00011001", B"11101100", B"00011001",
B"11010111", B"00011100", B"11011101", B"11100011", B"11011100",
B"00010011", B"00011110", B"11101011", B"00101010", B"11100111",
B"11101101", B"00011101", B"11000101", B"11101100", B"11001100",
B"11001101", B"11000101", B"00011010", B"00011000", B"00101111",
B"11100111", B"00100011", B"11001000", B"00110101", B"11110100",
B"11010110", B"11000001", B"11100011", B"00101011", B"00010100",
B"00010110", B"00100101", B"11100111", B"00001010", B"11111011",
B"00110001", B"11010010", B"00100100", B"11110011", B"00100010",
B"00100101", B"11100011", B"11100000", B"00011101", B"11011100",
B"11100101", B"11110010", B"11100000", B"11100100", B"11011000",
B"00011000", B"00010101", B"01000011", B"00100001", B"11011101",
B"11110110", B"11101110", B"00010100", B"00001010", B"11001110",
B"11100100", B"11011000", B"11111010", B"11010110", B"11011100",
B"10111011", B"00111011", B"11010100", B"00110010", B"11001100",
B"11011100", B"00111000", B"11011110", B"00011101", B"00100011",
B"11011111", B"11100010", B"11101000", B"11010111", B"11011101",
B"00001110", B"00100100", B"11011111", B"00110000", B"00011111",
B"11011000", B"11001010", B"00111010", B"00011111", B"11101000",
B"00011111", B"11111111", B"11011010", B"00110011", B"01000100",
B"11100111", B"00001001", B"11101100", B"00011000", B"11011001",
B"11011111", B"11100111", B"00010011", B"00101001", B"01000100",
B"11101001", B"00100110", B"11010001", B"11011101", B"11110000",
B"00010110", B"00010111", B"11010100", B"00110101", B"11101101",
B"00001101", B"11101000", B"11100101", B"00111001", B"00000110",
B"00100010", B"00010110", B"00101101", B"00110001", B"00010010",
B"00010110", B"00000101", B"00100000", B"11101111", B"00001000",
B"00111001", B"00010101", B"00001100", B"00010011", B"11111000",
B"00011111", B"11110000", B"00010011", B"11111000", B"00110000",
B"11101011", B"11101000", B"11111101", B"00100011", B"11101101",
B"11100011", B"00010110", B"00110111", B"00101011", B"00001101",
B"11010101", B"11100110", B"00110001", B"11101000", B"11110011",
B"00101011", B"11101101", B"11100010", B"11011101", B"11011111",
B"00101000", B"11101110", B"11010101", B"00101101", B"11101111",
B"11110000", B"11010000", B"11010111", B"11010101", B"11101101",
B"00011010", B"00011011", B"00011001", B"00011101", B"11011111",
B"11001011", B"00100111", B"00111100", B"11100001", B"11011000",
B"11111100", B"00110011", B"11010110", B"11100000", B"00101011",
B"00001000", B"11010011", B"00001010", B"00011000", B"11101000",
B"11000010", B"00100001", B"00011001", B"00101011", B"00100001",
B"11111110", B"00100110", B"00010100", B"00111000", B"00011110",
B"00011010", B"11001010", B"01010011", B"00101001", B"11011010",
B"00100001", B"00011010", B"11101010", B"00001110", B"00010101",
B"11001111", B"00000000", B"00110001", B"11101011", B"11110100",
B"00110111", B"11100100", B"00001011", B"11100110", B"00100100",
B"11011011", B"11001100", B"00101010", B"00101100", B"11110101",
B"00100010", B"11100001", B"00110010", B"11101100", B"10111111",
B"00001100", B"00101001", B"00001011", B"11010111", B"00111010",
B"11010110", B"11100101", B"11101001", B"00011000", B"00101100",
B"00100111", B"11010111", B"11100100", B"00101101", B"11100010",
B"11101101", B"11101011", B"11010111", B"11101101", B"00001100",
B"00100010", B"00110100", B"11100100", B"00101000", B"11101111",
B"00010000", B"00111101", B"00011000", B"00100101", B"11100110",
B"00100011", B"11011010", B"00010100", B"00000000", B"00100101",
B"11000101", B"00010011", B"00010111", B"11110001", B"01000010",
B"11110110", B"11011101", B"11010110", B"11010000", B"11101010",
B"11011011", B"00011101", B"00011011", B"00100000", B"00010100",
B"00101010", B"00011011", B"10111100", B"11001011", B"00011001",
B"00110100", B"11110111", B"11110000", B"00001001", B"11101100",
B"11101110", B"11011111", B"11100110", B"00011010", B"11011011",
B"00010000", B"11011011", B"00100100", B"00100010", B"11101010",
B"11010110", B"11000011", B"11110001", B"11110010", B"00100100",
B"11110001", B"11001100", B"11011101", B"11010011", B"00111110",
B"11101011", B"00001101", B"00010011", B"11001101", B"11101000",
B"00100000", B"10110101", B"11011110", B"11001101", B"11010000",
B"11100000", B"11011111", B"00100000", B"00011110", B"00101011",
B"00010101", B"11011011", B"11100110", B"11101001", B"00010011",
B"11011010", B"00100001", B"11110111", B"11111111", B"00101001",
B"00011001", B"11100101", B"00001111", B"00011000", B"11010010",
B"11010110", B"11110011", B"11100110", B"10111000", B"00010110",
B"00110000", B"11100011", B"11100000", B"00100001", B"00010011",
B"11010111", B"11001110", B"00001111", B"00011000", B"00001111",
B"00100100", B"00100101", B"00111010", B"00110011", B"00001010",
B"00011011", B"00110111", B"11100101", B"00011001", B"00001110",
B"11101001", B"11101011", B"00111101", B"00011000", B"11101101",
B"11011110", B"00011101", B"11011101", B"11100111", B"11101011",
B"11110011", B"00001000", B"00001100", B"00100101", B"00110001",
B"00101001", B"00100010", B"00001000", B"00010100", B"11010101",
B"11100111", B"00000111", B"00101101", B"00100001", B"00010111",
B"11100111", B"11010111", B"11011011", B"11101001", B"00101111",
B"11001110", B"00100101", B"11011001", B"11011000", B"00100011",
B"00101100", B"10111111", B"00101010", B"00110011", B"11100000",
B"00101110", B"00011110", B"11100011", B"00101100", B"00010100",
B"11100010", B"11111101", B"00101011", B"11011001", B"11100000",
B"00101101", B"11011101", B"11110000", B"11001101", B"00100000",
B"00100101", B"11100010", B"00101010", B"11000000", B"00001010",
B"00110001", B"11100111", B"11100000", B"00001001", B"00100000",
B"11110000", B"11111011", B"00100100", B"00110011", B"00100010",
B"11110111", B"00101101", B"11111100", B"00110000", B"11110101",
B"10111101", B"00010100", B"11011011", B"11100100", B"11011000",
B"00100110", B"00110111", B"11100011", B"00101111", B"00110101",
B"00110000", B"00010000", B"00000011", B"00011011", B"00100010",
B"00000101", B"11010110", B"00010001", B"11110100", B"00101111",
B"11111010", B"11110001", B"00011111", B"00110011", B"00100001",
B"00011001", B"11011100", B"11100010", B"00010011", B"00010111",
B"11101001", B"11011101", B"00100001", B"00110101", B"11111011",
B"11001100", B"00010100", B"00010010", B"00000010", B"11001000",
B"00101110", B"11101110", B"11001110", B"11100000", B"11111000",
B"00011100", B"11011010", B"00110111", B"00101101", B"11011101",
B"00110101", B"00100001", B"11010010", B"00110110", B"00101011",
B"11110000", B"00101111", B"00001111", B"00001101", B"11010111",
B"00100011", B"11111010", B"00001110", B"11100001", B"11010000",
B"11100111", B"00010100", B"00010110", B"00011110", B"00100001",
B"11011101", B"11010111", B"11010110", B"00101011", B"00011111",
B"00001101", B"11000110", B"00000000", B"11001100", B"00011000",
B"11101110", B"11011100", B"00001100", B"11100100", B"11111100",
B"11011001", B"11001101", B"00110110", B"00011001", B"11100010",
B"00010001", B"00100011", B"11100100", B"00100001", B"00011001",
B"11011011", B"11111000", B"11101111", B"00111111", B"11100111",
B"00110001", B"11100101", B"11110001", B"00100010", B"00000111",
B"00010110", B"11100101", B"00100010", B"00011111", B"11011000",
B"11100001", B"00101011", B"11101111", B"00001111", B"11100110",
B"11100010", B"11100011", B"00101010", B"00010111", B"11001110",
B"11101011", B"00001101", B"11110111", B"00010010", B"11001010",
B"11100111", B"00010110", B"11111100", B"11101000", B"00010111",
B"11011111", B"11010101", B"10111100", B"00001010", B"00101110",
B"11101101", B"00010111", B"00101100", B"11011101", B"11001111",
B"00100101", B"00010010", B"00000010", B"11100011", B"11011101",
B"00000001", B"00011100", B"00011000", B"11101110", B"00100000",
B"11100100", B"00101100", B"11110011", B"00110110", B"00011000",
B"00100110", B"11101001", B"00110111", B"11010011", B"00001001",
B"00101111", B"00111110", B"11011001", B"00110011", B"00000011",
B"11110111", B"11100100", B"00100110", B"11100010", B"00011111",
B"11100000", B"00001001", B"11100101", B"11101011", B"00110111",
B"00110010", B"00101110", B"00110001", B"11001100", B"00100110",
B"00100111", B"11100011", B"11011111", B"00001111", B"00010011",
B"11110010", B"11101101", B"00110111", B"11110101", B"11100000",
B"11010000", B"11110110", B"11101011", B"11011011", B"00001010",
B"00111010", B"00011011", B"00110011", B"11101101", B"11100100",
B"11011000", B"11100111", B"11100000", B"00101010", B"00001110",
B"11001101", B"00100100", B"11011111", B"00010011", B"00100010",
B"11001011", B"00011010", B"00011100", B"11000101", B"11100110",
B"00101000", B"00011001", B"11001011", B"11011011", B"00011110",
B"11101011", B"11110010", B"11010010", B"11101011", B"00010000",
B"00100011", B"00011100", B"11001000", B"00001010", B"11100101",
B"01000000", B"11010110", B"00000010", B"11010110", B"11101111",
B"11010100", B"11100000", B"00101011", B"11101100", B"00100110",
B"11001100", B"11001011", B"00000111", B"11101011", B"00100111",
B"11101110", B"11100000", B"00010100", B"11010110", B"11110000",
B"00100001", B"11010001", B"00111111", B"11101110", B"11101000",
B"00001010", B"11100101", B"11001110", B"11010101", B"11100111",
B"00100011", B"00101100", B"00100000", B"00001011", B"00101110",
B"00011111", B"11110110", B"00011011", B"00010001", B"11100001",
B"11011010", B"00010111", B"00011111", B"00010000", B"00011111",
B"11011011", B"00001100", B"11101100", B"00100111", B"11000011",
B"00100010", B"00110100", B"11101010", B"11001110", B"00001011",
B"00110011", B"11110000", B"11000010", B"00110101", B"11010100",
B"11010010", B"00100100", B"11011000", B"11001001", B"11100101",
B"11111000", B"00011101", B"11111001", B"00010100", B"00101101",
B"11011101", B"00110011", B"00011111", B"11011110", B"00010010",
B"11011010", B"00010011", B"11001110", B"11011011", B"11000111",
B"00010001", B"00011001", B"10111110", B"00101100", B"00010110",
B"11101101", B"11111100", B"11001110", B"11100000", B"11101101",
B"00001011", B"00100000", B"11100011", B"11100011", B"00101110",
B"00011000", B"11010100", B"11101010", B"00011011", B"00110100",
B"00101100", B"00100010", B"11111111", B"00010000", B"00011010",
B"11111001", B"00001111", B"11101011", B"01000101", B"11101001",
B"11100000", B"11100011", B"00100001", B"00100000", B"11010001",
B"11100010", B"11010011", B"11100100", B"00101000", B"00101111",
B"00011101", B"00011101", B"00011001", B"00100011", B"11000111",
B"00101110", B"00001110", B"11101111", B"11100001", B"01000011",
B"11100100", B"11100001", B"11011110", B"00010000", B"00001010",
B"11010111", B"00010100", B"11101000", B"11100011", B"00111001",
B"11011101", B"00101001", B"11100100", B"11100101", B"00101110",
B"00100000", B"11100011", B"11010011", B"11100000", B"11101101",
B"00001111", B"00101011", B"00101000", B"00110011", B"00001100",
B"00001111", B"11101111", B"11010000", B"00011101", B"00010001",
B"11010010", B"11100101", B"11101110", B"11010101", B"00100110",
B"11100010", B"00111010", B"11011011", B"11100110", B"00011000",
B"00000001", B"00110110", B"11111001", B"11011100", B"00001100",
B"00101100", B"11000000", B"10110111", B"00011001", B"00001101",
B"00101111", B"00100010", B"00100111", B"00011001", B"00010110",
B"00110011", B"00100010", B"11110000", B"00100010", B"11111101",
B"11010111", B"11000001", B"00100000", B"00010100", B"00011101",
B"00100010", B"11110111", B"11100011", B"00100100", B"00011100",
B"11010001", B"11100001", B"00010111", B"11110011", B"00101110",
B"00101000", B"11010100", B"00011000", B"00100000", B"11100111",
B"00011000", B"11100111", B"00011001", B"11001111", B"11100101",
B"00000101", B"00110111", B"00100010", B"00000101", B"11011111",
B"11111010", B"11001101", B"11111110", B"00011111", B"11100011",
B"00011100", B"11000011", B"11100001", B"00111110", B"00100000",
B"00001110", B"11111000", B"11110001", B"11000110", B"00101010",
B"00001000", B"00101001", B"11101010", B"00001000", B"00000101",
B"00011001", B"00100010", B"00011001", B"00001010", B"11101100",
B"00100001", B"00111001", B"11011010", B"11010111", B"00011101",
B"11101100", B"11010001", B"00110000", B"11101101", B"00101111",
B"11001010", B"11000011", B"00011011", B"00010100", B"00011000",
B"11101001", B"00010100", B"00000100", B"11010100", B"11010000",
B"00011110", B"11100011", B"11000111", B"00011001", B"00101001",
B"00101000", B"00011001", B"11101000", B"11110111", B"11010110",
B"11010011", B"11100101", B"00101101", B"00010001", B"11011001",
B"00001010", B"11100011", B"11010100", B"11101101", B"11110001",
B"00010111", B"00111110", B"11011110", B"00011110", B"11111010",
B"00110110", B"00101110", B"11100001", B"11100001", B"00010010",
B"00011010", B"11101010", B"11011011", B"00100010", B"11001011",
B"00110010", B"11011101", B"11010111", B"11001011", B"00101110",
B"00001111", B"11100110", B"00100001", B"00000110", B"11011100",
B"11100111", B"11011010", B"11001111", B"11010000", B"00011101",
B"11100010", B"11100001", B"11101001", B"11011110", B"00011010",
B"11111100", B"00110101", B"00110111", B"00001110", B"00000101",
B"11110010", B"00111000", B"11101001", B"00110011", B"11011100",
B"00101001", B"00101110", B"11100000", B"11101011", B"00010110",
B"00001101", B"11100011", B"11010010", B"11010000", B"00001011",
B"00010111", B"00010001", B"11011010", B"00100101", B"11100000",
B"11111111", B"11111100", B"00011100", B"11011111", B"00011011",
B"00001001", B"11100000", B"11101101", B"00011101", B"00101100",
B"00111011", B"00011001", B"11001100", B"00010110", B"11011010",
B"00001101", B"11101111", B"11011111", B"00001110", B"00011000",
B"00110000", B"11111000", B"00100010", B"11101110", B"11110101",
B"11100010", B"00101011", B"11001011", B"00011101", B"11100000",
B"11100010", B"00100101", B"00100101", B"00100011", B"11011011",
B"11100111", B"00010101", B"11001100", B"11000010", B"11100010",
B"11101110", B"00011000", B"11100100", B"00100110", B"11111100",
B"11100101", B"11111010", B"00101110", B"00010001", B"00101101",
B"00011011", B"11011000", B"00001011", B"00011111", B"11111100",
B"11011010", B"00101110", B"00101100", B"11010101", B"00010110",
B"11101110", B"11011110", B"11111001", B"00100011", B"00100100",
B"00110101", B"00101011", B"11010110", B"00100111", B"00101101",
B"11010010", B"11001110", B"00101101", B"11101100", B"00110011",
B"11010101", B"10110001", B"11011101", B"00010100", B"01000011",
B"11101001", B"11111111", B"11010101", B"11011100", B"11101010",
B"00100000", B"00000001", B"00010110", B"00110100", B"11011000",
B"11011111", B"11100000", B"00011100", B"00001110", B"11001000",
B"00100000", B"11111110", B"00011100", B"11011110", B"00100110",
B"00001111", B"11110001", B"00010010", B"00011110", B"11101101",
B"00011000", B"11100011", B"11100011", B"00100010", B"11001100",
B"11100101", B"11100111", B"11010011", B"11101001", B"00001001",
B"00010111", B"11001000", B"11011011", B"11001000", B"11010011",
B"11010101", B"00101101", B"11110100", B"11001110", B"00100111",
B"11111011", B"11001111", B"11100010", B"11101001", B"00111101",
B"11111000", B"11100110", B"00000010", B"11011011", B"00110011",
B"11010010", B"00001011", B"00101100", B"11011100", B"11110011",
B"11010101", B"11011011", B"00100111", B"11100100", B"00101000",
B"11010010", B"00011101", B"00101111", B"00100010", B"11001111",
B"00010010", B"11001111", B"01000111", B"00100011", B"00100000",
B"00001101", B"11010101", B"00010111", B"11100100", B"00000111",
B"11011000", B"00000111", B"11010100", B"11101110", B"11100100",
B"11100110", B"00101100", B"11010000", B"00100100", B"11101000",
B"11101001", B"00110001", B"11011010", B"00110010", B"11101001",
B"11010110", B"00100100", B"11111000", B"11001010", B"11101000",
B"00011000", B"11110101", B"11011111", B"00111110", B"11011011",
B"11110111", B"00100011", B"11100111", B"11111001", B"11001100",
B"00011000", B"00101001", B"11110000", B"11010101", B"11100010",
B"11011010", B"11100011", B"00100101", B"00101100", B"00001000",
B"00101111", B"00000011", B"00011011", B"11001000", B"00011100",
B"00010100", B"11101110", B"11111100", B"00101010", B"00010010",
B"11100010", B"00101011", B"00000110", B"11110111", B"00101100",
B"00101010", B"00011101", B"11100001", B"11010001", B"00000111",
B"00010110", B"00100110", B"11101001", B"00000110", B"11010101",
B"00100111", B"11101001", B"11011111", B"11110011", B"10111010",
B"00010000", B"11100011", B"00011000", B"00011001", B"00100111",
B"00000100", B"00010110", B"00100011", B"00011110", B"00010110",
B"01001101", B"11011110", B"00100110", B"11100001", B"11100110",
B"11101011", B"00100111", B"00101011", B"11111110", B"00100011",
B"00101010", B"00101100", B"11100001", B"00100010", B"11010111",
B"00101110", B"11010101", B"00000011", B"00100001", B"00000010",
B"00101101", B"00011000", B"11100110", B"11100000", B"00010101",
B"00101110", B"00101000", B"00011110", B"00011101", B"00001011",
B"00100011", B"00111111", B"00001011", B"11011101", B"00101000",
B"11011001", B"11011001", B"11010110", B"00100110", B"00011000",
B"11001110", B"00010110", B"00010110", B"00011111", B"01000000",
B"00110001", B"00101011", B"00000101", B"00011010", B"00010110",
B"11110110", B"00011110", B"11101111", B"11100010", B"11110000",
B"00101001", B"00100111", B"00110101", B"00100110", B"00010010",
B"11011100", B"00100011", B"11100000", B"00101011", B"11001110",
B"11101011", B"11101001", B"11010101", B"11011111", B"00111111",
B"00011111", B"00001111", B"00100101", B"00100011", B"00100010",
B"00101001", B"00101100", B"00110011", B"00011101", B"00000100",
B"00101111", B"11100000", B"11011001", B"00010001", B"11001000",
B"00010001", B"11101011", B"11001100", B"00001111", B"11100000",
B"11011100", B"00100101", B"11011100", B"00100101", B"11010100",
B"11000000", B"00110011", B"00101001", B"00101100", B"11100010",
B"00111110", B"00111000", B"11101101", B"10101101", B"00100110",
B"11110011", B"00010011", B"11011010", B"00001110", B"11001010",
B"00110111", B"00010101", B"11101001", B"11100110", B"11011110",
B"11110000", B"00010100", B"00010011", B"11100110", B"00100101",
B"11101011", B"11101100", B"11001001", B"11011111", B"00100100",
B"00011010", B"11011001", B"00010111", B"11100111", B"00101100",
B"00001001", B"00101000", B"11101000", B"00110100", B"11011110",
B"00010010", B"11110110", B"00011110", B"00101010", B"11000011",
B"00000111", B"00101001", B"11001100", B"11011100", B"00101000",
B"11101000", B"00110000", B"11011101", B"11100011", B"11000000",
B"00101010", B"00100001", B"11110000", B"00110100", B"00110110",
B"11011011", B"11110110", B"00100100", B"00011001", B"11001111",
B"11001011", B"11000011", B"11100000", B"00001001", B"00000000",
B"00011101", B"11011111", B"11101001", B"00111010", B"00100101",
B"11001101", B"00110100", B"11011111", B"11001011", B"11111111",
B"00110000", B"00101000", B"10111111", B"00011100", B"11100001",
B"11101000", B"10111100", B"00011101", B"01000100", B"11100010",
B"11001111", B"11111001", B"00100000", B"00010110", B"00100111",
B"00101010", B"11100000", B"11011011", B"11101110", B"11110101",
B"00010100", B"00010111", B"00011101", B"00011101", B"11001001",
B"11011110", B"11101011", B"00111010", B"00001001", B"11110101",
B"11100111", B"11011111", B"11011110", B"11001100", B"00101001",
B"11101110", B"00101001", B"00000010", B"11101000", B"11100111",
B"00110000", B"00010000", B"00100000", B"00010111", B"00110111",
B"00100111", B"00101111", B"00000111", B"00100011", B"00010000",
B"00101010", B"11101110", B"11100100", B"11101001", B"11010010",
B"00011000", B"11010101", B"00001010", B"11011100", B"11100100",
B"11010011", B"11111010", B"00000111", B"11111011", B"00101011",
B"00010101", B"11111011", B"00000100", B"00110010", B"00100111",
B"11010101", B"00010000", B"11010100", B"00110000", B"00101111",
B"00111110", B"11100000", B"00010001", B"00011011", B"11010010",
B"11111010", B"00010010", B"00010101", B"11101001", B"00000101",
B"00011000", B"11101000", B"00011100", B"00010001", B"11000100",
B"11101010", B"11011011", B"00101101", B"00011101", B"00010111",
B"00011000", B"11011000", B"11001000", B"11010011", B"00011010",
B"00011011", B"11100000", B"11100101", B"11101110", B"11111100",
B"10111111", B"00010101", B"11101100", B"11001010", B"11001111",
B"11100111", B"00100110", B"11100011", B"00011000", B"11101000",
B"00101010", B"00010101", B"11010011", B"11101001", B"11110011",
B"11101001", B"10111111", B"00100010", B"11101001", B"00011100",
B"11001110", B"11011101", B"11101000", B"00100110", B"00001011",
B"00110111", B"00001000", B"00011001", B"00101001", B"00100110",
B"00110101", B"00101100", B"00011000", B"11110100", B"11010100",
B"11011000", B"00010101", B"00100000", B"11011001", B"00011000",
B"11001001", B"11010000", B"01000010", B"01000000", B"00011000",
B"11010110", B"00100001", B"11100000", B"00010001", B"11001010",
B"00010010", B"11011011", B"11010010", B"11101010", B"00011000",
B"00010101", B"11111010", B"00100110", B"11010000", B"11110000",
B"11011011", B"11011100", B"00100010", B"11011000", B"01000100",
B"00011001", B"11011110", B"00011100", B"11011011", B"11100111",
B"11100110", B"00010010", B"00001111", B"11011110", B"00100011",
B"00011000", B"11001110", B"00000101", B"11100011", B"11001011",
B"00000011", B"00011011", B"10110110", B"00100111", B"11110101",
B"11100010", B"11000100", B"00010010", B"00101110", B"11111100",
B"00000111", B"00011000", B"00001011", B"00100110", B"00101000",
B"01000000", B"00110101", B"11101101", B"11100100", B"00010011",
B"00110000", B"00011100", B"00101001", B"11011110", B"11010001",
B"00101000", B"11110011", B"11010110", B"11100001", B"11101001",
B"00010111", B"11011110", B"00111011", B"11101011", B"00010001",
B"00101010", B"11100110", B"11111010", B"11011110", B"11101100",
B"11001100", B"11100000", B"11101001", B"11100001", B"00101110",
B"01000100", B"11001110", B"00011010", B"11101100", B"11011100",
B"00011110", B"00011110", B"11101011", B"11110100", B"11100110",
B"11110010", B"11110011", B"11110001", B"00001111", B"11101100",
B"11011110", B"11010111", B"00111100", B"01000011", B"11001111",
B"11011110", B"00110101", B"10111000", B"00001011", B"11011100",
B"11011101", B"00101001", B"00011100", B"00000010", B"00000100",
B"11110100", B"11001000", B"00110011", B"00010100", B"11100010",
B"11010011", B"11001111", B"11011011", B"11111010", B"11011011",
B"00001100", B"11110100", B"11000011", B"00100000", B"11110111",
B"11101111", B"00100101", B"11100000", B"00100100", B"11010010",
B"11101110", B"00111001", B"00111001", B"11001101", B"00110000",
B"11101110", B"11110111", B"11010110", B"00001100", B"00100011",
B"00000101", B"11000010", B"00011110", B"00000001", B"11001011",
B"11111010", B"00011110", B"00011001", B"11010110", B"11011110",
B"11101010", B"00110111", B"00010111", B"11011010", B"00011011",
B"11010111", B"11001001", B"00110100", B"11011010", B"11110100",
B"11100100", B"00010011", B"00110000", B"11011001", B"10111000",
B"00001011", B"11101010", B"11101011", B"11100110", B"00011110",
B"00011000", B"11100011", B"11101101", B"11101000", B"00011010",
B"11011110", B"00101101", B"11011011", B"11101100", B"00000000",
B"00100000", B"11100010", B"11101010", B"11010100", B"11011000",
B"11111110", B"11001111", B"00101010", B"00100010", B"11011011",
B"00010001", B"11010110", B"11001110", B"00000010", B"00011110",
B"00100110", B"11101001", B"00111010", B"11110000", B"00111100",
B"11010100", B"11011110", B"00011001", B"00110011", B"11110101",
B"11101111", B"00100010", B"10111011", B"00001101", B"11011110",
B"11110001", B"00011101", B"11000000", B"10111001", B"00110010",
B"11011111", B"00110000", B"11001000", B"11011111", B"00000110",
B"11011011", B"00100111", B"00010010", B"00011110", B"11010111",
B"01001010", B"11101110", B"00101001", B"10111000", B"11010010",
B"00011101", B"11110111", B"00110100", B"11100100", B"11010010",
B"00011101", B"00011100", B"00101111", B"00001111", B"11010000",
B"00111000", B"11011000", B"00100000", B"11100110", B"11001100",
B"11010010", B"11001110", B"00110000", B"00101000", B"11100101",
B"00101101", B"11110001", B"00101110", B"11010010", B"00011011",
B"11100000", B"11010000", B"11010110", B"01000010", B"00110101",
B"00011111", B"11100000", B"00110110", B"01001000", B"11110100",
B"00010111", B"00100011", B"11100000", B"00011111", B"00011010",
B"00000111", B"11100110", B"00100001", B"11101000", B"00010110",
B"11011111", B"00011000", B"00100100", B"11100001", B"00100110",
B"00011101", B"11010101", B"11110101", B"00101100", B"11101001",
B"00000101", B"11011000", B"11100101", B"11100110", B"00100000",
B"00000110", B"11110001", B"00000000", B"00100000", B"00110110",
B"11011001", B"11010110", B"11100000", B"11011101", B"11010100",
B"11001100", B"00100101", B"00110001", B"11100001", B"11101110",
B"11010100", B"11011001", B"11101100", B"11100011", B"11100001",
B"11010010", B"00100001", B"00010010", B"11110110", B"00011000",
B"11011101", B"11010000", B"11011101", B"00001011", B"11011000",
B"00011011", B"11100101", B"11010011", B"00100000", B"00100101",
B"11110010", B"00001000", B"11100111", B"11100000", B"11010101",
B"00010101", B"00011000", B"11111000", B"11011100", B"11110111",
B"00101011", B"11001011", B"11101101", B"11011001", B"11001110",
B"00101111", B"00101001", B"00001110", B"11100010", B"00011110",
B"11011110", B"00000100", B"11100000", B"11011100", B"11100011",
B"00001000", B"11010101", B"00010001", B"11011111", B"11010000",
B"00010111", B"00011010", B"00110001", B"11101000", B"11011110",
B"00001010", B"00000010", B"11101010", B"00000010", B"00010101",
B"11001011", B"00000000", B"10111100", B"11011010", B"00111110",
B"11101110", B"00001111", B"00010111", B"11100100", B"11001101",
B"00110101", B"11011110", B"11010111", B"11010110", B"11110101",
B"00101100", B"00110101", B"00011110", B"11101100", B"00100111",
B"11111001", B"00011100", B"11010110", B"00010101", B"00010100",
B"11010000", B"11101101", B"00011101", B"00000101", B"11100111",
B"11100011", B"11011001", B"11110010", B"11011010", B"11101000",
B"00011010", B"00101011", B"00000010", B"00000110", B"11111110",
B"11011110", B"00010100", B"11101000", B"11010100", B"11100111",
B"11111001", B"00110101", B"11011011", B"11011000", B"00100010",
B"11001001", B"00011010", B"11101110", B"11010101", B"00111000",
B"00000000", B"11100111", B"11101100", B"11010101", B"00011001",
B"00001100", B"00100111", B"00010110", B"00001010", B"00101000",
B"00101000", B"00100110", B"00010110", B"00101010", B"00101010",
B"00101000", B"00000101", B"00011100", B"00110111", B"01000101",
B"11100000", B"00100111", B"11010011", B"00010111", B"11100011",
B"00010011", B"00110110", B"11010010", B"11110010", B"11100001",
B"11110110", B"11110011", B"11100010", B"11011100", B"11101110",
B"11110100", B"00011010", B"00101111", B"00100000", B"00001010",
B"11011011", B"11111001", B"00101101", B"00111000", B"00011010",
B"00100000", B"11101000", B"11100001", B"00101110", B"11111110",
B"11001100", B"00011011", B"11011111", B"11000000", B"11011100",
B"11101010", B"00010001", B"00101100", B"00010111", B"11010011",
B"00101000", B"11110101", B"00100101", B"11011001", B"11011011",
B"11111100", B"00110010", B"00011101", B"00100000", B"00011101",
B"11011100", B"11101101", B"11010010", B"00101110", B"11100111",
B"00010000", B"11001100", B"11110000", B"00100111", B"00011011",
B"11100001", B"11000110", B"11011101", B"11110100", B"00101100",
B"00001011", B"00101100", B"00011111", B"00011101", B"00100011",
B"11011111", B"11100101", B"00010111", B"00101000", B"11011010",
B"11101010", B"11000111", B"00100100", B"00110110", B"00001100",
B"11010010", B"00101000", B"11100111", B"00100000", B"00001111",
B"11010011", B"00010011", B"00101110", B"11000000", B"00111101",
B"00000000", B"11110011", B"11011111", B"11010010", B"00100000",
B"11110000", B"00100001", B"11100101", B"10111011", B"00010101",
B"00111100", B"11010110", B"00011111", B"00010011", B"00000010",
B"00010011", B"00110001", B"11101010", B"00011111", B"11110000",
B"00101001", B"00101100", B"11010110", B"00101001", B"00010111",
B"11111100", B"00000101", B"10111100", B"11100100", B"11100001",
B"11011110", B"00001100", B"11010011", B"00011000", B"11010000",
B"01001111", B"11101111", B"11111100", B"11010011", B"00100011",
B"00101111", B"11101100", B"11011111", B"11100100", B"11011110",
B"00100111", B"00110001", B"11110110", B"00110010", B"11101010",
B"00000111", B"11101000", B"00001110", B"11010001", B"11100011",
B"11110001", B"00010110", B"00001100", B"00110010", B"11010101",
B"01000100", B"11110011", B"11011111", B"11110001", B"00101100",
B"00101010", B"11000111", B"00110001", B"11001111", B"11011011",
B"11100010", B"00011011", B"00110011", B"11110000", B"11111000",
B"00011111", B"00011101", B"11010111", B"11011010", B"11011111",
B"11001000", B"11111011", B"00011111", B"00010101", B"00001101",
B"11100010", B"00101000", B"11101000", B"00000010", B"11101000",
B"00001110", B"11100100", B"11001000", B"00000111", B"11001100",
B"11110001", B"11010011", B"11100000", B"00101110", B"11010110",
B"11011010", B"00110010", B"11111101", B"11010000", B"11101001",
B"11100000", B"11101111", B"11010101", B"11101001", B"11111010",
B"00001000", B"00110000", B"00010001", B"00001111", B"00000010",
B"11010110", B"11011001", B"00101001", B"00100101", B"11101001",
B"00100101", B"11001110", B"11100110", B"11100001", B"00100100",
B"00001111", B"00010010", B"00011101", B"11011111", B"00010010",
B"11111111", B"11101011", B"00100000", B"00101001", B"00111101",
B"00100011", B"11011000", B"11111101", B"11001110", B"00011100",
B"11001001", B"11011101", B"11100111", B"00011001", B"00001110",
B"11000110", B"11100010", B"00100100", B"00011101", B"00001000",
B"11110101", B"00110100", B"11011100", B"00101101", B"11100111",
B"00110010", B"11101111", B"00010010", B"11110001", B"11110011",
B"01000010", B"00011111", B"11000110", B"11001000", B"00101101",
B"00111001", B"00010001", B"00100110", B"11100110", B"11011110",
B"11001111", B"00001011", B"00111110", B"11101010", B"11010000",
B"11011010", B"11010110", B"11111000", B"00100101", B"11000001",
B"00100001", B"11011100", B"00000000", B"11110010", B"00001010",
B"00101100", B"00101100", B"00010110", B"11111101", B"00101001",
B"00010100", B"11111000", B"11010010", B"00011111", B"00110101",
B"00101001", B"11111001", B"00100100", B"00100111", B"11011111",
B"11111001", B"00101001", B"00101001", B"00110010", B"11100001",
B"11100000", B"00100100", B"00110010", B"11011010", B"11100000",
B"11011101", B"00100101", B"00101001", B"11100000", B"00010110",
B"11101010", B"11001011", B"11010111", B"00011001", B"00001010",
B"11101001", B"00100101", B"00011111", B"11010100", B"11001100",
B"00110110", B"00011011", B"00010110", B"11110111", B"00110011",
B"11110111", B"11110111", B"11100000", B"00011101", B"00000010",
B"11010010", B"11000101", B"11111001", B"11100001", B"00001100",
B"11011111", B"00110001", B"11001000", B"11100111", B"11010111",
B"11101011", B"00000000", B"00001011", B"00011010", B"00101101",
B"00010000", B"11011001", B"00011011", B"00100001", B"11101111",
B"00101010", B"00101110", B"11100111", B"00101011", B"00011110",
B"00000001", B"11101110", B"00011001", B"11000110", B"00111001",
B"11100101", B"00111100", B"00011111", B"00001000", B"00010110",
B"00010001", B"00010000", B"00100100", B"00111110", B"11100000",
B"00100001", B"00010110", B"11111110", B"11100110", B"11010001",
B"11101001", B"11011000", B"11010001", B"11101110", B"00110101",
B"00101010", B"00101010", B"00011101", B"11100011", B"11100001",
B"00000111", B"00100111", B"11011000", B"00011001", B"00001110",
B"00000001", B"11011000", B"00101100", B"11100000", B"00001110",
B"11100110", B"00011001", B"11110011", B"11101111", B"00011110",
B"00010001", B"00100111", B"11100101", B"00101111", B"00100100",
B"11100000", B"00011111", B"00110010", B"11110101", B"00011000",
B"11101100", B"11101110", B"00101111", B"11101010", B"11010010",
B"11010000", B"11011010", B"00100101", B"00011010", B"11101011",
B"00011111", B"00111000", B"11011110", B"11111100", B"00100111",
B"00011011", B"00111100", B"00101111", B"11100101", B"00101111",
B"11011001", B"00100011", B"11101011", B"11011011", B"11111000",
B"11101110", B"11010100", B"00100010", B"00001000", B"00001110",
B"00010100", B"00100010", B"11100000", B"00100000", B"00100111",
B"11010100", B"00100001", B"00110011", B"11100101", B"00110011",
B"00010101", B"00100011", B"11001110", B"00100011", B"11001001",
B"00110100", B"11001111", B"00011010", B"11011110", B"00110110",
B"11100001", B"11110000", B"11010101", B"00100000", B"01000000",
B"00111000", B"00110110", B"00011101", B"11001011", B"00100001",
B"11010010", B"00100110", B"11010000", B"00100110", B"00011000",
B"11001011", B"00011110", B"00001110", B"11100110", B"11010011",
B"00001010", B"11110111", B"11110010", B"11101011", B"11100010",
B"11110100", B"00101011", B"11010000", B"00001010", B"00110111",
B"00100110", B"11010000", B"01001100", B"00001111", B"11100001",
B"11011110", B"00101111", B"11010110", B"11000000", B"10110101",
B"00010010", B"00101000", B"11001101", B"00010010", B"11110010",
B"00100011", B"11100011", B"00011110", B"00011110", B"11001011",
B"00110110", B"00101100", B"11101001", B"00101100", B"00100001",
B"00100110", B"11001000", B"00100101", B"11011011", B"00110010",
B"11101011", B"11100010", B"11011100", B"00111000", B"00101011",
B"00111000", B"00100011", B"11100100", B"11100000", B"00001010",
B"11011100", B"11100001", B"11111000", B"11101111", B"00011111",
B"11010101", B"00111101", B"11111101", B"11101100", B"00100110",
B"00001010", B"00100001", B"00101111", B"11111111", B"11100110",
B"11010011", B"00100100", B"11011011", B"00011000", B"11101001",
B"11011101", B"00011010", B"00100111", B"00101010", B"00100100",
B"11100101", B"11011100", B"00011001", B"00010101", B"11100000",
B"11100000", B"11100111", B"00001111", B"11011110", B"00110010",
B"11011111", B"11100011", B"00101010", B"00010101", B"11011001",
B"11100000", B"11100111", B"00101011", B"00100111", B"11101010",
B"00011111", B"11011100", B"00101010", B"11011000", B"00111110",
B"00110101", B"11010011", B"00110100", B"00100111", B"11111010",
B"00100010", B"11101000", B"00111001", B"11000010", B"11010010",
B"11000101", B"00100010", B"00101101", B"00001011", B"00110010",
B"00010100", B"11010000", B"00100010", B"11011010", B"00010111",
B"11001000", B"00110000", B"00101100", B"00110110", B"00110110",
B"00010011", B"00101011", B"00101011", B"00110001", B"00011001",
B"11010110", B"00010000", B"00100101", B"11100011", B"00101000",
B"00000010", B"11011101", B"11001000", B"00100101", B"00010000",
B"00101110", B"11101001", B"00101011", B"11100001", B"00010000",
B"11011110", B"00110010", B"00101001", B"11100101", B"00000001",
B"11100110", B"11111110", B"11101011", B"00011000", B"01000000",
B"11101001", B"00100000", B"00100110", B"11010001", B"11100110",
B"00101011", B"11111110", B"11011111", B"00101010", B"00011101",
B"11011001", B"00000111", B"00011100", B"11101110", B"00111010",
B"00011100", B"00111101", B"00001010", B"00111010", B"00100011",
B"00101011", B"00011000", B"00010001", B"00001110", B"11010001",
B"11011100", B"00110001", B"00011000", B"11110101", B"11110000",
B"11011111", B"11010010", B"11011010", B"11001001", B"01000001",
B"00100001", B"00111011", B"00110000", B"11011100", B"00101001",
B"11011111", B"00011100", B"11110110", B"11101000", B"00100010",
B"00110000", B"00010101", B"00011111", B"10111101", B"00011100",
B"00110010", B"11011001", B"11101100", B"00010100", B"00110010",
B"11101011", B"11010110", B"00100010", B"11100011", B"11001000",
B"11110101", B"11010100", B"00011110", B"00001010", B"11101001",
B"11011111", B"11000101", B"00011011", B"11001001", B"00100010",
B"11110010", B"00000011", B"11000001", B"00110000", B"00111010",
B"11100111", B"00111000", B"11011010", B"00011111", B"11100100",
B"00111110", B"11100111", B"11100010", B"11001011", B"00101111",
B"00011110", B"11100000", B"11110011", B"11011011", B"00001100",
B"00101011", B"00100101", B"00100000", B"00010100", B"00101011",
B"11010001", B"11100111", B"00011111", B"11010001", B"11100000",
B"11010010", B"11001011", B"00011101", B"00011010", B"11101011",
B"00101100", B"00011101", B"11101110", B"11111001", B"00110111",
B"00100101", B"00001101", B"11010011", B"11100001", B"00101110",
B"00101110", B"11011001", B"11101010", B"11010111", B"11100111",
B"00010011", B"11100110", B"00101000", B"11001000", B"11001010",
B"00100110", B"00101001", B"00100000", B"00100010", B"11101011",
B"00100001", B"11000100", B"00111111", B"11011101", B"11100111",
B"00000010", B"00001101", B"00110000", B"11100000", B"01000000",
B"11101010", B"00101100", B"11101110", B"11010010", B"00100111",
B"10111011", B"00100010", B"11101110", B"11011101", B"00100010",
B"00110110", B"00001011", B"00100010", B"11001010", B"00010011",
B"11000101", B"00010110", B"11011010", B"11110111", B"11100110",
B"11100011", B"11010011", B"00011010", B"00100111", B"00001001",
B"00011111", B"11101110", B"11010011", B"11101101", B"01000011",
B"00011101", B"10111011", B"00011111", B"10111111", B"11111111",
B"11101100", B"10111011", B"11010110", B"00101010", B"00011010",
B"00010011", B"00101100", B"11011000", B"00011001", B"11110010",
B"11011001", B"11101000", B"00110010", B"00110001", B"11001000",
B"11101000", B"11111111", B"00000110", B"00010100", B"00000110",
B"00011110", B"11010100", B"11111010", B"00100110", B"00100001",
B"00100010", B"00000001", B"00011100", B"11011000", B"00111000",
B"11101001", B"00011001", B"00110001", B"00001100", B"11101110",
B"00010101", B"11010111", B"00011110", B"11101100", B"11011010",
B"11010001", B"11100010", B"11110010", B"00010110", B"00001110",
B"00101110", B"00100100", B"00010111", B"00010100", B"00011011",
B"00011101", B"00010110", B"00100010", B"00101111", B"00100011",
B"11011101", B"00010011", B"00011011", B"00110010", B"11100000",
B"00101100", B"11001110", B"00001111", B"00100011", B"00101100",
B"00100010", B"00100101", B"00100000", B"00110001", B"00100100",
B"00010000", B"11011011", B"11010010", B"11010111", B"11011011",
B"00101010", B"00010000", B"00011111", B"00101100", B"11011000",
B"11100110", B"11010111", B"00011110", B"00011100", B"11111001",
B"00100100", B"11010001", B"00010011", B"00100011", B"11100001",
B"11010111", B"11111100", B"00011111", B"11010010", B"11011010",
B"00101001", B"11011101", B"11010000", B"00100011", B"11101100",
B"11011111", B"11100011", B"11100100", B"11101011", B"00001101",
B"01000110", B"00101000", B"11011101", B"00100110", B"11001101",
B"00101010", B"00100000", B"11100101", B"00011001", B"11011000",
B"11010010", B"11100111", B"00011010", B"00010111", B"00011111",
B"11111110", B"00001110", B"00101011", B"11101001", B"00110100",
B"00111000", B"11100000", B"11100110", B"00100101", B"00001110",
B"00001000", B"11011110", B"00011000", B"11010100", B"00100010",
B"00100101", B"01000000", B"00010011", B"11100001", B"00100100",
B"11100010", B"00001111", B"11010011", B"00100110", B"00011110",
B"00001100", B"11111011", B"00100101", B"11010110", B"00001101",
B"11101101", B"11100101", B"00011000", B"00100001", B"11011111",
B"11100011", B"11000111", B"11010011", B"11001110", B"00010101",
B"11010110", B"00100001", B"00110101", B"11101101", B"00001110",
B"00100101", B"00000100", B"11000111", B"00011101", B"00011011",
B"00111001", B"11000111", B"00100000", B"11100111", B"00100011",
B"11101001", B"11101101", B"00110011", B"11001100", B"00001110",
B"11000110", B"11111000", B"00001010", B"11111000", B"11011010",
B"00100000", B"00100011", B"00010111", B"00111001", B"11001100",
B"11110010", B"00011110", B"00011011", B"00101000", B"00101011",
B"00010100", B"00010111", B"00101101", B"00100110", B"11010010",
B"11100000", B"00010101", B"11000010", B"01000000", B"11001000",
B"11000110", B"00100111", B"11000111", B"00001000", B"11010110",
B"11111000", B"00101011", B"00110100", B"00101010", B"00000110",
B"11000011", B"00010000", B"00000010", B"11000010", B"11010100",
B"00011100", B"00111000", B"10111110", B"11101010", B"00011011",
B"11110000", B"00000110", B"11110000", B"00111100", B"00101101",
B"11101011", B"11101000", B"11101000", B"11110001", B"00001010",
B"00101011", B"00001101", B"00011110", B"11011101", B"00000001",
B"11100111", B"11110001", B"00011110", B"00100100", B"00011000",
B"11010101", B"11100101", B"00011001", B"00000000", B"11011100",
B"00100111", B"00110000", B"11100000", B"11100010", B"00010001",
B"00111011", B"11101101", B"11010101", B"11100010", B"11010111",
B"00010001", B"10110000", B"00010010", B"00010011", B"00110010",
B"00101111", B"00010111", B"00011010", B"00010110", B"00011011",
B"00011110", B"00101100", B"00100010", B"00101010", B"00110010",
B"00101101", B"00110110", B"00001001", B"00110001", B"11011111",
B"11010010", B"00100000", B"11010100", B"01000100", B"11100001",
B"11010011", B"00110010", B"11010101", B"11011110", B"00110101",
B"11100011", B"00010111", B"11010010", B"11100000", B"00010000",
B"00001010", B"00100010", B"11110010", B"01000100", B"00001001",
B"11011001", B"11110110", B"00100011", B"00011001", B"00101010",
B"00001000", B"00100000", B"00011100", B"00010101", B"00101010",
B"00100100", B"11010101", B"00011000", B"00011010", B"00110001",
B"11110011", B"00001101", B"11001011", B"00110100", B"00111110",
B"11100000", B"00111100", B"00110000", B"11011100", B"00011000",
B"00101000", B"11110010", B"11010111", B"00111000", B"00100100",
B"11010101", B"11001110", B"11011111", B"11000110", B"00000001",
B"11101100", B"00001110", B"00010111", B"11011101", B"11110101",
B"11100011", B"11101111", B"11001010", B"11110001", B"11011001",
B"11100010", B"11110101", B"00100011", B"00011101", B"00011111",
B"00101000", B"11100100", B"00001100", B"00011101", B"11011101",
B"11100001", B"11000010", B"11101011", B"11100111", B"00011110",
B"00100011", B"00000110", B"11000010", B"00011101", B"11100100",
B"00011101", B"11011110", B"11011100", B"11101001", B"11011011",
B"00101010", B"00011111", B"11000111", B"00101110", B"11111001",
B"11000000", B"11010010", B"11100111", B"00000100", B"00111000",
B"11011101", B"00010111", B"11010011", B"11011000", B"11000111",
B"11010101", B"11100011", B"00100111", B"00010101", B"00011100",
B"00101001", B"01000001", B"11100000", B"10110100", B"11011111",
B"11101010", B"00110011", B"11011111", B"00011101", B"11000000",
B"00110100", B"11110000", B"11101001", B"11100001", B"11010100",
B"00011000", B"00110000", B"00110000", B"00101100", B"00001000",
B"00101101", B"00100100", B"00101010", B"00000111", B"00100011",
B"11110000", B"11001000", B"00100001", B"00110111", B"00000000",
B"00101101", B"11101001", B"11100011", B"00010111", B"11100010",
B"11100110", B"11100111", B"11100010", B"00100011", B"11101000",
B"01001010", B"11110001", B"11011100", B"11001010", B"00011000",
B"00101011", B"11110110", B"00100101", B"11010101", B"11100011",
B"00011001", B"11001111", B"00010010", B"11010101", B"11110100",
B"00101101", B"00101101", B"00100001", B"11011111", B"00100100",
B"11110100", B"11100010", B"00100000", B"00011011", B"11101101",
B"00110000", B"11010010", B"00001101", B"00110011", B"11000000",
B"00011010", B"00110110", B"11100010", B"01000010", B"00110010",
B"11101000", B"00100111", B"11101111", B"11110111", B"11001000",
B"00010011", B"11100111", B"11001110", B"00110010", B"00000011",
B"00011000", B"11011110", B"11001001", B"00100001", B"00100010",
B"00011110", B"00010010", B"00100101", B"00011101", B"00111001",
B"00001100", B"00010111", B"11010010", B"11000110", B"00010000",
B"00100011", B"00101011", B"00000010", B"11010010", B"10111100",
B"00100110", B"00001010", B"11101111", B"11010001", B"00010011",
B"00101110", B"00001001", B"11101000", B"11011000", B"11100011",
B"00100101", B"00001100", B"00010111", B"00111010", B"11110111",
B"11010110", B"01000111", B"00100100", B"11001011", B"11111001",
B"00100000", B"00100001", B"11010111", B"11101000", B"00001011",
B"00011010", B"11000101", B"00001111", B"00001101", B"11100101",
B"11011111", B"00110001", B"11101101", B"00010001", B"00100111",
B"11101111", B"11011100", B"11100010", B"11010110", B"00000011",
B"00010100", B"00100101", B"11100111", B"00011010", B"00011100",
B"11110110", B"11010101", B"00011110", B"11110110", B"00100011",
B"11111101", B"11100110", B"11101010", B"00101101", B"00100000",
B"11100001", B"00010001", B"11001101", B"11011011", B"00011101",
B"11101001", B"11000001", B"11101001", B"11000010", B"10110100",
B"00011000", B"11011011", B"00011001", B"11100100", B"11100001",
B"00010001", B"00110110", B"11110001", B"00100100", B"11011011",
B"11110001", B"11010001", B"00101010", B"00011010", B"11010100",
B"11100011", B"11011100", B"11110010", B"00001110", B"00010100",
B"11101011", B"00010111", B"11011101", B"00011010", B"00010110",
B"00100110", B"10111010", B"00010110", B"11010110", B"00110000",
B"11101100", B"00110000", B"11110110", B"10110110", B"00110000",
B"11010100", B"11100101", B"11100001", B"11010010", B"11011101",
B"00001011", B"00100010", B"11001011", B"11110000", B"10111100",
B"11011010", B"11011110", B"00011001", B"00001010", B"00100110",
B"00010011", B"00100100", B"00000101", B"00100001", B"00010001",
B"11000101", B"11100000", B"11110100", B"11011101", B"00000111",
B"00010000", B"00100011", B"00001001", B"00101111", B"11011111",
B"00110011", B"00110010", B"11011110", B"00010111", B"00110011",
B"11100111", B"00100111", B"11100011", B"00011101", B"00011100",
B"11110000", B"00111010", B"00100100", B"11101111", B"00011001",
B"00010010", B"11100111", B"11110100", B"00001000", B"00101010",
B"11010101", B"11100000", B"11010011", B"11001001", B"00010111",
B"11110010", B"00100111", B"11100001", B"11100011", B"00000100",
B"00011000", B"11011001", B"11100010", B"00001101", B"00010011",
B"11110010", B"11101101", B"11011001", B"11010110", B"10110011",
B"00101011", B"11001111", B"00101110", B"11110001", B"11100111",
B"00011100", B"00010010", B"11100010", B"00100001", B"11110110",
B"11110101", B"11101101", B"00100010", B"00101100", B"00011111",
B"11111110", B"00110110", B"11011000", B"00100101", B"11010111",
B"00111100", B"00000110", B"11100010", B"11100110", B"00011010",
B"11111100", B"00100011", B"00001111", B"11011010", B"11011001",
B"11100100", B"11110001", B"00010011", B"11100111", B"00010010",
B"11011001", B"11111100", B"01010111", B"00011111", B"11100101",
B"00100011", B"00111011", B"11100000", B"00010011", B"00101011",
B"11101010", B"00010110", B"00011001", B"00001101", B"11010100",
B"00101110", B"11101000", B"00100110", B"11000110", B"11001110",
B"11011010", B"11100000", B"00011111", B"00011111", B"11101001",
B"00010111", B"11101110", B"00010001", B"00010011", B"11011101",
B"11101000", B"00010110", B"00100010", B"11010011", B"11001101",
B"11101011", B"11010010", B"00101100", B"11100000", B"00011000",
B"11100010", B"10111111", B"00101100", B"11100110", B"11010101",
B"01001111", B"11000101", B"00101101", B"11010011", B"11100100",
B"00010000", B"00000110", B"00011011", B"00100101", B"00110101",
B"00100100", B"00010101", B"00010011", B"00111100", B"11011000",
B"11101000", B"11000010", B"00010111", B"00101010", B"11010111",
B"00001110", B"10111000", B"00100101", B"00110000", B"11001011",
B"00010001", B"00010010", B"10110100", B"11011111", B"00010010",
B"11101010", B"00011111", B"11111101", B"11010010", B"11100101",
B"11001111", B"11000011", B"11100110", B"00100000", B"11101100",
B"11110100", B"00011101", B"11100011", B"11100011", B"11100111",
B"11100100", B"11011000", B"00011110", B"00100011", B"00001111",
B"11101101", B"00010101", B"11011110", B"00100100", B"00011001",
B"00010111", B"11111100", B"00010101", B"00100101", B"00011100",
B"00100010", B"00001000", B"11101111", B"00011001", B"00010100",
B"00101010", B"11110000", B"00001010", B"11110000", B"00011100",
B"11000100", B"01010011", B"11010100", B"00110011", B"11110000",
B"11011110", B"00010111", B"01000010", B"11011000", B"00100100",
B"11101000", B"11011000", B"11011110", B"00111111", B"00011110",
B"11010101", B"11010001", B"01001011", B"00011100", B"00101010",
B"11001111", B"00111101", B"11010100", B"00011101", B"00010110",
B"11101100", B"11100101", B"00011010", B"11100101", B"11011100",
B"11100010", B"11000110", B"00011110", B"00100110", B"11101111",
B"00101001", B"00100000", B"11110000", B"11011100", B"00010001",
B"11001100", B"00111010", B"11100010", B"00000000", B"11011010",
B"11011011", B"00100010", B"00010000", B"11011000", B"11100001",
B"11111011", B"11011001", B"00110111", B"00100011", B"00100010",
B"00101110", B"11001001", B"00011110", B"00100110", B"00100000",
B"11010011", B"00101110", B"11100100", B"00010001", B"00011010",
B"00111011", B"11100111", B"11000100", B"00101010", B"00101000",
B"11100111", B"11011001", B"11101011", B"00101100", B"11011000",
B"00100100", B"11101110", B"11110101", B"00111000", B"00001100",
B"00010001", B"00001001", B"00101101", B"00100010", B"00110111",
B"00111101", B"00110010", B"00010100", B"00101010", B"00101100",
B"11011111", B"00100101", B"00101110", B"11110100", B"11010010",
B"00101000", B"11101101", B"00011010", B"11101100", B"11101011",
B"11101011", B"00101000", B"00111001", B"11010111", B"11001111",
B"11101000", B"11011010", B"00111110", B"00000111", B"11011000",
B"00010010", B"11011001", B"11011001", B"00011110", B"00100001",
B"00010001", B"11010110", B"00001100", B"11000110", B"00111000",
B"00011100", B"00000111", B"11001100", B"11001101", B"00110110",
B"00101000", B"11100000", B"11000011", B"00100111", B"00000111",
B"00011001", B"00110010", B"11101100", B"00101111", B"00100001",
B"11111001", B"00011100", B"11100000", B"00101010", B"11011100",
B"11100110", B"11010011", B"00100000", B"00100101", B"10111101",
B"00100110", B"00010101", B"11100100", B"11100001", B"11011010",
B"00000011", B"11010001", B"00100110", B"11010001", B"00011101",
B"00100111", B"11100011", B"00110000", B"00001000", B"11101100",
B"00001001", B"10111110", B"11011000", B"11100111", B"11010011",
B"00101000", B"11001011", B"00111001", B"11101011", B"11011011",
B"11001001", B"11111010", B"00101001", B"11010010", B"00000000",
B"11000110", B"00011111", B"11010000", B"11011010", B"11001111",
B"11011010", B"00100000", B"10111110", B"00001011", B"00010000",
B"00100000", B"11010111", B"11101110", B"00001111", B"00010001",
B"11100011", B"11010111", B"00010000", B"11110101", B"11101010",
B"11101010", B"11010101", B"00001110", B"11110000", B"00110101",
B"00010011", B"11101100", B"00011110", B"00011110", B"11111000",
B"00011001", B"00101100", B"11011110", B"11010111", B"00000010",
B"00101010", B"00110101", B"00010101", B"00000100", B"11101010",
B"11100111", B"11011000", B"00011111", B"11100100", B"00100100",
B"11001101", B"11111011", B"00011010", B"00011101", B"00000000",
B"11010000", B"11101001", B"00110100", B"11001011", B"11000011",
B"11011111", B"11100001", B"11010111", B"00110101", B"11101110",
B"00000000", B"11101000", B"00011101", B"00011011", B"11001101",
B"11001100", B"11100001", B"11010100", B"11101011", B"00110010",
B"00100111", B"00011000", B"00101010", B"11100110", B"11110110",
B"11101000", B"00011000", B"00011001", B"11100000", B"00011001",
B"11010111", B"11101001", B"00101110", B"11001101", B"11011010",
B"11100111", B"00101010", B"00101000", B"11011011", B"00001000",
B"11001100", B"11110101", B"11010110", B"11101100", B"00010001",
B"11100111", B"00001011", B"11111010", B"11110000", B"11111110",
B"00100111", B"00100010", B"11100010", B"00010111", B"11011001",
B"00100100", B"00100100", B"11011010", B"11100100", B"00010111",
B"00101010", B"11100011", B"11110110", B"00101011", B"00010110",
B"11100001", B"11100100", B"00001101", B"00110100", B"11101011",
B"11110000", B"00010111", B"00010001", B"11010000", B"11011101",
B"00100101", B"00010010", B"11010110", B"11110110", B"00100001",
B"00100111", B"00010100", B"11011101", B"00101001", B"11011101",
B"00110001", B"11010000", B"00111111", B"11111010", B"11100000",
B"00011100", B"11101011", B"11010011", B"11110000", B"11100011",
B"11010101", B"11111100", B"10111010", B"00011101", B"00101000",
B"11000111", B"00100100", B"11110000", B"11100100", B"00001100",
B"00101110", B"00110111", B"11000111", B"00000010", B"11010100",
B"00011110", B"00100111", B"00010011", B"00010000", B"00101100",
B"00011011", B"00011111", B"01001111", B"00010110", B"11010100",
B"11010001", B"00101100", B"00001110", B"00011000", B"00011101",
B"11101100", B"11010110", B"00010001", B"00101011", B"00011101",
B"11100010", B"00011100", B"11101011", B"00011100", B"11010101",
B"00100110", B"11011011", B"00011101", B"00101001", B"11001001",
B"00001110", B"00011111", B"11001110", B"00001010", B"00011101",
B"00001011", B"11100011", B"00100011", B"11010100", B"00001111",
B"11011010", B"00010001", B"00101111", B"11001110", B"00011101",
B"00011010", B"11000100", B"11011100", B"00010000", B"11011001",
B"00110001", B"00101111", B"11101011", B"11110110", B"11101111",
B"11011110", B"11100110", B"00011101", B"00011000", B"11011000",
B"11110110", B"00110010", B"00001110", B"11110000", B"11011100",
B"00010111", B"11011011", B"00111110", B"00100111", B"11100010",
B"00001110", B"00011111", B"11011001", B"00001110", B"00111010",
B"11011000", B"11110010", B"00000101", B"00011010", B"11011111",
B"11100000", B"00010001", B"00000101", B"11001010", B"11010101",
B"00000111", B"00100011", B"11001001", B"11101100", B"00101001",
B"11110001", B"11100001", B"11011011", B"11010000", B"00101000",
B"11100110", B"01001010", B"11011101", B"00011001", B"00110100",
B"11101110", B"00010100", B"11001111", B"11101010", B"11011110",
B"11100111", B"11110111", B"11011001", B"11011010", B"00010111",
B"00101100", B"00010001", B"00101110", B"11110110", B"11011100",
B"00101001", B"11010100", B"00001100", B"11010011", B"11101010",
B"00110101", B"00111101", B"00110001", B"00001100", B"00101011",
B"00011110", B"00000100", B"00100010", B"00101001", B"00011110",
B"00011001", B"00011110", B"00001100", B"00100110", B"00011110",
B"11111111", B"00100000", B"11101010", B"00011111", B"11101111",
B"00001100", B"11001001", B"11101000", B"11110111", B"00100111",
B"00101110", B"00010000", B"00100100", B"00011001", B"00010101",
B"00010001", B"00011010", B"00110100", B"00011000", B"11110101",
B"11011001", B"00111000", B"11101101", B"11101001", B"11010011",
B"11010001", B"00000001", B"11110001", B"11011101", B"01001000",
B"11010110", B"11100100", B"11100110", B"11100100", B"00011111",
B"00111101", B"00101000", B"11011110", B"00011011", B"11011001",
B"00011011", B"11010000", B"11010000", B"11111001", B"00101111",
B"00100111", B"00010100", B"00010110", B"11011011", B"11100111",
B"11010101", B"11011001", B"11100000", B"11011101", B"00001010",
B"00011101", B"00010010", B"00101001", B"11101110", B"11110011",
B"00010001", B"00101110", B"00010111", B"00010110", B"11100101",
B"11101001", B"00110001", B"00010111", B"00010110", B"00011101",
B"00011001", B"00100110", B"00100101", B"00001011", B"11000111",
B"00001111", B"00100011", B"11100000", B"11110000", B"10111100",
B"11100010", B"11101000", B"11011100", B"11100100", B"00100001",
B"11101000", B"00101100", B"11000000", B"11001111", B"00100011",
B"00100010", B"00010011", B"00110110", B"11110111", B"00011001",
B"11011100", B"00100000", B"11100001", B"11001100", B"00100011",
B"00111110", B"11010111", B"11111101", B"11101000", B"11100101",
B"11100110", B"00010010", B"11011010", B"00101000", B"10111001",
B"11100010", B"11100000", B"00100001", B"00010010", B"00100101",
B"11011001", B"00111011", B"11011111", B"11011100", B"11100111",
B"00011110", B"00101100", B"00010111", B"11100111", B"00010110",
B"11110000", B"11011110", B"11011100", B"00100001", B"00011110",
B"11011110", B"00011110", B"00100100", B"00010101", B"11010111",
B"00101101", B"11101100", B"00101000", B"00101101", B"11011011",
B"00010110", B"11011011", B"11011001", B"11101011", B"11111000",
B"00100000", B"00100100", B"00011000", B"00110000", B"11011000",
B"00011101", B"11000000", B"00100111", B"11011110", B"11001111",
B"11101111", B"00101001", B"00111110", B"00010010", B"00110101",
B"11111110", B"11100000", B"11011110", B"11001110", B"11110010",
B"11011111", B"00111100", B"00010000", B"00001111", B"00001111",
B"10111111", B"11000100", B"11110101", B"11101010", B"00010110",
B"00110110", B"00100011", B"00100101", B"11010100", B"00100100",
B"00001011", B"00010111", B"11010011", B"00100100", B"11111001",
B"00101011", B"00000010", B"11011111", B"11110010", B"00100100",
B"00110001", B"11011100", B"00011100", B"10111111", B"11011100",
B"00101111", B"00011000", B"00100001", B"11110010", B"00010010",
B"11101111", B"11101101", B"00100001", B"11100000", B"00011010",
B"11100100", B"11011011", B"11010110", B"00001100", B"00000100",
B"00101101", B"00100110", B"11011010", B"11001011", B"00001010",
B"00001110", B"11100011", B"11001110", B"11011010", B"11011111",
B"11100011", B"11101010", B"00010100", B"00101001", B"00010111",
B"00001111", B"00001101", B"11010110", B"11011110", B"00011010",
B"11101100", B"11000011", B"11010101", B"11101000", B"11011101",
B"00100001", B"00101010", B"11110101", B"11011110", B"11011110",
B"11100000", B"11001100", B"11010000", B"11111011", B"11110101",
B"00101001", B"11011011", B"11100110", B"00111011", B"00001110",
B"00001001", B"00011100", B"11010100", B"11010010", B"00100011",
B"00110001", B"11110010", B"11011100", B"11011010", B"11011010",
B"11111010", B"11011101", B"00011100", B"11000101", B"11010010",
B"00010010", B"00000001", B"00100001", B"11010111", B"11001010",
B"11001110", B"00010010", B"00010001", B"11101101", B"00010111",
B"00100010", B"11100010", B"00001010", B"00110100", B"11110101",
B"11111000", B"00100100", B"10111111", B"00000001", B"00100111",
B"00011100", B"00010110", B"00101111", B"11110001", B"11011011",
B"00100101", B"00011111", B"00011001", B"00110111", B"00100111",
B"00100010", B"00011101", B"00100001", B"00101010", B"11001001",
B"00100001", B"11100000", B"11100111", B"11010000", B"00010011",
B"00010001", B"00011010", B"00011001", B"00100010", B"00100010",
B"00100100", B"00011111", B"00110010", B"00100101", B"00011111",
B"00100100", B"11101100", B"11101011", B"01000001", B"00011101",
B"11101100", B"11011111", B"00001001", B"11010001", B"11011110",
B"11111110", B"00110001", B"11001000", B"00010111", B"11011110",
B"11110011", B"00101011", B"00001110", B"11100000", B"11010101",
B"11110110", B"11011110", B"11000000", B"00010001", B"11100010",
B"11011001", B"11011100", B"11110110", B"00100000", B"11100001",
B"00001110", B"00111111", B"11110110", B"11000000", B"00001100",
B"11100110", B"11110001", B"11011000", B"11101111", B"00101101",
B"11100010", B"11111011", B"00100011", B"11100001", B"11011001",
B"11010001", B"11110011", B"00100101", B"00111001", B"11100101",
B"11100001", B"00011100", B"00110001", B"11111000", B"11101101",
B"11001100", B"00001111", B"11000111", B"00101100", B"11110001",
B"11100011", B"00011000", B"00001001", B"00100111", B"00010011",
B"00010111", B"00001011", B"00011101", B"00100010", B"00011100",
B"00010001", B"11011011", B"00101010", B"00100011", B"11111001",
B"11001001", B"00011000", B"11010011", B"00101111", B"00001100",
B"11100001", B"11110001", B"00000000", B"11101110", B"00101001",
B"11011011", B"00101010", B"00001010", B"11110000", B"11011101",
B"11101000", B"11011111", B"00100101", B"11101000", B"00100110",
B"11010011", B"11011010", B"11101000", B"11101001", B"00100100",
B"11000000", B"10110110", B"00011100", B"11110110", B"00010100",
B"00101011", B"10111100", B"11111000", B"11100011", B"11001110",
B"11101111", B"00001101", B"00010000", B"00100011", B"11110000",
B"00011011", B"11101110", B"00100011", B"11010100", B"11011101",
B"00110111", B"11100010", B"11110000", B"11011000", B"00010011",
B"00110110", B"10110110", B"11011010", B"11000110", B"00111011",
B"00011000", B"00100110", B"00010111", B"11001111", B"11011100",
B"11101011", B"11000110", B"00100011", B"11000101", B"00101110",
B"11010001", B"11111101", B"00011000", B"00010001", B"11010110",
B"11011100", B"00101100", B"11111010", B"11010111", B"10111101",
B"11100110", B"11101011", B"11100110", B"00011011", B"11011010",
B"00111010", B"11001001", B"11011001", B"00100001", B"00100101",
B"00001111", B"11001111", B"00011111", B"00100010", B"11101000",
B"11100111", B"00011100", B"11010011", B"00011010", B"11011111",
B"00100010", B"11100010", B"11010101", B"00010011", B"00001000",
B"00010111", B"00111000", B"00100100", B"11110000", B"00100011",
B"11101001", B"00010010", B"11011010", B"00011010", B"00000011",
B"00001010", B"11100011", B"00101011", B"11100110", B"00010001",
B"11100101", B"00000010", B"11011000", B"11101111", B"11011111",
B"11100100", B"00011001", B"11011101", B"00100110", B"00001011",
B"00111001", B"00111101", B"11100100", B"00011011", B"11101111",
B"00011010", B"11110011", B"11001011", B"00010000", B"11100110",
B"11010001", B"11100110", B"00000000", B"00010110", B"11011010",
B"11001011", B"11101000", B"00100010", B"00111110", B"00100111",
B"00011100", B"11011101", B"11100010", B"11011000", B"11101001",
B"00011110", B"11010010", B"00100101", B"11111011", B"11011111",
B"00111000", B"00011111", B"00011001", B"11010111", B"00011101",
B"00011110", B"11010011", B"11011011", B"00111101", B"00110100",
B"00010111", B"00000101", B"11100011", B"00101111", B"11011010",
B"00100011", B"11011110", B"00010011", B"11100110", B"11010011",
B"00001010", B"11011110", B"11101110", B"11011101", B"11101000",
B"11101011", B"11101010", B"00110000", B"11111100", B"00100011",
B"11101001", B"11101111", B"00010000", B"11100101", B"11110011",
B"11010110", B"11111100", B"00100001", B"00011010", B"00010001",
B"00101001", B"00100110", B"00000110", B"00010110", B"11110100",
B"00011001", B"11010001", B"00010011", B"11110100", B"00100001",
B"00101010", B"11001011", B"11010010", B"00100001", B"00100101",
B"11001110", B"11101000", B"00000110", B"00011001", B"00111010",
B"11110111", B"00110001", B"11101110", B"00100000", B"11010101",
B"11110110", B"00101101", B"11111000", B"00101011", B"11011011",
B"11010101", B"00100001", B"00100011", B"01001001", B"11101000",
B"00100000", B"00001101", B"11010100", B"00011001", B"00101011",
B"11111100", B"00011000", B"00101111", B"00101111", B"11010111",
B"00000011", B"11111100", B"00100000", B"11110111", B"11110010",
B"11010000", B"11011011", B"11100111", B"11110110", B"00001010",
B"00010010", B"00101101", B"00111000", B"00001101", B"11101010",
B"11101101", B"00011000", B"00100001", B"11101110", B"11001010",
B"11010101", B"00100111", B"11010111", B"00101100", B"11001111",
B"11101110", B"00011111", B"00100111", B"11010011", B"00100110",
B"11100000", B"11101011", B"11100100", B"00010011", B"00110000",
B"11011011", B"11001111", B"00110111", B"00001101", B"00010110",
B"11100101", B"00110010", B"11110011", B"00011001", B"10111010",
B"11100100", B"00100000", B"11100011", B"00011101", B"11010100",
B"11100011", B"00110000", B"00011001", B"00000011", B"11110000",
B"11111101", B"00110011", B"00100110", B"11100111", B"11100100",
B"00111101", B"00010101", B"11011111", B"00101011", B"00011001",
B"11100010", B"11010110", B"00101101", B"00011111", B"00100000",
B"00101111", B"11100010", B"00100000", B"11001011", B"00010010",
B"11100111", B"10111100", B"11010110", B"11010101", B"00010100",
B"00011100", B"11010001", B"00110100", B"11011011", B"00100011",
B"11010100", B"00011111", B"11011110", B"11010101", B"11111001",
B"00011111", B"00100101", B"11100110", B"11000010", B"11110010",
B"11010010", B"00011101", B"00011100", B"00011010", B"00110001",
B"00000110", B"11100110", B"00011010", B"11111101", B"11011100",
B"00000000", B"00101000", B"00100011", B"11100101", B"00010101",
B"00100011", B"00101110", B"11011100", B"00110101", B"11100110",
B"00100111", B"11011000", B"00100101", B"00101100", B"11101110",
B"00000110", B"11101011", B"11010100", B"11011010", B"00010001",
B"11100010", B"00100011", B"11100110", B"11100100", B"11011000",
B"00100110", B"00001010", B"11101010", B"00011001", B"11100001",
B"11011000", B"11001101", B"00010000", B"00110000", B"11101011",
B"00110010", B"11001001", B"11011011", B"11100001", B"11101010",
B"00100111", B"11010000", B"00100010", B"11010101", B"11110001",
B"11011110", B"11011101", B"00011001", B"00111110", B"00010000",
B"00101100", B"00001111", B"00110100", B"00010000", B"11101011",
B"00100100", B"11011011", B"00000110", B"11010011", B"01001011",
B"11101011", B"00100000", B"00001111", B"11101010", B"00101100",
B"00100010", B"11111011", B"11011111", B"11100110", B"11100001",
B"11000001", B"00110111", B"00011111", B"00101001", B"00101110",
B"11101100", B"00110100", B"00100110", B"00010000", B"11101011",
B"00001001", B"11011101", B"00011010", B"11110000", B"00011101",
B"00011100", B"00000100", B"11100101", B"00011010", B"11101011",
B"00010010", B"00010011", B"11011111", B"11010100", B"11001001",
B"11011010", B"00100011", B"11010100", B"00101010", B"00111011",
B"11001111", B"00000011", B"11010110", B"11001110", B"11010100",
B"00010010", B"00101001", B"00101110", B"00000001", B"11101111",
B"11011101", B"00101101", B"00001111", B"11110101", B"11101001",
B"01000011", B"00010010", B"00101010", B"10110100", B"00011100",
B"11011110", B"00100110", B"11001111", B"00001111", B"00001001",
B"00011011", B"01001100", B"00101000", B"00011010", B"01001111",
B"00010101", B"00001010", B"11100111", B"00110010", B"11000111",
B"11101111", B"11010011", B"11111011", B"00011010", B"11101101",
B"11011111", B"00101010", B"11001100", B"00011010", B"11100100",
B"11011000", B"00011111", B"11100101", B"11011111", B"11011011",
B"00001101", B"00001000", B"11111000", B"00110011", B"11001011",
B"00110101", B"00011100", B"00101010", B"11100101", B"00001001",
B"11100011", B"00000010", B"11001111", B"00011010", B"11011000",
B"11101111", B"00010101", B"11100011", B"11110101", B"11010001",
B"11010010", B"11010011", B"00001010", B"11010000", B"11000010",
B"11000101", B"00001111", B"00001001", B"11110010", B"00011111",
B"11011001", B"11100000", B"00110100", B"11100000", B"11100111",
B"11010010", B"11010000", B"00101011", B"11101101", B"00001100",
B"00011110", B"00100001", B"00110000", B"00100101", B"00011111",
B"11011010", B"11100011", B"00000110", B"00110110", B"00100011",
B"00011001", B"11110100", B"00000101", B"00001111", B"00011101",
B"00010111", B"11000101", B"00101000", B"11000100", B"00100111",
B"11001010", B"11110000", B"11011001", B"00010100", B"00011101",
B"00000110", B"00110010", B"11011100", B"11010111", B"11001111",
B"00000000", B"00010101", B"11110000", B"00110110", B"11100001",
B"11000010", B"00000110", B"11100100", B"11100000", B"11100000",
B"11110111", B"00111001", B"00010001", B"00011010", B"00110111",
B"00101011", B"11101010", B"11100001", B"11001100", B"11011010",
B"00100010", B"11011010", B"00110111", B"00100111", B"00101001",
B"00010101", B"11011000", B"00010111", B"11011110", B"00011111",
B"11100010", B"00101011", B"11100101", B"00100001", B"00011000",
B"11011011", B"00100110", B"00000010", B"11011000", B"00110001",
B"11101101", B"00111111", B"00110001", B"11100011", B"00111111",
B"00100011", B"11001001", B"11101011", B"11011100", B"00100110",
B"00000111", B"00010010", B"00010000", B"11101111", B"11100111",
B"11101010", B"11101001", B"00011000", B"00100101", B"00100000",
B"00100001", B"11010001", B"11011111", B"11111000", B"11100000",
B"01000010", B"11011000", B"00100110", B"11100100", B"11100000",
B"00010110", B"11110010", B"00110000", B"11000111", B"00100101",
B"11101101", B"11111100", B"00100011", B"00101010", B"11100010",
B"00101010", B"11110100", B"11010101", B"11101011", B"00110100",
B"00011111", B"11101111", B"11101111", B"00010010", B"11110101",
B"00011110", B"11111010", B"11001101", B"00110001", B"00000100",
B"11010111", B"00010000", B"11011000", B"11011100", B"11101100",
B"00010111", B"01001000", B"11110111", B"11000101", B"11000010",
B"00101000", B"11100011", B"00000110", B"11010111", B"11110000",
B"00011111", B"11110100", B"11110001", B"11111110", B"00010101",
B"11110001", B"00011011", B"00010100", B"11111110", B"00000111",
B"11100111", B"00001010", B"00110100", B"11101101", B"00101011",
B"00101101", B"11101010", B"00010000", B"00110010", B"11110001",
B"11000111", B"00001010", B"00001000", B"11110111", B"11100001",
B"11010101", B"10111101", B"11111100", B"11101000", B"00011000",
B"00101100", B"00100110", B"00001110", B"11011101", B"00011110",
B"11100001", B"00001110", B"11010111", B"11000000", B"00101001",
B"00100010", B"00100101", B"11100000", B"11110001", B"00100110",
B"11101010", B"11100000", B"11100101", B"11101100", B"11111000",
B"11111011", B"00010110", B"11101000", B"11011010", B"11100101",
B"11010010", B"11011011", B"00000000", B"10011101", B"11010100",
B"11011011", B"00011000", B"00110101", B"00110001", B"00011101",
B"00110011", B"11011010", B"11101010", B"00011101", B"11011001",
B"11001100", B"11101100", B"11011001", B"00010100", B"11010001",
B"11101010", B"00001010", B"11010100", B"11100101", B"11101000",
B"11011100", B"00011101", B"00010111", B"00110101", B"00100010",
B"00001111", B"00011000", B"00100110", B"00001100", B"11111011",
B"00010110", B"11100010", B"00101101", B"11111101", B"11010000",
B"00010111", B"00011110", B"00110001", B"11010011", B"11011111",
B"11011010", B"11100011", B"00100111", B"11011100", B"00101011",
B"00000110", B"10110110", B"11110000", B"10111100", B"11111000",
B"00011011", B"11011000", B"00101010", B"00010110", B"00100001",
B"11001101", B"11010010", B"00011010", B"00011111", B"11101011",
B"11110001", B"00010110", B"11011001", B"00011000", B"10111110",
B"11101011", B"11011001", B"00100110", B"00010101", B"11101000",
B"00100111", B"00011110", B"11011010", B"11100110", B"11001000",
B"11001111", B"11110001", B"00111001", B"00100110", B"00100010",
B"00100111", B"11110100", B"01001110", B"00011111", B"00011111",
B"00100000", B"11100000", B"11100101", B"11001101", B"11000110",
B"00011110", B"11000100", B"00101101", B"00101001", B"11000110",
B"11001000", B"00111111", B"11111010", B"11100011", B"11111011",
B"11011111", B"11101111", B"11101001", B"00100000", B"00001011",
B"00100001", B"00100110", B"11101010", B"11111111", B"00100001",
B"00110000", B"01000001", B"11110101", B"00110010", B"11011010",
B"00001110", B"11001110", B"11100100", B"11001011", B"00101100",
B"00011110", B"00011101", B"00101100", B"11101010", B"10111010",
B"00000001", B"00010011", B"11111010", B"11111101", B"11100010",
B"10111001", B"01000000", B"00110111", B"00110100", B"11111010",
B"00100110", B"11101001", B"11100010", B"11010111", B"00010011",
B"00110001", B"00100011", B"00110011", B"00100001", B"00010000",
B"00011001", B"00100011", B"00010111", B"00100010", B"00010101",
B"00111111", B"00000010", B"00111101", B"00010011", B"11100011",
B"11100001", B"00010110", B"11011010", B"11001101", B"11010101",
B"11010011", B"00001111", B"00111011", B"00100110", B"00111010",
B"01000011", B"00011010", B"11100000", B"11110101", B"00011100",
B"00011000", B"11100010", B"11101101", B"11011110", B"00100111",
B"00010011", B"11011001", B"11100001", B"11101011", B"11001000",
B"11010011", B"11011101", B"00111011", B"01000110", B"00100110",
B"10111100", B"00100011", B"11011001", B"00101111", B"00101111",
B"11000000", B"00001101", B"11101001", B"11011000", B"11101010",
B"00100010", B"00100101", B"00010101", B"11110010", B"11101100",
B"00000000", B"11010100", B"11111100", B"11001010", B"11010011",
B"11100101", B"00010001", B"00111000", B"11010011", B"11010110",
B"11101110", B"11010001", B"11101001", B"11010011", B"11110110",
B"11011000", B"00010101", B"00001110", B"11100111", B"00110010",
B"11010110", B"00100000", B"11110111", B"11101111", B"11100111",
B"11100000", B"00011000", B"11100101", B"01001001", B"11101110",
B"00010110", B"11011000", B"11010010", B"00001100", B"00001101",
B"00100000", B"11011100", B"11011000", B"00110011", B"00001111",
B"00011101", B"11011110", B"00110100", B"11010010", B"00010110",
B"00010001", B"00000001", B"00001011", B"11011101", B"00001001",
B"11010000", B"00011011", B"11101111", B"11000110", B"00011111",
B"00101100", B"11100011", B"11101010", B"11010110", B"11000010",
B"11101011", B"11110010", B"11100011", B"11011110", B"00110100",
B"00100111", B"11110000", B"00101111", B"11110001", B"11100001",
B"00100010", B"11011101", B"11100100", B"11011011", B"00010101",
B"00100010", B"00000010", B"00101110", B"11001101", B"00101011",
B"11011111", B"11110001", B"11011111", B"00111001", B"00101011",
B"00101101", B"00111010", B"00101010", B"11011101", B"00100111",
B"11100010", B"00011010", B"11010111", B"00000000", B"11011001",
B"11100011", B"11111101", B"00110011", B"00100001", B"00001100",
B"00110010", B"11010010", B"11011111", B"11100101", B"11010010",
B"00101111", B"00100100", B"00100110", B"00101111", B"00011011",
B"00110100", B"11011100", B"00011110", B"00001111", B"11001111",
B"11111001", B"00110001", B"11011011", B"11011111", B"00100000",
B"11000110", B"00100010", B"11010101", B"11111010", B"00001010",
B"00101110", B"11111011", B"11001001", B"00011000", B"00011100",
B"11111010", B"11010101", B"00101011", B"00010011", B"00001010",
B"11111000", B"00011001", B"01001001", B"11101100", B"11101111",
B"00011010", B"11111001", B"00101110", B"00010110", B"11011001",
B"00011001", B"11110001", B"00110100", B"11110100", B"00000100",
B"11011011", B"00101010", B"11110111", B"11011000", B"00010000",
B"00010101", B"11101010", B"00011011", B"11011001", B"00100000",
B"00001010", B"11010010", B"00111101", B"00100111", B"11101010",
B"11010110", B"11101000", B"00100011", B"11101100", B"00101101",
B"11100000", B"11011000", B"00110000", B"11101000", B"00010010",
B"00100100", B"11011100", B"11010101", B"11000110", B"11111001",
B"11100111", B"11010111", B"00110000", B"01000010", B"11000101",
B"11100001", B"11001101", B"11100011", B"11110001", B"11110001",
B"00001000", B"00010011", B"00100110", B"00111101", B"00011111",
B"11011001", B"11010011", B"11110010", B"00100010", B"00101100",
B"00010010", B"11100010", B"00010100", B"11011110", B"00011101",
B"11100011", B"00010000", B"00100100", B"11001010", B"10111100",
B"11000111", B"00000010", B"11010011", B"00100001", B"00000001",
B"11100101", B"00101011", B"00100010", B"11000111", B"11011101",
B"00011011", B"00011111", B"11011100", B"11110000", B"11110000",
B"10111100", B"00100010", B"11101110", B"00110101", B"11100111",
B"00101111", B"11010001", B"00001100", B"10111111", B"11001110",
B"00011101", B"00101110", B"00011101", B"00010001", B"11011010",
B"11100011", B"00100110", B"00101010", B"11110010", B"11001010",
B"00011110", B"00011011", B"11000000", B"00001111", B"00011110",
B"11011110", B"11111111", B"00011110", B"11101000", B"00010001",
B"11111010", B"11100011", B"11010101", B"00100101", B"00100100",
B"11100100", B"00110100", B"00010010", B"11011010", B"11001001",
B"00011010", B"00011001", B"11101101", B"11111100", B"11111110",
B"11100111", B"11001100", B"00000110", B"11010111", B"11010101",
B"11101101", B"11110000", B"11100011", B"00001001", B"11100001",
B"00010100", B"11100101", B"11010010", B"00101000", B"00011011",
B"00100000", B"11010001", B"11101100", B"11100100", B"11110011",
B"00101001", B"11010000", B"00101001", B"00010100", B"00011110",
B"11011110", B"00000010", B"00011100", B"10111100", B"11100101",
B"00101011", B"00100101", B"11110010", B"11101111", B"11010001",
B"10111010", B"00010110", B"11100110", B"00010000", B"00010000",
B"00101000", B"11011000", B"11011000", B"01000001", B"00100000",
B"11010111", B"11100001", B"00100101", B"00111010", B"11101110",
B"11011100", B"00100001", B"00000011", B"11100001", B"11101010",
B"00000111", B"11100001", B"01000101", B"01000101", B"11100111",
B"00011001", B"00011110", B"11101101", B"11001010", B"11010101",
B"11001101", B"00101000", B"00100000", B"11101010", B"00111100",
B"11000101", B"11110100", B"11001101", B"00000001", B"00100000",
B"01000000", B"11111100", B"00001111", B"11100101", B"11101011",
B"11110100", B"00101101", B"00001101", B"00100110", B"00011100",
B"11111011", B"11010100", B"00100100", B"00110001", B"00101101",
B"11010101", B"00101001", B"11101011", B"00110000", B"11101010",
B"00010101", B"00001111", B"11100100", B"11100001", B"00111000",
B"00100101", B"11010001", B"11101001", B"00010100", B"00100100",
B"00010010", B"00001010", B"00100100", B"00101010", B"00001110",
B"00100111", B"11001101", B"00101001", B"11001110", B"00010100",
B"11110110", B"11010011", B"00111110", B"00010011", B"10110011",
B"11100111", B"11011101", B"00101000", B"00011001", B"11010111",
B"00011001", B"11011001", B"00100000", B"11111111", B"11100101",
B"00100010", B"00010010", B"11000000", B"00010000", B"00011111",
B"11011111", B"00100101", B"00110111", B"11100111", B"11011010",
B"11000011", B"11110100", B"11100101", B"11001110", B"00100001",
B"00011111", B"00101000", B"11110010", B"00100000", B"11001101",
B"00000111", B"11010111", B"11101101", B"00101000", B"00100001",
B"00011011", B"00110101", B"11100001", B"11111111", B"11001100",
B"00001011", B"00101100", B"00101000", B"11011111", B"00011110",
B"11000110", B"00010100", B"00101001", B"00100011", B"11100101",
B"00101001", B"00011111", B"11111000", B"11011101", B"00001001",
B"00100010", B"00101100", B"00011011", B"11010100", B"00011110",
B"11100111", B"00011110", B"11011101", B"00000000", B"11010011",
B"11000011", B"00100001", B"11000100", B"11101110", B"11101001",
B"11011000", B"00101000", B"11010101", B"00101000", B"00011101",
B"11011100", B"01000000", B"00001101", B"11011110", B"11010101",
B"00100000", B"11101111", B"11011000", B"11010100", B"00110100",
B"00010111", B"11101100", B"11110110", B"00100011", B"00100100",
B"00101011", B"11001101", B"00100001", B"11101011", B"00010011",
B"11001111", B"11101000", B"11000100", B"11100001", B"00101010",
B"00101011", B"00010110", B"00011110", B"11010100", B"00100000",
B"00100110", B"11111000", B"11011110", B"11100011", B"11110000",
B"11110001", B"00010101", B"00100100", B"00110111", B"11110111",
B"00011011", B"11001111", B"00100001", B"11110001", B"11001101",
B"11110010", B"00001001", B"11000001", B"00100111", B"11010111",
B"11010011", B"00011110", B"00101111", B"00100111", B"00010000",
B"11101100", B"00111110", B"11100111", B"00010101", B"00000100",
B"00010000", B"00111001", B"00110100", B"00101000", B"01000011",
B"00110101", B"00100011", B"00011110", B"00100011", B"11101110",
B"00010110", B"11100011", B"11101000", B"11100100", B"00001000",
B"00001011", B"00011001", B"11010101", B"11100000", B"11100100",
B"11011011", B"00011100", B"11100100", B"00010001", B"11100111",
B"00010111", B"00101011", B"00000111", B"11101110", B"11010010",
B"11001101", B"11100111", B"11010101", B"00110001", B"11110000",
B"11100111", B"11100111", B"00110011", B"00111111", B"11001111",
B"11000101", B"00001111", B"00011011", B"00101101", B"11101110",
B"00011110", B"11010001", B"00110010", B"00011011", B"11101000",
B"11010101", B"11011100", B"11100111", B"00110000", B"11010010",
B"00001111", B"11100110", B"00010010", B"00011010", B"11010100",
B"11010111", B"11001010", B"11001011", B"11011000", B"11011111",
B"00101000", B"00010110", B"00101010", B"11101000", B"00011110",
B"11001100", B"00100000", B"00011100", B"00001001", B"11101011",
B"11100000", B"00010111", B"00100011", B"11010100", B"11011100",
B"11010101", B"11100100", B"00001010", B"00011000", B"00011111",
B"00010011", B"11011001", B"11101101", B"11010001", B"00110011",
B"00010111", B"00100001", B"11001000", B"00011101", B"11111000",
B"00101110", B"11100110", B"11010000", B"11100011", B"11001101",
B"00011101", B"00101101", B"00101010", B"01001011", B"11110001",
B"00001111", B"11100101", B"00110100", B"11010011", B"11011000",
B"00000111", B"00100000", B"00100000", B"11100000", B"11101101",
B"11111010", B"11111010", B"00101010", B"11101000", B"00100011",
B"00111010", B"00111100", B"00001101", B"11110101", B"00011000",
B"11011111", B"00001110", B"11011011", B"00010001", B"11101011",
B"00010000", B"11101011", B"11110000", B"11101000", B"00000101",
B"00110001", B"11101101", B"00100100", B"00010011", B"11010000",
B"11100000", B"11100010", B"11011100", B"11100000", B"11111010",
B"11001001", B"11100010", B"11011010", B"00101000", B"00000101",
B"00101110", B"00011010", B"11010001", B"11111100", B"00100110",
B"11011010", B"00101001", B"11100111", B"11100100", B"00100001",
B"11101000", B"11111011", B"11100000", B"00110101", B"11011001",
B"11010100", B"00101001", B"00110101", B"00011010", B"11011001",
B"00001010", B"00100010", B"00000100", B"00100100", B"00011100",
B"11100010", B"00011111", B"00100100", B"11010110", B"00000101",
B"00010111", B"11001110", B"11110001", B"00001001", B"00010011",
B"11001110", B"00011100", B"11000111", B"11101100", B"11010100",
B"00100111", B"00011111", B"11010110", B"00101001", B"11101010",
B"00010001", B"11011101", B"11111001", B"00010010", B"00100001",
B"11111010", B"00100011", B"11100111", B"11100000", B"00000010",
B"00010110", B"01000111", B"11110010", B"00110111", B"11100000",
B"00011010", B"00100111", B"11111100", B"00001001", B"00110011",
B"11011111", B"11010100", B"11101111", B"00010110", B"00101101",
B"11010011", B"00101000", B"11011001", B"00111000", B"00010010",
B"11110011", B"11110001", B"00100101", B"11011111", B"11010001",
B"11100001", B"11011011", B"11001011", B"00001101", B"00101001",
B"11100100", B"11100001", B"11000101", B"11100010", B"11001101",
B"11100100", B"10111110", B"00001110", B"00010001", B"00011001",
B"00011011", B"11011001", B"11100000", B"11111000", B"00011110",
B"00101010", B"00010010", B"10111100", B"00101100", B"11100111",
B"00001101", B"10111111", B"11001010", B"00100000", B"11111011",
B"11111000", B"00100100", B"11010111", B"11011010", B"00011110",
B"00111111", B"11010101", B"11010110", B"00100101", B"00110111",
B"11101100", B"10111011", B"11100011", B"00110100", B"00011010",
B"11010001", B"11100011", B"11110010", B"11000111", B"11000011",
B"01000011", B"00100111", B"11010011", B"11011101", B"00011100",
B"00011000", B"11110111", B"11101010", B"11111010", B"11011001",
B"00101100", B"11011100", B"00101101", B"11100100", B"11100101",
B"00110000", B"11011111", B"11010001", B"00010001", B"00010110",
B"00100010", B"00111001", B"11011100", B"11011000", B"00010101",
B"00011101", B"00101110", B"00100101", B"00011000", B"00010111",
B"00011000", B"00011110", B"10111001", B"00100011", B"11001001",
B"00111111", B"11000110", B"11110111", B"00011001", B"00000110",
B"11011011", B"00100010", B"00111000", B"00100101", B"11010111",
B"00110000", B"11010001", B"00100001", B"11100000", B"00011110",
B"00110010", B"11010111", B"11011101", B"11010101", B"11100001",
B"11101001", B"00000010", B"11001011", B"11001111", B"00000111",
B"11011110", B"00101001", B"11011110", B"00100001", B"11001101",
B"00101001", B"00100101", B"11100001", B"11011011", B"11110001",
B"10111101", B"11001011", B"11100010", B"11110101", B"00001110",
B"00011100", B"00110101", B"00111100", B"11101110", B"11101011",
B"00111000", B"00011010", B"00001011", B"00011110", B"00010010",
B"00011001", B"00001011", B"00001010", B"00110110", B"11011001",
B"00011100", B"11010111", B"11000100", B"11100011", B"00110000",
B"00101111", B"00000011", B"11100000", B"00110011", B"11010110",
B"11001101", B"11100110", B"00010010", B"00100110", B"00010001",
B"00001010", B"11001001", B"00011000", B"00011111", B"11001100",
B"11100001", B"00110011", B"11001110", B"00010101", B"11001110",
B"11001001", B"11011110", B"00000110", B"01001101", B"11101100",
B"00010010", B"11111001", B"00111000", B"11011000", B"11000000",
B"11001010", B"00011001", B"00010110", B"11011001", B"11110100",
B"00100001", B"00110100", B"00011010", B"00111001", B"11100100",
B"11101001", B"00011110", B"11101100", B"00010010", B"11100001",
B"11010001", B"11001000", B"00011011", B"00100111", B"11100010",
B"00101011", B"00010010", B"11111010", B"11010110", B"11110101",
B"11000111", B"11010010", B"11011101", B"00101110", B"11010000",
B"00100011", B"11010100", B"11000111", B"00011011", B"00100000",
B"11110010", B"00110001", B"00010111", B"00011001", B"11111010",
B"00100010", B"11011000", B"00100110", B"11001110", B"11010010",
B"00110100", B"00010101", B"00011101", B"00100110", B"11000010",
B"11000111", B"11010111", B"00001110", B"00100111", B"01001111",
B"11101001", B"00100000", B"11010110", B"00110010", B"11100011",
B"11100100", B"00100011", B"11101011", B"00100111", B"11010010",
B"11011000", B"00110001", B"11000100", B"11101110", B"00100011",
B"11100001", B"11111011", B"00000000", B"11110100", B"01000000",
B"00101101", B"00101010", B"00110111", B"11100001", B"00011111",
B"11100110", B"00011101", B"11011011", B"00100010", B"10101111",
B"11100101", B"00011000", B"11000011", B"11001010", B"11011111",
B"11011110", B"00110101", B"11110010", B"11010010", B"00100010",
B"11010010", B"11011001", B"11111101", B"11101100", B"00000010",
B"00001001", B"11110010", B"11010111", B"11011001", B"00101100",
B"00010110", B"11100010", B"11101110", B"00101111", B"11000100",
B"00101011", B"11101010", B"11101011", B"00100100", B"00001110",
B"00110010", B"00011111", B"11011101", B"00100100", B"00110101",
B"10101111", B"11011110", B"00001101", B"11011100", B"11100011",
B"00011111", B"00011110", B"00100100", B"01000010", B"11011001",
B"11001100", B"00101100", B"11001000", B"00000000", B"11110110",
B"11100011", B"00101001", B"11011111", B"00100011", B"00011001",
B"00000101", B"00000100", B"00011001", B"00010100", B"11010111",
B"11100000", B"00001010", B"00001001", B"11110000", B"11100010",
B"00011111", B"11101100", B"11100000", B"11001110", B"11011101",
B"00011101", B"00011010", B"00000100", B"11010111", B"00100001",
B"11100010", B"00011111", B"11010001", B"00111110", B"00100010",
B"11101010", B"00101000", B"00011001", B"11011001", B"11101101",
B"00011111", B"11101100", B"11011001", B"11100010", B"11001001",
B"00001011", B"00110000", B"00010111", B"00100101", B"00101101",
B"11011101", B"11100110", B"00110110", B"11100110", B"11001111",
B"11010001", B"10111011", B"00011110", B"11011010", B"00011111",
B"11010011", B"11101001", B"11010010", B"00100010", B"00100010",
B"11101010", B"11000011", B"11100101", B"11011010", B"00100111",
B"00100100", B"00010100", B"00010111", B"00101111", B"00101001",
B"11001110", B"11111001", B"00101000", B"00010101", B"11011110",
B"11110000", B"11100011", B"11100001", B"11100101", B"11111110",
B"00000001", B"11100110", B"00011101", B"11010001", B"00110101",
B"00110110", B"00110100", B"00011011", B"00000111", B"00011011",
B"00010011", B"00001000", B"10111001", B"00111000", B"11110010",
B"00011001", B"00000000", B"11100001", B"00010000", B"00000100",
B"00010100", B"11101110", B"11101000", B"00010101", B"11000001",
B"11011011", B"11010110", B"11000110", B"11001110", B"11011010",
B"00101110", B"11111011", B"00101110", B"11001100", B"11111111",
B"00000110", B"00010001", B"00011111", B"11010110", B"00001010",
B"00101101", B"00001111", B"11100001", B"11001100", B"11110100",
B"00101000", B"11101111", B"00110110", B"11010101", B"11100010",
B"00011100", B"00101000", B"00000010", B"11011001", B"11110000",
B"11010100", B"11101100", B"00100101", B"11101100", B"00011100",
B"00011000", B"00011111", B"00110110", B"00110011", B"00010101",
B"00000111", B"00010010", B"00100100", B"00100100", B"11100001",
B"11110010", B"00110101", B"11001000", B"11011011", B"11100001",
B"11101111", B"00101111", B"00010100", B"11011011", B"00100000",
B"00010110", B"11110101", B"11101110", B"00001110", B"00110100",
B"00100110", B"00100000", B"11100011", B"00001011", B"11100101",
B"00011110", B"11011110", B"00010101", B"11011100", B"00110111",
B"00100100", B"11011110", B"00100101", B"00100110", B"11001111",
B"11011011", B"00001000", B"11100100", B"11100100", B"11011100",
B"00100001", B"00100110", B"11010101", B"00100011", B"00010110",
B"11110001", B"11111010", B"00011100", B"00101100", B"00000101",
B"10111100", B"00010100", B"11001111", B"11001101", B"11110000",
B"11100101", B"00010110", B"11001100", B"00110010", B"00011101",
B"11110111", B"00100110", B"00101001", B"11100100", B"00011010",
B"00100010", B"11100001", B"11011110", B"00010100", B"10111000",
B"00011101", B"11011000", B"11001101", B"00111110", B"00100110",
B"00010110", B"11101010", B"11010000", B"11010101", B"11011101",
B"00011010", B"11100110", B"00000111", B"10111101", B"11010111",
B"11101000", B"00100101", B"00100011", B"11100100", B"00011111",
B"11100011", B"11110011", B"11011110", B"00011111", B"00110011",
B"00110111", B"00101101", B"11100001", B"11111011", B"11000101",
B"11010010", B"11001110", B"00101111", B"00100100", B"11110111",
B"00110010", B"11011100", B"11011111", B"00000001", B"00100111",
B"11100000", B"00010100", B"11100001", B"11110010", B"00101010",
B"00011101", B"00111011", B"11010100", B"11010111", B"00100011",
B"00011101", B"11000000", B"11011011", B"00101110", B"11001000",
B"11100000", B"11110110", B"11001100", B"00011110", B"11001010",
B"00011101", B"00101000", B"00100010", B"11011111", B"01000000",
B"00010001", B"11100011", B"11000101", B"00110101", B"01000111",
B"00100011", B"00011100", B"00100110", B"00010000", B"00010111",
B"00010101", B"01000110", B"10111011", B"11101001", B"11011110",
B"11000100", B"00010101", B"00000001", B"00011100", B"00000110",
B"00100011", B"00010110", B"11110011", B"00100101", B"00011111",
B"11100010", B"00000111", B"00001110", B"11110101", B"11001111",
B"00101101", B"00101001", B"00101000", B"00000100", B"11100010",
B"11011010", B"00011000", B"11010111", B"11101110", B"00101110",
B"11101101", B"11010100", B"11110101", B"11100011", B"01000111",
B"00000110", B"11110001", B"00011011", B"00000110", B"11110010",
B"11110110", B"11100111", B"00011000", B"00100101", B"00101001",
B"00101101", B"00011101", B"00011101", B"00001011", B"00001101",
B"00010110", B"00111000", B"00010011", B"00110011", B"00011011",
B"00011110", B"00101101", B"00001111", B"11000010", B"00111000",
B"11111111", B"00001110", B"11010001", B"11100011", B"00011100",
B"00110001", B"10111100", B"11011000", B"00010100", B"11101010",
B"00001001", B"11011000", B"11100100", B"00011011", B"00101101",
B"11010010", B"00111000", B"00110010", B"00000101", B"00100100",
B"00110100", B"11101010", B"00000001", B"11011010", B"11100101",
B"11111011", B"11111011", B"00000111", B"11100111", B"00011110",
B"00100001", B"00100101", B"00110010", B"11011100", B"00101110",
B"11010111", B"00000011", B"11110000", B"11110011", B"00010111",
B"11011110", B"00010111", B"11101001", B"11100110", B"00100110",
B"00011101", B"00100101", B"00011111", B"00101110", B"00010100",
B"00101101", B"00010110", B"00101011", B"00100110", B"00011011",
B"00100110", B"11100100", B"11100101", B"00101001", B"00010110",
B"11100111", B"11101111", B"11100000", B"00100010", B"10111101",
B"11110010", B"11101010", B"00000011", B"00010101", B"11001111",
B"11100100", B"00100000", B"11010011", B"00101011", B"11011111",
B"11100111", B"00111101", B"00011101", B"11010100", B"11010000",
B"00110111", B"00010100", B"00011010", B"00001100", B"11101111",
B"11001101", B"11011111", B"00001010", B"00101100", B"00011001",
B"11000111", B"00110000", B"11110110", B"00010111", B"00010000",
B"00000011", B"00100110", B"11001111", B"11011011", B"11101000",
B"00010001", B"00011111", B"11010010", B"11000100", B"11011011",
B"00110010", B"00100000", B"11011001", B"00010010", B"11000101",
B"11011101", B"11100011", B"00010100", B"11010000", B"00000000",
B"11011000", B"11011110", B"00010110", B"11100011", B"00100001",
B"00100010", B"11100001", B"11010101", B"11011001", B"11010001",
B"11100010", B"11011000", B"11101101", B"11101101", B"00011111",
B"00100101", B"11010101", B"00111100", B"11010100", B"11011011",
B"11011011", B"11101111", B"11011011", B"00100011", B"11111111",
B"00101110", B"00001111", B"11011100", B"11101110", B"11001110",
B"00100111", B"00100101", B"11010111", B"00110010", B"11000111",
B"00110101", B"11001000", B"11000110", B"11101110", B"11100100",
B"00100110", B"11000100", B"00101001", B"11010001", B"11100000",
B"00011101", B"11010100", B"00010111", B"11011111", B"11100010",
B"01000001", B"11100011", B"00110000", B"11110111", B"00100010",
B"11011100", B"11011010", B"00011110", B"00111001", B"11001001",
B"00011011", B"00011101", B"11101101", B"11100000", B"11101100",
B"11011110", B"11011000", B"11011011", B"00110111", B"11010111",
B"00010110", B"00000011", B"11011110", B"00010111", B"00010111",
B"11100110", B"11100111", B"11011110", B"11101011", B"00111010",
B"00101010", B"00001100", B"11110100", B"00101000", B"11011001",
B"11000001", B"11101110", B"11010010", B"00011101", B"11010000",
B"00100111", B"00101001", B"11010011", B"11101111", B"00010101",
B"11000110", B"11110101", B"11101010", B"11011011", B"11011101",
B"00100001", B"00000000", B"00001100", B"11100111", B"00011000",
B"11010000", B"00011111", B"11100111", B"00110010", B"11100110",
B"00101010", B"11011110", B"00001001", B"00101100", B"00011111",
B"00101111", B"11111111", B"00001111", B"11001000", B"11100111",
B"11010110", B"00101100", B"00110110", B"11101010", B"11010111",
B"11100111", B"00011100", B"00011001", B"11100110", B"00011111",
B"11010000", B"11010010", B"00000000", B"11010110", B"00101000",
B"11010100", B"11010111", B"00110111", B"00100000", B"00101010",
B"00100100", B"00010011", B"00110110", B"00101011", B"00010110",
B"00101010", B"00011110", B"00010101", B"11101010", B"11100100",
B"11100010", B"11110000", B"00110011", B"11011011", B"00101011",
B"00100110", B"11011011", B"00000110", B"00010101", B"11011000",
B"11011110", B"11100111", B"11101000", B"11010100", B"11011010",
B"11100001", B"11010001", B"00101011", B"00011111", B"00001100",
B"00011111", B"11011110", B"00101101", B"00011010", B"00000110",
B"11000100", B"01000000", B"11110011", B"00010110", B"11010001",
B"00101100", B"00001011", B"11101000", B"11101001", B"11101110",
B"11000101", B"11100000", B"00100001", B"11101001", B"11110110",
B"00100000", B"11010101", B"11011000", B"11010001", B"11001001",
B"00001101", B"11010011", B"00001100", B"11001101", B"11100110",
B"11101010", B"11111111", B"00100011", B"00100001", B"00011000",
B"11101101", B"00001101", B"00110110", B"11010001", B"11001100",
B"00011000", B"11011100", B"00110011", B"10110111", B"11111110",
B"11010000", B"00101101", B"00011011", B"11010111", B"11101101",
B"11010110", B"11000011", B"11111011", B"00011000", B"00100100",
B"00010011", B"00010110", B"00100000", B"00100100", B"00101110",
B"00010101", B"00011000", B"00111000", B"00101001", B"00001011",
B"11011001", B"10111011", B"00110100", B"00111110", B"11100110",
B"00101100", B"11110100", B"11100101", B"00101001", B"11101010",
B"00010010", B"11100010", B"11011001", B"11101111", B"00100111",
B"00010001", B"00101100", B"11001000", B"00011110", B"11011010",
B"11101111", B"11110011", B"00101001", B"00100000", B"11100011",
B"11110001", B"00100010", B"10111001", B"00010100", B"11100101",
B"11011001", B"11101110", B"00011100", B"11011000", B"11001011",
B"11111001", B"00000011", B"00001111", B"11110111", B"11111111",
B"00100010", B"11010101", B"11011000", B"11011110", B"11001111",
B"00101011", B"11010010", B"00011100", B"00010101", B"11100001",
B"00111100", B"11000010", B"11010011", B"11011111", B"00111001",
B"00101101", B"00001111", B"00011010", B"11111010", B"11010100",
B"00011100", B"00101000", B"11011010", B"11001010", B"00110011",
B"11011000", B"00100001", B"11101000", B"11001100", B"11101001",
B"00011000", B"00000000", B"00101010", B"00100010", B"11001001",
B"11101100", B"00001100", B"00110011", B"11111000", B"11100111",
B"00101110", B"00100000", B"11011101", B"11011001", B"00110000",
B"00010111", B"11001100", B"11011110", B"11111011", B"00011110",
B"00001111", B"00000000", B"11110110", B"00010001", B"11111110",
B"00001110", B"11011110", B"11010100", B"00100010", B"11110001",
B"11111110", B"11111100", B"11100010", B"00101100", B"11001011",
B"00011010", B"11101100", B"00000111", B"11100111", B"00110010",
B"00101101", B"11100101", B"11110110", B"00011101", B"00101111",
B"00100010", B"11101010", B"11111011", B"11100001", B"00011011",
B"00101010", B"11011110", B"11111010", B"11101011", B"11011100",
B"00011111", B"11010101", B"00110010", B"11111000", B"00101100",
B"11101010", B"00100001", B"00000010", B"11100010", B"00011110",
B"00011001", B"11011000", B"11101000", B"00001110", B"00010110",
B"00101110", B"00110000", B"11101000", B"11001100", B"11100111",
B"10111101", B"11100001", B"00100110", B"00011101", B"11100101",
B"01001010", B"11111100", B"00110000", B"11010111", B"00010011",
B"00011000", B"11101100", B"11111110", B"00100101", B"11000111",
B"00011101", B"00000100", B"11100110", B"11011111", B"00011100",
B"00110000", B"11110000", B"11101010", B"11010101", B"11011011",
B"11101100", B"00100100", B"00101100", B"11101110", B"00011000",
B"11011010", B"11010010", B"00011101", B"00101111", B"11000111",
B"11101001", B"11101001", B"00000000", B"11000111", B"11100010",
B"00011111", B"11110100", B"11011101", B"11101111", B"00110101",
B"00011111", B"11010100", B"00000110", B"11011101", B"00010100",
B"01000100", B"11100111", B"00111000", B"00100001", B"11110101",
B"11110001", B"00101100", B"11100010", B"00100101", B"11101000",
B"11011000", B"00001000", B"00001110", B"11101000", B"00001001",
B"11111000", B"00100111", B"11101101", B"11011111", B"00001110",
B"00110101", B"00011011", B"11010110", B"00010100", B"11111011",
B"11101110", B"11001110", B"00011111", B"00011111", B"11010010",
B"00101001", B"11111000", B"11100110", B"11011001", B"00100101",
B"00000010", B"11100011", B"00110011", B"11010011", B"00101100",
B"11010010", B"11011011", B"11100010", B"00100000", B"00100011",
B"11011111", B"00010001", B"11011011", B"11001111", B"11011101",
B"00100100", B"00101100", B"00001000", B"00101110", B"11011001",
B"00011011", B"00010010", B"11100010", B"00110011", B"00010001",
B"11011001", B"00010010", B"11110100", B"11100111", B"00100001",
B"11100010", B"11100000", B"11111011", B"11001000", B"00110000",
B"11001001", B"11101000", B"00001000", B"10100110", B"11110111",
B"11110100", B"11010110", B"11010011", B"00011101", B"00000011",
B"00100101", B"11011111", B"00100111", B"11010010", B"00010101",
B"00010001", B"00011011", B"11110101", B"00011111", B"11101111",
B"11110001", B"11010000", B"00010001", B"11001100", B"11001100",
B"11011010", B"11000000", B"00010101", B"00100100", B"00111000",
B"00001111", B"00010000", B"00100101", B"11011110", B"00010100",
B"00001101", B"11011011", B"11101011", B"11111001", B"11011001",
B"11010001", B"11100100", B"11010110", B"00100001", B"00100110",
B"00111010", B"00101100", B"11011001", B"00111100", B"11011101",
B"11110101", B"11001110", B"00101100", B"00100010", B"11001000",
B"11010001", B"00010111", B"00011111", B"00101010", B"11110011",
B"00101000", B"11010110", B"00001101", B"00100010", B"00100010",
B"00100011", B"00101010", B"00010101", B"00111000", B"00110001",
B"00001101", B"00100010", B"11100010", B"00010001", B"00100000",
B"11110000", B"00001100", B"00010010", B"11101101", B"00110000",
B"11101000", B"11100110", B"00010101", B"11101111", B"11110110",
B"11000011", B"11010011", B"00011110", B"00100111", B"00111100",
B"11011001", B"00011011", B"11010011", B"00001010", B"11010010",
B"00110101", B"00011011", B"11011011", B"00011101", B"00011010",
B"11110100", B"11110110", B"00100011", B"00110110", B"00000100",
B"00000101", B"11111100", B"11111010", B"11100100", B"00010110",
B"11101010", B"00101000", B"11101100", B"11010000", B"00001101",
B"11110000", B"11100111", B"11001000", B"11001110", B"00110011",
B"11010101", B"00101000", B"00111101", B"11100110", B"00000110",
B"00011001", B"11011101", B"11101101", B"11011101", B"11010101",
B"11000100", B"00100110", B"00011011", B"00101111", B"00100000",
B"00101000", B"11011100", B"11011011", B"00100011", B"11111101",
B"11100000", B"11100011", B"11010011", B"00011010", B"00010010",
B"00101110", B"11010100", B"00010001", B"11011100", B"00110111",
B"11011100", B"00011110", B"00101000", B"11011110", B"00100001",
B"00001110", B"11011001", B"11101001", B"00110110", B"11010010",
B"01000011", B"00011010", B"11110000", B"11000101", B"11100100",
B"11111000", B"11000101", B"00000101", B"00100010", B"11101001",
B"11000100", B"00111001", B"00101001", B"11101100", B"11010100",
B"00101100", B"00010111", B"11110111", B"11100011", B"00110011",
B"01000011", B"11001110", B"11011010", B"00011100", B"11100101",
B"00100010", B"00111011", B"11010100", B"01001011", B"00010110",
B"11010010", B"00011010", B"11010000", B"11001001", B"10110010",
B"00000000", B"00011111", B"11101001", B"00011010", B"00110100",
B"11010111", B"00100010", B"00110001", B"11001011", B"01000110",
B"01000101", B"11100101", B"11100001", B"11111010", B"11011111",
B"11110000", B"00011101", B"00011010", B"00111110", B"00100111",
B"00001011", B"11010111", B"00001110", B"00010100", B"11110110",
B"00101001", B"00010110", B"11011101", B"00110111", B"11110110",
B"00100011", B"11000100", B"11001010", B"11010000", B"11110100",
B"00011101", B"00110011", B"11011111", B"00101101", B"00101101",
B"11011001", B"00100001", B"00011110", B"00001000", B"00000110",
B"00111000", B"00010000", B"00100101", B"11101110", B"00110110",
B"11110001", B"00101010", B"00100010", B"00011001", B"11101111",
B"11001101", B"00001001", B"00010110", B"11100111", B"11010111",
B"11011000", B"00100001", B"00011000", B"00010000", B"11110100",
B"11111100", B"11001110", B"00001110", B"00101100", B"00010100",
B"11110011", B"11100001", B"00100010", B"00110011", B"11111000",
B"11001101", B"00110100", B"11011010", B"11100111", B"00010111",
B"11100010", B"11110101", B"11100001", B"11101101", B"11100001",
B"01000000", B"00101001", B"11100111", B"11100110", B"11011110",
B"11110111", B"11010100", B"00000101", B"11010101", B"00111111",
B"10111101", B"11011100", B"10101111", B"00001010", B"00000110",
B"11100100", B"11110001", B"00000010", B"11101110", B"11011101",
B"11011000", B"11011101", B"11110000", B"00001010", B"00010101",
B"00011011", B"00111001", B"00010001", B"00101110", B"11100100",
B"11111111", B"11001011", B"00100001", B"11001101", B"11101101",
B"11011011", B"00010100", B"00110011", B"11010110", B"00110010",
B"00010000", B"11101101", B"00010101", B"00000101", B"11011000",
B"11100001", B"00011110", B"00011000", B"00110011", B"00011110",
B"00100100", B"00101010", B"00101111", B"00101000", B"00011001",
B"00000111", B"00000100", B"11100110", B"00011011", B"00110101",
B"11101011", B"11100000", B"00010100", B"00010011", B"00011011",
B"11011001", B"00100111", B"00011011", B"11001100", B"11011111",
B"00011001", B"11110000", B"11101000", B"11011010", B"00011010",
B"00100111", B"11111001", B"00100000", B"11001011", B"00111101",
B"11110110", B"00001100", B"00010000", B"11101000", B"01000000",
B"00101110", B"10111111", B"00010001", B"00011111", B"11100011",
B"00100111", B"00001001", B"11011101", B"11100100", B"00001011",
B"00011001", B"11010001", B"00010101", B"00100100", B"11110010",
B"00100110", B"00010011", B"11101110", B"00011110", B"11100000",
B"10111111", B"00100010", B"11100110", B"11000111", B"11101010",
B"11010000", B"11101100", B"00100001", B"00001110", B"11110011",
B"11011101", B"11011101", B"11101100", B"11110001", B"11001001",
B"11100100", B"00011001", B"11100110", B"00101100", B"11001010",
B"11110110", B"00111001", B"11011110", B"00100000", B"11010011",
B"00001100", B"11100011", B"11010001", B"00010111", B"00100111",
B"11111000", B"11010011", B"10111101", B"11101101", B"00100010",
B"00111010", B"00010000", B"00010001", B"11100110", B"11110001",
B"00110001", B"11100001", B"00000111", B"11011100", B"11001110",
B"00101101", B"00100011", B"11011111", B"11001001", B"00001110",
B"11110011", B"11000111", B"11100001", B"11111011", B"00001100",
B"11010001", B"00001010", B"00010100", B"10110100", B"00100000",
B"00101001", B"11010111", B"00001000", B"11011110", B"11010110",
B"11100110", B"11101101", B"00100110", B"11100010", B"00010111",
B"00010000", B"11101101", B"11011110", B"11101000", B"11000101",
B"00010101", B"11101101", B"00000111", B"11101010", B"00110000",
B"00001001", B"00011111", B"11100001", B"00001101", B"11100000",
B"00100101", B"00110010", B"11100001", B"11000010", B"11111001",
B"11010011", B"00100110", B"11101110", B"00000110", B"11100110",
B"00100111", B"00010001", B"00011001", B"11010101", B"00011100",
B"11010011", B"00010100", B"00010001", B"00110011", B"11001111",
B"00001111", B"00001011", B"11001100", B"11000100", B"00110111",
B"00010000", B"11011011", B"11001000", B"00111111", B"11110011",
B"11010001", B"11001111", B"11010110", B"11111100", B"00101111",
B"00100010", B"00111011", B"11110000", B"00101011", B"11100010",
B"00010100", B"11101110", B"00101110", B"00011100", B"11101100",
B"11011101", B"11100010", B"11101111", B"11101001", B"00011110",
B"00001110", B"11100111", B"11100011", B"00001111", B"00010000",
B"11000101", B"11010001", B"11100010", B"11010011", B"00100111",
B"00110001", B"00101000", B"00101101", B"11010001", B"11100011",
B"00001010", B"00001111", B"11011111", B"00101000", B"00110101",
B"11100010", B"11010010", B"00111110", B"11101100", B"00101010",
B"11100111", B"00001111", B"00011010", B"11010011", B"11100000",
B"01000011", B"11111101", B"11100100", B"11001111", B"11011010",
B"00110110", B"00011011", B"00001000", B"00011111", B"00010101",
B"00011000", B"11101111", B"11010110", B"00101010", B"00101000",
B"11011011", B"11100001", B"00011010", B"00101001", B"00010001",
B"00011100", B"00011011", B"00110100", B"11111011", B"00010010",
B"11011010", B"11011111", B"11101011", B"11100010", B"00100010",
B"00101111", B"00010000", B"00001101", B"11010000", B"11001101",
B"00010010", B"00011011", B"00001001", B"00011111", B"10111111",
B"11101100", B"00001111", B"00010101", B"00111001", B"11100110",
B"00001100", B"11101011", B"00100100", B"11100000", B"11100010",
B"00010011", B"00111101", B"00101000", B"11101111", B"00011010",
B"11100110", B"11110110", B"00011001", B"11111011", B"00010001",
B"11011010", B"00011100", B"11011000", B"00100011", B"11100100",
B"11001011", B"00010110", B"00101101", B"00011010", B"11100010",
B"00110001", B"11100101", B"00110001", B"00001111", B"11011110",
B"11010111", B"00101100", B"11011011", B"11100011", B"11100110",
B"00001100", B"11100000", B"00110010", B"11010011", B"00100001",
B"11010100", B"11101011", B"00011011", B"00110100", B"00001011",
B"11000011", B"11011000", B"11101110", B"00011011", B"00010100",
B"00010111", B"00011111", B"00001011", B"11111001", B"00011000",
B"00011100", B"11110000", B"00100001", B"00100010", B"11100011",
B"00100100", B"11000001", B"11001100", B"11011001", B"11011010",
B"00110001", B"11110000", B"00011011", B"00101100", B"00011010",
B"11101001", B"00101111", B"00011000", B"11101001", B"11100110",
B"00111101", B"00100010", B"11111000", B"11010010", B"11011010",
B"11101111", B"00100100", B"11100011", B"00001011", B"11011011",
B"01001110", B"00101100", B"11111000", B"11100000", B"11101100",
B"11110111", B"11011000", B"00101011", B"10110110", B"00000110",
B"00011001", B"11011101", B"01001000", B"00110111", B"10111011",
B"00100001", B"00001000", B"00000001", B"11110001", B"00011000",
B"11110011", B"00011010", B"11101101", B"11101110", B"00011100",
B"00100000", B"00011101", B"11100011", B"00110001", B"11101000",
B"01000111", B"00011110", B"11101000", B"00011001", B"00010110",
B"11100100", B"00110011", B"00100111", B"11010110", B"11110001",
B"11001011", B"11011010", B"00110001", B"01000100", B"11100000",
B"00100110", B"11011001", B"00100001", B"00011111", B"11100001",
B"00100101", B"00100111", B"11010111", B"10111011", B"00110100",
B"00000001", B"11011110", B"11011001", B"00010110", B"00010110",
B"11100100", B"00011010", B"11011011", B"00100101", B"11101110",
B"11110010", B"00100010", B"11000111", B"11101111", B"11110111",
B"11011000", B"11110110", B"00100000", B"00010010", B"00001101",
B"11110110", B"00011010", B"11100011", B"00101000", B"00010010",
B"11011100", B"11110001", B"00010000", B"11111110", B"11010101",
B"11001101", B"11010001", B"00010111", B"11010111", B"00001000",
B"11011111", B"11011001", B"00000100", B"11011100", B"00011000",
B"00010010", B"11101000", B"11110010", B"00011001", B"11100100",
B"11011001", B"11101001", B"11001111", B"00101101", B"00110000",
B"00010101", B"11110011", B"00011011", B"11101001", B"00000110",
B"11101101", B"00000111", B"11011101", B"11101001", B"00100111",
B"11000110", B"11100010", B"11101110", B"10110011", B"00101010",
B"00011110", B"00100100", B"11011000", B"00010001", B"11010101",
B"00011001", B"11100100", B"11011100", B"11100010", B"00011111",
B"11101010", B"00100110", B"11101110", B"11011100", B"00110000",
B"11110011", B"00100000", B"00001010", B"00110011", B"11110110",
B"00101111", B"11111010", B"00111010", B"11111100", B"11111100",
B"11101011", B"11100101", B"00011101", B"00101100", B"11111110",
B"00110110", B"11011010", B"00101111", B"00100101", B"11001011",
B"11000000", B"11101010", B"11011100", B"11110101", B"00110111",
B"00100000", B"11011000", B"11100101", B"00100010", B"00011010",
B"11010101", B"11101111", B"00011100", B"00110100", B"11010011",
B"11100110", B"00101100", B"00101000", B"11100111", B"11101010",
B"11010100", B"00100111", B"11101011", B"11101001", B"11011100",
B"00100011", B"00010111", B"11100100", B"11101001", B"11001100",
B"11010011", B"11011000", B"00101111", B"00011100", B"00011000",
B"00011000", B"11111101", B"11101100", B"00010100", B"00111000",
B"00100000", B"00000101", B"11110001", B"11101011", B"11010110",
B"00010110", B"00011000", B"11101011", B"11011101", B"11101010",
B"11001111", B"11010010", B"11100000", B"10111101", B"00011000",
B"11101111", B"00011010", B"11011110", B"11010011", B"00110100",
B"11101010", B"11101100", B"11101001", B"11111101", B"00001001",
B"00101101", B"00000101", B"00011101", B"00100001", B"00100001",
B"11100100", B"00110101", B"00001001", B"11011011", B"11101111",
B"00101111", B"11101000", B"11101101", B"00100001", B"11100011",
B"00010111", B"11101000", B"11010001", B"00010110", B"00011110",
B"00011000", B"11000010", B"00001011", B"00110101", B"10110101",
B"11011000", B"00101100", B"11011100", B"00011110", B"11101000",
B"00110000", B"11001110", B"00000010", B"01000011", B"00101110",
B"00110010", B"11110000", B"00110011", B"00010010", B"11010100",
B"00100101", B"00011011", B"11100000", B"11010100", B"00011001",
B"00101000", B"00101011", B"11000101", B"00101010", B"11001001",
B"00001010", B"00010101", B"00100001", B"00000111", B"00011111",
B"00110111", B"00110110", B"00010110", B"00000110", B"00100011",
B"00010010", B"00010000", B"00101001", B"00100011", B"00100001",
B"00100001", B"00001100", B"11100010", B"11110011", B"00011100",
B"00010000", B"00011000", B"00110000", B"11001101", B"11000101",
B"11110001", B"00100111", B"11100101", B"10111011", B"10111101",
B"00101000", B"00010101", B"11001101", B"00101011", B"11011011",
B"00011010", B"00001111", B"10111101", B"00100000", B"00011001",
B"11001101", B"00100011", B"00010000", B"11010111", B"11011001",
B"00110010", B"00010110", B"11110101", B"11001100", B"00100010",
B"11100011", B"00101000", B"00011001", B"11010111", B"00101000",
B"00011010", B"11011000", B"11111010", B"11111001", B"00011011",
B"11100001", B"11010110", B"11111110", B"11101111", B"11011010",
B"11110100", B"00011011", B"00110111", B"11010010", B"11010101",
B"11011010", B"11110100", B"11011010", B"00100010", B"00011111",
B"11010101", B"11011111", B"00011010", B"00000101", B"11111110",
B"10111001", B"11011000", B"00011111", B"00100100", B"11100101",
B"11011110", B"11010101", B"11110100", B"11001011", B"00010001",
B"11101010", B"11100100", B"00101111", B"11111001", B"11000110",
B"11000100", B"11100111", B"11100000", B"11100010", B"11011110",
B"11101010", B"00101010", B"00100000", B"00101001", B"00010111",
B"11101100", B"00110100", B"11011100", B"11101110", B"11101011",
B"00100001", B"00110000", B"11011111", B"00101000", B"00011101",
B"00111000", B"11101011", B"00110011", B"11110110", B"00100001",
B"11000010", B"11010100", B"00110111", B"11100100", B"11011101",
B"11011000", B"00100000", B"00011001", B"11100010", B"00010001",
B"00101101", B"00101110", B"00110000", B"00100010", B"00100111",
B"00100010", B"00100110", B"00011001", B"11001101", B"11011000",
B"00000111", B"11100110", B"11100000", B"11100011", B"11100111",
B"00010110", B"11101011", B"00101100", B"10111101", B"11010100",
B"11110111", B"00010011", B"00101001", B"00011011", B"11100001",
B"11001010", B"11100100", B"11100111", B"00100101", B"11100011",
B"00011011", B"00000111", B"11110011", B"00100101", B"11111001",
B"11101011", B"11100001", B"00110011", B"00100111", B"11010110",
B"11100101", B"00001010", B"11001001", B"00100011", B"11010111",
B"11100000", B"00011011", B"00011001", B"00011101", B"11100000",
B"11101101", B"00010100", B"00110110", B"11101011", B"11100001",
B"00110011", B"11010101", B"11101001", B"00010001", B"11110110",
B"11001110", B"11011101", B"11011010", B"11100101", B"11001101",
B"00010110", B"00100111", B"00100101", B"00010100", B"11101000",
B"11010111", B"11010011", B"11101111", B"00010101", B"11001111",
B"11011010", B"11110001", B"11101010", B"00000001", B"11011110",
B"11011001", B"11100011", B"00101001", B"00011011", B"11001100",
B"00010010", B"11010001", B"11101010", B"11001101", B"11101111",
B"11111000", B"00100101", B"00010111", B"00100011", B"00000111",
B"11101101", B"11010111", B"00101001", B"11101010", B"00001101",
B"11110110", B"11011011", B"00011001", B"00110000", B"11100001",
B"11100100", B"00100110", B"11011101", B"11111010", B"11101101",
B"11101010", B"11101010", B"00010000", B"11100110", B"00001100",
B"11011011", B"11011000", B"01000000", B"00010110", B"00001111",
B"11110100", B"00110000", B"11010011", B"11100111", B"11110010",
B"00011111", B"00011101", B"11011011", B"00010011", B"00001100",
B"00011111", B"11111010", B"00100100", B"11011111", B"00011001",
B"11110100", B"00001000", B"11110110", B"00100010", B"11001101",
B"11100001", B"00110110", B"00101000", B"00011011", B"00011100",
B"00011001", B"00110000", B"00011101", B"00001001", B"00111010",
B"00000011", B"11010111", B"00110010", B"11010001", B"11011111",
B"11101010", B"00110100", B"00100110", B"11011010", B"11011001",
B"11100100", B"00001100", B"00011100", B"00010111", B"00011110",
B"11010010", B"11011100", B"11101010", B"00001000", B"00010101",
B"00100110", B"11110100", B"00101100", B"11010100", B"00110010",
B"11101000", B"11010010", B"11110001", B"11010101", B"00110111",
B"00011100", B"00100101", B"00011010", B"00111011", B"11011010",
B"00101100", B"11011001", B"11011010", B"11100011", B"00101010",
B"00010001", B"00011111", B"11110000", B"11010010", B"11100100",
B"11110101", B"00010111", B"11000100", B"00111001", B"11100101",
B"00011000", B"11101011", B"11010011", B"11110110", B"00100101",
B"00101000", B"11100000", B"00001001", B"11101001", B"00010100",
B"11101111", B"11010011", B"11101111", B"00101000", B"00011111",
B"10111111", B"11110011", B"00010011", B"11011101", B"00110011",
B"11010010", B"11011110", B"00011101", B"11100010", B"11001101",
B"11100001", B"00011000", B"00001111", B"11100011", B"00100101",
B"11100110", B"01001101", B"11110100", B"00100111", B"11100011",
B"11011001", B"11011110", B"00010101", B"00110010", B"11010110",
B"00100101", B"00000110", B"00010111", B"11101100", B"00011000",
B"11100001", B"00001101", B"00100010", B"00100111", B"11011111",
B"11011100", B"00101001", B"00101101", B"00000000", B"11010101",
B"11010010", B"11011011", B"00111100", B"11011011", B"00110110",
B"11100001", B"11001110", B"00111011", B"11011111", B"00001100",
B"11100001", B"00011111", B"00101110", B"11111000", B"00101101",
B"11100101", B"00101110", B"11010111", B"10111100", B"00000101",
B"11011111", B"11011111", B"11001011", B"11011001", B"11010000",
B"11011111", B"00001011", B"00100001", B"00110010", B"00101010",
B"11101000", B"11011000", B"11011110", B"11100011", B"11001100",
B"00110100", B"00001000", B"11011110", B"00100011", B"11100010",
B"00100001", B"00100010", B"00110000", B"00100001", B"00011001",
B"00010100", B"00100000", B"00011101", B"11101101", B"00101000",
B"11110100", B"11100110", B"11101000", B"00100100", B"00011001",
B"11100000", B"11100011", B"00100101", B"11010001", B"11111100",
B"11001101", B"00011101", B"00010110", B"11011010", B"00001011",
B"00100010", B"00110001", B"00100101", B"00010101", B"11111010",
B"00010100", B"00011110", B"00101001", B"00011100", B"00010011",
B"11100001", B"00001111", B"11011111", B"00011111", B"11100101",
B"00110111", B"00101100", B"11001011", B"11010111", B"00011111",
B"00100101", B"11011001", B"11100101", B"11010011", B"11110011",
B"00001110", B"11100110", B"00011010", B"11101110", B"11010001",
B"00011010", B"00011110", B"00110111", B"11110101", B"11011100",
B"00000111", B"00110001", B"11101001", B"00000101", B"11110011",
B"11100101", B"11100011", B"11011111", B"00100000", B"00110010",
B"00011110", B"00110000", B"11100000", B"00011101", B"00110111",
B"00001111", B"11101001", B"00100010", B"11010110", B"00010110",
B"11101101", B"11010010", B"00100011", B"11010110", B"00101011",
B"11011000", B"11100011", B"00110101", B"11011011", B"00011100",
B"00001101", B"11010000", B"11101001", B"11101000", B"11110001",
B"11010011", B"11011101", B"11101100", B"11011110", B"00000001",
B"00110101", B"11011101", B"00011110", B"11101011", B"11001010",
B"11101001", B"00101110", B"00100011", B"00110010", B"00101010",
B"11001010", B"11001100", B"00101110", B"11101111", B"00011101",
B"00000111", B"11100110", B"00111010", B"00101000", B"11110001",
B"00011001", B"11011100", B"11100100", B"11100011", B"11011001",
B"00001100", B"11011001", B"00011010", B"00101011", B"00011011",
B"11101000", B"00110001", B"00011010", B"11110000", B"11110110",
B"00000111", B"00010111", B"00100010", B"11100100", B"00010100",
B"00011001", B"11110011", B"11100110", B"00001110", B"11110000",
B"00100100", B"00110101", B"11010110", B"11011100", B"11010110",
B"11010011", B"11010101", B"00101101", B"11011110", B"11011011",
B"11100000", B"11011101", B"00010100", B"11001100", B"00100010",
B"11100000", B"11011111", B"00011010", B"11011010", B"01000111",
B"11110001", B"11100101", B"00010110", B"00100110", B"11001000",
B"00101100", B"11100100", B"11100001", B"11001110", B"11111101",
B"00100110", B"00100100", B"11111110", B"00100110", B"00001110",
B"11101000", B"00110101", B"00101110", B"11101010", B"00100011",
B"00110100", B"11011000", B"00100010", B"00101101", B"11010011",
B"11000111", B"00100011", B"11010111", B"00011001", B"00011100",
B"00011100", B"11101100", B"00110000", B"11011010", B"11110110",
B"11101101", B"00001101", B"00001100", B"00101000", B"00011110",
B"00101001", B"00010101", B"00010101", B"11100010", B"00001100",
B"00011110", B"11100001", B"11010110", B"11010011", B"11110111",
B"11001100", B"11101010", B"00101111", B"11110010", B"11100010",
B"11010101", B"00100000", B"00001000", B"11011001", B"00100010",
B"11101011", B"11100001", B"00110100", B"11001000", B"11101001",
B"11000011", B"11010001", B"11100110", B"11011110", B"11010010",
B"00101010", B"00001111", B"11001011", B"00011101", B"11101101",
B"00100001", B"11100101", B"00101011", B"00101100", B"11100110",
B"00100100", B"00010110", B"11010110", B"00110110", B"00010011",
B"11010101", B"11100101", B"00111001", B"00010111", B"11101000",
B"00000001", B"11100110", B"11101101", B"00011100", B"00100110",
B"00100110", B"00101101", B"11101000", B"11001010", B"00101000",
B"00001111", B"00010001", B"11101010", B"00100111", B"11011011",
B"00001000", B"11100101", B"00011001", B"11011100", B"11011110",
B"11111010", B"11101111", B"00110001", B"11011010", B"11111111",
B"00100110", B"00101100", B"00010101", B"01000011", B"00101011",
B"01000010", B"00110100", B"00001011", B"11100010", B"00010011",
B"01000100", B"11101000", B"11100011", B"11001000", B"11100011",
B"11101101", B"11011010", B"11010001", B"00110000", B"11011100",
B"00101111", B"11000111", B"11000001", B"00110000", B"11111111",
B"00101000", B"00101000", B"00010000", B"01000101", B"00100001",
B"00010010", B"00100000", B"11110111", B"11100011", B"11110010",
B"11010011", B"00101100", B"00101000", B"00100011", B"00101110",
B"00011001", B"00010111", B"11010100", B"11101111", B"00000110",
B"00100101", B"11011001", B"11101001", B"11011001", B"00101000",
B"00100101", B"00101101", B"11110101", B"00111010", B"11010101",
B"11110100", B"11100011", B"00010101", B"11100001", B"11010100",
B"11100011", B"00101101", B"00101111", B"11100011", B"00100001",
B"00001101", B"00111010", B"11011110", B"00110110", B"11100000",
B"00101001", B"11110101", B"00101010", B"11110101", B"00100011",
B"11011100", B"11101110", B"11010010", B"00101001", B"00000000",
B"00101001", B"00101010", B"00100000", B"11110011", B"11111011",
B"11001010", B"00101011", B"11010110", B"11110011", B"11110110",
B"11011001", B"01000001", B"00111001", B"11110010", B"00110100",
B"10111000", B"11100110", B"11010111", B"00001110", B"00001111",
B"00100001", B"00110101", B"11011001", B"11101111", B"00100101",
B"10110111", B"00110100", B"11010001", B"11101100", B"11100000",
B"00101110", B"00001100", B"11000101", B"11010111", B"11110011",
B"00101011", B"00100101", B"11110110", B"00011100", B"11010100",
B"00001111", B"00000000", B"11100111", B"00110011", B"11010011",
B"11101110", B"11010001", B"11100110", B"00110100", B"00101000",
B"11100000", B"11100010", B"00100001", B"00101000", B"11011110",
B"00000100", B"00000010", B"11101011", B"00100000", B"00001100",
B"11110010", B"00011000", B"00111110", B"11001110", B"00010011",
B"11110010", B"00111100", B"11101000", B"11011100", B"11011101",
B"00000001", B"00110101", B"00001110", B"11010111", B"11010110",
B"11001110", B"11111101", B"00011010", B"00100000", B"00001110",
B"00000101", B"11110101", B"11100101", B"00010100", B"11101100",
B"11011111", B"00001100", B"11101001", B"00101001", B"11100100",
B"00100100", B"11011010", B"11011110", B"11011101", B"00001001",
B"00001000", B"00110000", B"00011111", B"11011000", B"10101101",
B"00011110", B"00000111", B"11110011", B"11110110", B"11010101",
B"00001001", B"11011100", B"11011011", B"11101110", B"00000001",
B"00101000", B"11010001", B"00010110", B"11011110", B"00010111",
B"00101011", B"11111101", B"00110100", B"00101001", B"11010010",
B"11110010", B"11011100", B"11111100", B"00100111", B"00111001",
B"11101001", B"00110001", B"11101010", B"00011000", B"00000001",
B"11110001", B"00011111", B"11011000", B"11011110", B"11011010",
B"11110011", B"11010000", B"11100111", B"00011101", B"00011110",
B"00001110", B"00011000", B"11100110", B"11010101", B"11010000",
B"00100111", B"11101011", B"00001111", B"10111001", B"11110101",
B"00000010", B"00100001", B"00011010", B"11100010", B"11010101",
B"11000011", B"11010111", B"00101101", B"11011010", B"00110000",
B"11011010", B"11011100", B"11010100", B"11010100", B"00111100",
B"00010100", B"00000111", B"00010101", B"11100100", B"11110000",
B"00101010", B"00101010", B"00100010", B"00110110", B"11000100",
B"11100000", B"00100110", B"00100110", B"11100101", B"11110010",
B"00011010", B"00011011", B"11010111", B"11000101", B"11001101",
B"00110000", B"11100001", B"11111001", B"11000000", B"00110000",
B"01000111", B"11100011", B"11010100", B"11010010", B"00100010",
B"11110111", B"00011010", B"11100100", B"11001001", B"00100101",
B"11010110", B"11100100", B"00111010", B"11010111", B"00011111",
B"11100011", B"11101001", B"00010010", B"11010110", B"00001111",
B"11000100", B"11111010", B"11010100", B"00000110", B"00011111",
B"11011010", B"11100110", B"00011101", B"11111001", B"11111001",
B"11010011", B"00101010", B"00100101", B"11101100", B"11011101",
B"11011000", B"00100000", B"00001110", B"00110111", B"00110000",
B"11010011", B"11011001", B"00101110", B"11111100", B"00110100",
B"11001111", B"11010111", B"11010010", B"00011000", B"00111001",
B"00101011", B"00000100", B"00001110", B"11011011", B"00100111",
B"11010011", B"00100010", B"11101110", B"11111001", B"00001010",
B"00001100", B"00010010", B"10111100", B"00010111", B"11101000",
B"00101010", B"00000010", B"11100101", B"00011011", B"11001101",
B"00010111", B"11101100", B"11101001", B"00011010", B"11001111",
B"11100100", B"00110111", B"00100100", B"00011011", B"00100000",
B"11011000", B"00000100", B"11010011", B"11011110", B"00011101",
B"01000001", B"00100011", B"00101001", B"11011100", B"11011100",
B"00011010", B"00100111", B"00001011", B"11011101", B"00100101",
B"11100011", B"00001010", B"00000001", B"00000110", B"11100100",
B"00110111", B"11110000", B"00001111", B"11000000", B"11100011",
B"00000010", B"11010010", B"11100011", B"11101100", B"01000001",
B"00100000", B"11011100", B"00011010", B"11101001", B"00000001",
B"11110111", B"00010001", B"11010011", B"11010111", B"11010111",
B"00100001", B"00111001", B"00100101", B"11010011", B"11100110",
B"00101001", B"11100010", B"11101111", B"11111110", B"11100011",
B"00100100", B"00101001", B"10110010", B"00101000", B"00101010",
B"11001111", B"11110011", B"00101011", B"11000011", B"00100011",
B"00110010", B"00010110", B"11100001", B"00011101", B"11010010",
B"00110110", B"11010100", B"11110110", B"00011110", B"00011100",
B"00100010", B"00101000", B"11100111", B"11011001", B"11101010",
B"11100010", B"00100100", B"11001000", B"00000110", B"11010110",
B"11010101", B"00110101", B"00000111", B"11110011", B"00010110",
B"11001100", B"11011010", B"11100000", B"00100010", B"00011010",
B"11101111", B"00001110", B"00100100", B"11011010", B"11101011",
B"11101011", B"11100001", B"11100111", B"00001000", B"11111011",
B"11100101", B"00101010", B"11101110", B"11011110", B"11001010",
B"11101001", B"11100000", B"00101001", B"00001100", B"00100011",
B"11100001", B"00011111", B"11100111", B"00100110", B"11100010",
B"00110001", B"11010010", B"11001010", B"11100000", B"00010101",
B"00101110", B"11011100", B"00010110", B"11011100", B"11111100",
B"00110001", B"11100001", B"00111010", B"00101100", B"11101001",
B"00011110", B"11111011", B"00111001", B"11011110", B"00000101",
B"10111111", B"00101100", B"11110111", B"00001110", B"11101010",
B"00000010", B"00010111", B"11101100", B"00110001", B"00101101",
B"11100100", B"11001101", B"11101010", B"00000111", B"11010110",
B"00110100", B"00110000", B"00010010", B"00111010", B"10110100",
B"11011001", B"11100011", B"00100001", B"00100101", B"11100111",
B"00111000", B"11101000", B"11011000", B"00001111", B"00101100",
B"00100111", B"11101000", B"00011110", B"11111000", B"00101001",
B"11100101", B"00101110", B"00111110", B"00010010", B"11001011",
B"00001001", B"11100011", B"00110100", B"00100011", B"00011000",
B"01000001", B"00110110", B"00000011", B"00100110", B"00011001",
B"00010110", B"00100010", B"00000100", B"00011011", B"11010110",
B"00100110", B"10111100", B"00001011", B"11011010", B"11001101",
B"11100010", B"00001110", B"00101101", B"00011001", B"00100001",
B"10110001", B"11001000", B"11111000", B"11101111", B"00011110",
B"00011001", B"00100100", B"00000111", B"11010000", B"11010100",
B"00011000", B"11100001", B"00101011", B"11001010", B"11110011",
B"11011010", B"00011100", B"00100010", B"11101010", B"00100110",
B"00100011", B"11100100", B"11100110", B"11000111", B"00000111",
B"11011011", B"11001011", B"00100110", B"00000011", B"11100011",
B"11001011", B"11110001", B"11101000", B"11010011", B"11011011",
B"11110000", B"00111001", B"11101110", B"11101101", B"11010110",
B"11011010", B"01000000", B"00101101", B"00101110", B"00001101",
B"01000101", B"00011111", B"00100000", B"00100000", B"00011110",
B"01000011", B"00010011", B"11001110", B"00101010", B"00110010",
B"11011000", B"11001010", B"00101111", B"11101000", B"00001000",
B"00100011", B"11010000", B"11011110", B"11101001", B"11001011",
B"11100010", B"00001011", B"00110110", B"00001100", B"11001011",
B"00000000", B"11101110", B"00011101", B"11000100", B"11110011",
B"00101001", B"00010000", B"11101111", B"11011010", B"11000011",
B"11011010", B"11110110", B"00011001", B"11011011", B"11011101",
B"11101000", B"11011001", B"00100100", B"11000010", B"00000010",
B"11001111", B"11001010", B"11011111", B"11011111", B"00011110",
B"00011111", B"00011111", B"00000111", B"11010111", B"11110000",
B"00010100", B"11011001", B"00101000", B"11100111", B"11011000",
B"00011110", B"00101101", B"00011100", B"00110100", B"11100110",
B"00010101", B"11100011", B"00000011", B"11010001", B"00011100",
B"00101011", B"11001110", B"00011001", B"00011100", B"11101010",
B"11010110", B"00100000", B"00010101", B"00110101", B"11001111",
B"11100010", B"00001111", B"00101110", B"11101001", B"11001111",
B"00011011", B"00100011", B"11101011", B"00011000", B"00100111",
B"11101100", B"11110000", B"00011001", B"00101110", B"00100000",
B"00011001", B"11011000", B"00101000", B"11011100", B"00101101",
B"11011111", B"00100001", B"11101010", B"11010100", B"00000001",
B"11101110", B"11100010", B"11100100", B"11101111", B"11101111",
B"11110000", B"11110110", B"11100110", B"00010110", B"00011001",
B"00011110", B"00101111", B"00101110", B"00010101", B"11110011",
B"00001001", B"00100111", B"11110001", B"11111001", B"00000111",
B"00011111", B"00101000", B"00110001", B"11010101", B"00000100",
B"11010100", B"00001000", B"11100000", B"11011111", B"00101110",
B"00001110", B"00100100", B"11100111", B"00100111", B"11111001",
B"00011000", B"11100110", B"00101101", B"11011111", B"11001000",
B"11010101", B"00011001", B"11111011", B"11011010", B"11110011",
B"00100011", B"11011101", B"00100011", B"11100101", B"11100011",
B"00101011", B"00101101", B"00100100", B"11110001", B"00100101",
B"00110110", B"10111110", B"00111010", B"00001001", B"11111001",
B"11100100", B"00011100", B"00011101", B"11011100", B"11100110",
B"11111000", B"11011100", B"11011100", B"00100100", B"11001011",
B"01000011", B"00101011", B"11100010", B"00010100", B"00100011",
B"11100000", B"11010111", B"11110011", B"11100101", B"00011111",
B"00100111", B"11101100", B"00100001", B"11100110", B"11010101",
B"00101111", B"11100110", B"00010011", B"11010001", B"11100111",
B"00111100", B"00001001", B"10111010", B"00001000", B"00010101",
B"00111011", B"11110101", B"00100000", B"11111100", B"00110001",
B"11101110", B"11100000", B"00101011", B"00011010", B"00001111",
B"00010011", B"11001011", B"11111001", B"00010100", B"00101011",
B"11100100", B"00110101", B"00110110", B"11100010", B"11111000",
B"00101111", B"00000111", B"11100101", B"00101000", B"00111000",
B"00000001", B"00001011", B"11001101", B"11100111", B"00101000",
B"11010101", B"00010111", B"11101100", B"11100001", B"11100110",
B"00001100", B"00101011", B"11011011", B"11011000", B"00101010",
B"00100101", B"00010000", B"00100101", B"11101100", B"11000111",
B"11010110", B"11001110", B"11110111", B"11101000", B"00011111",
B"00111010", B"00110000", B"00010100", B"00100010", B"11011001",
B"00110101", B"00010100", B"11001011", B"00010101", B"00101010",
B"11001111", B"11010011", B"01001001", B"11011011", B"11101101",
B"11110010", B"00100110", B"00010001", B"11101101", B"00011101",
B"00011110", B"00100111", B"00001000", B"00100001", B"00011110",
B"00000001", B"00100011", B"00010100", B"11011000", B"00011001",
B"11011100", B"11101011", B"11001101", B"00011000", B"00110100",
B"00100101", B"11001010", B"11010101", B"11001110", B"11011001",
B"01000000", B"11010000", B"00101001", B"00011101", B"00001110",
B"00100001", B"11011111", B"00110110", B"11010000", B"00111000",
B"11110111", B"00101100", B"11010110", B"11100101", B"00111000",
B"11011010", B"11110111", B"11011110", B"11011011", B"00001001",
B"11101011", B"00100010", B"11010100", B"11100000", B"11100111",
B"00010010", B"00110010", B"00101101", B"11001011", B"11100010",
B"00100011", B"11110100", B"11011001", B"11010100", B"11011011",
B"11011001", B"00010110", B"11001111", B"11111101", B"11100010",
B"00101101", B"00101011", B"11100111", B"11011010", B"11100101",
B"11010100", B"11111010", B"00001100", B"00100000", B"00001100",
B"00110100", B"11100111", B"11010001", B"00001110", B"11111100",
B"00100101", B"11110100", B"11100000", B"00011001", B"00011010",
B"11110000", B"11110000", B"11100010", B"11111011", B"00100000",
B"00000111", B"00101010", B"00000110", B"00011111", B"11101000",
B"00001001", B"11101011", B"11011001", B"00110101", B"01000111",
B"00101000", B"11101100", B"11010111", B"00011010", B"11100011",
B"11110011", B"11001100", B"11100100", B"00010110", B"00011011",
B"11001011", B"11100100", B"00000111", B"00100001", B"11101101",
B"11000101", B"00010000", B"00110111", B"00011010", B"00001001",
B"00110000", B"00010011", B"00100101", B"00010010", B"00010001",
B"00101010", B"00101011", B"11110101", B"00001011", B"11101101",
B"00010001", B"11001100", B"00011111", B"11101101", B"00100101",
B"11011111", B"11010111", B"11100001", B"00110100", B"00010111",
B"11100111", B"00000110", B"01001110", B"11100110", B"11010111",
B"11011110", B"11101000", B"11011001", B"11000011", B"00011101",
B"00100011", B"11100100", B"11110010", B"11010111", B"11010110",
B"11101100", B"11101011", B"00100000", B"00101111", B"11011000",
B"11111000", B"10101010", B"11100000", B"11100000", B"00011111",
B"00011101", B"00011011", B"11101001", B"00001111", B"11101110",
B"00001111", B"11011100", B"00000001", B"11101101", B"00011011",
B"00010111", B"00010111", B"00001110", B"11101100", B"11101011",
B"11101001", B"00101110", B"11010010", B"00011110", B"11101001",
B"11101000", B"00111000", B"00011101", B"01000000", B"11101100",
B"11011011", B"00111001", B"11010100", B"11001001", B"11100011",
B"11100011", B"00100010", B"00010011", B"11010100", B"11100111",
B"00010111", B"00111110", B"11010101", B"11000111", B"11000101",
B"11011100", B"11100001", B"11000111", B"00101011", B"00010001",
B"00001110", B"00011100", B"00010011", B"00011011", B"11011001",
B"00010011", B"00110111", B"11110100", B"11010001", B"00100000",
B"11001101", B"00011110", B"00100011", B"11001110", B"11101000",
B"11100110", B"11101101", B"11011011", B"11001111", B"11100110",
B"11011010", B"00000110", B"00100000", B"11100010", B"00110100",
B"11011001", B"11001011", B"11101001", B"11010110", B"11011111",
B"00100010", B"00011000", B"00100111", B"00100101", B"00101100",
B"00100000", B"00110100", B"00010110", B"00100110", B"00101000",
B"01000001", B"00001110", B"11100010", B"00001110", B"11100000",
B"11010100", B"11010110", B"00010100", B"00110111", B"11100001",
B"00001110", B"11001111", B"11110110", B"00010101", B"11010010",
B"11011110", B"11010011", B"11100011", B"11100011", B"00101110",
B"00100101", B"11010101", B"00010000", B"11010000", B"11010101",
B"10110111", B"11011011", B"11011111", B"00111011", B"11101110",
B"00101001", B"11100001", B"11001101", B"00110100", B"00001110",
B"00001110", B"11101100", B"11010110", B"00010100", B"00100010",
B"11010111", B"11111001", B"00010011", B"00011101", B"11100110",
B"00010111", B"00101100", B"11101100", B"11111010", B"00010110",
B"11010110", B"10110011", B"00101101", B"00010001", B"00001110",
B"00100011", B"11101100", B"11101011", B"11110101", B"11011000",
B"00011010", B"11011111", B"00110001", B"11011011", B"11010100",
B"00101000", B"11101011", B"01000011", B"00100110", B"00010011",
B"11100111", B"00100110", B"11011000", B"00010110", B"00101111",
B"00001111", B"00101110", B"11100110", B"00101100", B"11110001",
B"00011111", B"11101001", B"00011011", B"00011001", B"11101111",
B"11011000", B"00111111", B"00010110", B"11110010", B"11101000",
B"00100011", B"11100111", B"00100100", B"11001111", B"11100011",
B"11011000", B"00101000", B"00100010", B"00010001", B"11111100",
B"11100101", B"00110010", B"11010001", B"11011110", B"11101011",
B"00001000", B"11100001", B"00011110", B"00010000", B"11001010",
B"11100001", B"11110011", B"11100100", B"11000111", B"00010101",
B"11011110", B"11101100", B"11011011", B"11001110", B"00000000",
B"11011011", B"00010100", B"11011100", B"00101000", B"11101001",
B"00001111", B"11101110", B"11011000", B"00010001", B"00101001",
B"00011101", B"00101111", B"11011011", B"00011001", B"00011111",
B"11011101", B"11100011", B"00100010", B"11011011", B"00100011",
B"00010011", B"00100110", B"10110110", B"00110001", B"11100000",
B"00011110", B"00110100", B"11010010", B"00011001", B"00011110",
B"11101101", B"00000001", B"00110010", B"11100100", B"11011100",
B"11010101", B"11100100", B"11100101", B"00110110", B"00011000",
B"00100010", B"00101101", B"00100011", B"00101010", B"11101010",
B"00101000", B"00010010", B"11101111", B"11010011", B"00001110",
B"00100000", B"00100001", B"00011100", B"00101001", B"00000101",
B"01010100", B"00000011", B"00011001", B"00011110", B"00011101",
B"11100101", B"11100110", B"00111000", B"00011011", B"11101110",
B"11110011", B"11110110", B"00110010", B"11011000", B"00001111",
B"11110001", B"11010101", B"00011110", B"00001111", B"11111101",
B"00101100", B"11010101", B"00100000", B"11011011", B"11010110",
B"00011010", B"00100111", B"11100001", B"11100010", B"00001110",
B"11010000", B"00100111", B"11001110", B"11101000", B"00001111",
B"00010100", B"11001110", B"11001110", B"11110010", B"11010110",
B"00100110", B"11100110", B"00110110", B"11011010", B"00010110",
B"11100000", B"11110111", B"11010001", B"00100011", B"00111100",
B"11010000", B"00100001", B"00100000", B"11100001", B"11101000",
B"00010110", B"00011001", B"11100101", B"11011010", B"00101100",
B"11100101", B"01000111", B"00001001", B"11001101", B"00101001",
B"00100110", B"11010101", B"11101110", B"11110111", B"11011101",
B"11010101", B"00011101", B"00110001", B"00100101", B"00010101",
B"11001111", B"11100111", B"11100110", B"00100101", B"00011000",
B"11111001", B"00011110", B"11100000", B"00001001", B"00000111",
B"11010111", B"11100011", B"11101010", B"00100011", B"11100000",
B"00101101", B"00110001", B"11010110", B"11011001", B"11110010",
B"11101110", B"00100001", B"11010100", B"00100011", B"00100111",
B"00000110", B"11100101", B"00001100", B"00000010", B"11100010",
B"11101101", B"00111100", B"00101010", B"00010010", B"11011010",
B"11001110", B"00011010", B"00111011", B"11010000", B"11011100",
B"00001110", B"11101011", B"11011110", B"11011000", B"11101010",
B"00011100", B"11100110", B"11111010", B"11100100", B"10101101",
B"00110010", B"00110111", B"00010010", B"00101110", B"11100100",
B"11111110", B"00110100", B"00100111", B"11011100", B"00100111",
B"00010110", B"11001111", B"11011001", B"00110100", B"00101000",
B"11111000", B"00101101", B"11010011", B"11001101", B"11000100",
B"00011111", B"00100101", B"11100011", B"00000100", B"11011011",
B"00010101", B"11111110", B"11111000", B"00011111", B"00010111",
B"00100000", B"11000010", B"11011101", B"00010011", B"11010100",
B"11010011", B"11100101", B"11010011", B"11011010", B"11100010",
B"11011101", B"11001111", B"00001001", B"00010000", B"00100110",
B"00011110", B"11010111", B"11110001", B"00100101", B"11011101",
B"00101011", B"11010101", B"11100111", B"00101010", B"00101011",
B"11100101", B"00011100", B"11100000", B"11111001", B"11001000",
B"00100010", B"00010001", B"11110011", B"11011000", B"00110001",
B"00011110", B"00100111", B"00011110", B"11010111", B"11011101",
B"11010110", B"00011111", B"11011111", B"11000010", B"11101010",
B"00011010", B"00001000", B"11000111", B"11010100", B"00011100",
B"00011011", B"11011000", B"11010011", B"00101011", B"00101100",
B"11100010", B"11110001", B"00011000", B"11101000", B"11001100",
B"11011001", B"00100100", B"00111101", B"11100111", B"11100000",
B"11010110", B"11111010", B"00110101", B"00011001", B"11001000",
B"00001111", B"11101111", B"00011110", B"11100000", B"00100000",
B"00100100", B"00000001", B"00010010", B"00100010", B"11010110",
B"00100010", B"11100011", B"11101100", B"10111100", B"11101001",
B"00110001", B"11010011", B"11111101", B"00100110", B"00101001",
B"11101100", B"00111011", B"00010011", B"11001011", B"11100100",
B"00011111", B"11001110", B"11100010", B"00100011", B"00001111",
B"00100001", B"00010111", B"11010001", B"11011000", B"11110011",
B"11100001", B"00011011", B"11101000", B"00011011", B"11100101",
B"11100100", B"00001110", B"11010100", B"00110001", B"11111010",
B"00100100", B"11011100", B"00110001", B"11100001", B"00001100",
B"00100000", B"00110011", B"00011010", B"00101000", B"00001111",
B"00100101", B"00010101", B"00100001", B"00110001", B"11101011",
B"11010001", B"00011110", B"11011000", B"11101011", B"11100011",
B"10111111", B"11011101", B"00001101", B"11101100", B"11110100",
B"11011010", B"11110100", B"00001110", B"11101100", B"00010110",
B"00011111", B"11010101", B"11101111", B"00011110", B"00000011",
B"10110111", B"00000011", B"00100100", B"00011010", B"00101011",
B"11011011", B"00010101", B"11011100", B"00111001", B"11010110",
B"11001110", B"11101100", B"11111000", B"11010100", B"00101110",
B"00001010", B"00100001", B"00110101", B"00011001", B"00100011",
B"11100010", B"00100100", B"00110011", B"11110011", B"11100101",
B"00010101", B"11101010", B"11101111", B"00010110", B"11110100",
B"00111001", B"00001100", B"11101111", B"01000001", B"00100000",
B"00000110", B"00010100", B"11101011", B"00011110", B"10111110",
B"00011111", B"11010110", B"00001101", B"11001000", B"00110111",
B"11001000", B"11100111", B"11011000", B"00110101", B"00011110",
B"00011101", B"11101110", B"11010011", B"00000011", B"10110001",
B"00101010", B"11101011", B"00001001", B"11011111", B"00010010",
B"00010011", B"00100000", B"11001100", B"00010001", B"11100010",
B"00000101", B"11000000", B"00100100", B"11100011", B"00001101",
B"10111000", B"11001100", B"00100101", B"00111000", B"00001001",
B"01010001", B"00010010", B"00000101", B"00101000", B"00110110",
B"00000111", B"00011111", B"11110011", B"11111011", B"11100000",
B"00100011", B"01001110", B"11010110", B"00010100", B"11010101",
B"11011101", B"11010110", B"11110100", B"11010000", B"00100010",
B"00100010", B"00110001", B"00011110", B"11010110", B"11101010",
B"11100111", B"11101111", B"00111000", B"00010110", B"00110001",
B"00010110", B"11000001", B"11010101", B"11011010", B"11100000",
B"00010000", B"00111010", B"00011010", B"00101111", B"11010010",
B"00011001", B"11001101", B"11011110", B"11100111", B"00001111",
B"00001111", B"11100010", B"11001000", B"11011000", B"11100011",
B"00001010", B"00100111", B"11101100", B"00100010", B"11011100",
B"11111111", B"00011011", B"11100001", B"11110110", B"11100101",
B"00011100", B"11111100", B"11110101", B"11010101", B"11101010",
B"11110110", B"11100111", B"11111101", B"00011100", B"00010000",
B"00010011", B"00001000", B"00101001", B"00011000", B"11100111",
B"00010110", B"11100000", B"00011100", B"11100000", B"11100111",
B"01000010", B"00010111", B"11101100", B"11011111", B"11100101",
B"11010100", B"11010011", B"00011110", B"00000000", B"00011001",
B"11110010", B"11110010", B"11010110", B"00101001", B"00100101",
B"00110101", B"00011011", B"11100111", B"11001100", B"00110101",
B"00001010", B"11110000", B"10111101", B"00001001", B"00010010",
B"00011001", B"11011001", B"00110100", B"11011000", B"00001111",
B"11011100", B"00101110", B"11011110", B"11110001", B"00011110",
B"11010111", B"11011010", B"11011111", B"11100101", B"11100111",
B"00100010", B"11110100", B"11110010", B"11011111", B"11111001",
B"11110010", B"11101011", B"00101101", B"00110010", B"11001011",
B"00000001", B"00010111", B"11010101", B"11000101", B"00001101",
B"00011111", B"11011100", B"11011101", B"11001110", B"11100101",
B"00010111", B"11100010", B"00110000", B"11000100", B"11100011",
B"11110110", B"11001000", B"00100111", B"00101010", B"00011100",
B"00011011", B"11011001", B"11001110", B"00100011", B"11011100",
B"00100010", B"11111111", B"11011101", B"00100011", B"11100110",
B"11110000", B"11010111", B"00110000", B"00101100", B"11100010",
B"00100110", B"11001000", B"00010110", B"11011011", B"11010100",
B"00000110", B"00000011", B"11010101", B"11110010", B"11001100",
B"11100101", B"00101010", B"11011011", B"00010000", B"11101011",
B"11100011", B"00101010", B"00101011", B"11011010", B"11001101",
B"11110000", B"00010100", B"00111111", B"11110000", B"00111011",
B"11100110", B"11110010", B"11101101", B"00010110", B"00100010",
B"00001111", B"00101110", B"11100111", B"11101010", B"11010111",
B"11100001", B"00100100", B"00011000", B"00000101", B"00000011",
B"11001001", B"11100011", B"11101010", B"11100010", B"11000111",
B"00101001", B"00100001", B"11110001", B"00010100", B"11111001",
B"11110111", B"11101101", B"11100101", B"11010001", B"00011111",
B"00010001", B"00011110", B"00100000", B"00010001", B"00100110",
B"00110101", B"11010110", B"00000111", B"11011000", B"00101100",
B"11101110", B"11101011", B"00100110", B"11010100", B"00100000",
B"11011001", B"11011100", B"00110101", B"01000101", B"00101010",
B"11100101", B"11111110", B"11010010", B"11100010", B"00010101",
B"11001000", B"00001110", B"00100111", B"11100011", B"11001000",
B"11101100", B"10111010", B"00011001", B"11001110", B"00011100",
B"11011000", B"00101010", B"11011001", B"11100101", B"11101010",
B"00110101", B"00000011", B"11001001", B"11100011", B"00011101",
B"00011010", B"00001010", B"11100100", B"00011111", B"11010011",
B"00011001", B"11101111", B"00100011", B"11000101", B"11011110",
B"11101010", B"00101011", B"00010100", B"11011111", B"00110010",
B"00011000", B"00110000", B"00110100", B"00100000", B"00100100",
B"00111100", B"00001011", B"00011101", B"00001000", B"11110001",
B"11001010", B"00100111", B"00010001", B"11011001", B"11101110",
B"00001011", B"11011111", B"00010011", B"00010010", B"11000100",
B"00001110", B"00111010", B"11010111", B"11001111", B"00101010",
B"00101110", B"11101010", B"11011100", B"11111100", B"11100010",
B"11101011", B"00100101", B"11011111", B"11100010", B"00011110",
B"11100101", B"11011111", B"11010001", B"11101001", B"00101100",
B"00011010", B"11101101", B"00110101", B"00111011", B"00011010",
B"11010011", B"00011011", B"11010001", B"00111101", B"00001111",
B"00100111", B"11001010", B"00100000", B"11011111", B"00101100",
B"00110001", B"00001100", B"00011110", B"00000011", B"00100101",
B"11101100", B"00001100", B"11000100", B"11100010", B"11101111",
B"00101010", B"11001011", B"00100100", B"11001010", B"11001111",
B"00101010", B"11101010", B"11010110", B"00110111", B"11001100",
B"00010101", B"11100110", B"11100111", B"00100110", B"11000111",
B"00100111", B"11011011", B"01001110", B"11100010", B"11101001",
B"00100010", B"00010111", B"00101111", B"11110000", B"00100110",
B"11101000", B"00011000", B"11011011", B"00110100", B"11011011",
B"11100111", B"11100110", B"00100001", B"00100111", B"00110001",
B"00110010", B"11000011", B"11011011", B"00110010", B"00100110",
B"00010011", B"00001110", B"00100011", B"00110111", B"11110010",
B"00011010", B"11100010", B"11001000", B"00110011", B"11011110",
B"00110000", B"11000011", B"00000010", B"00100001", B"00010100",
B"00011110", B"11101011", B"00011111", B"00111111", B"10110101",
B"11110011", B"00011000", B"11110110", B"11010000", B"11111010",
B"11100010", B"00110101", B"00100110", B"00110010", B"00110111",
B"11001101", B"00100110", B"00010000", B"11100100", B"11010011",
B"11101010", B"11000101", B"11001001", B"11001011", B"11111001",
B"00011010", B"00110010", B"11001001", B"00001101", B"11011000",
B"00001101", B"00100111", B"11101100", B"00011000", B"01000011",
B"11110001", B"00110000", B"00010110", B"11101111", B"11010101",
B"11101001", B"11010110", B"11001001", B"00110011", B"00100001",
B"00000001", B"00011111", B"00100110", B"11011010", B"11101100",
B"11010100", B"11011100", B"00100000", B"11110010", B"00011011",
B"11010101", B"00101010", B"11101100", B"11100101", B"11101000",
B"00010110", B"00100011", B"11101001", B"00101100", B"11010111",
B"11011110", B"00010101", B"11000011", B"11010010", B"11001011",
B"11011011", B"00011100", B"00111011", B"00101100", B"00111001",
B"00110111", B"00001111", B"00011001", B"00101001", B"00110011",
B"00111010", B"00001001", B"11111001", B"00101111", B"11001111",
B"00001010", B"11010111", B"00110011", B"00010000", B"11010000",
B"00111001", B"00100000", B"11100010", B"11011110", B"00111110",
B"11011111", B"11110010", B"00010110", B"11100000", B"00010010",
B"11001011", B"10111010", B"00101001", B"00001100", B"11100010",
B"11111100", B"11101011", B"11101001", B"11010100", B"11011110",
B"11101011", B"00011010", B"11001111", B"11111001", B"00001001",
B"11011011", B"11100100", B"11100111", B"11011111", B"00011010",
B"11100010", B"00011101", B"11101010", B"11100101", B"11011010",
B"01000000", B"00011010", B"00000110", B"11101000", B"11001010",
B"11001111", B"00100000", B"00100000", B"00110101", B"00100010",
B"00101010", B"00010111", B"11011011", B"11100110", B"00001001",
B"00110110", B"00000011", B"11101110", B"11011111", B"11011010",
B"11010010", B"00100101", B"00010101", B"11100000", B"01000000",
B"11101001", B"00011001", B"11011000", B"00100010", B"11011100",
B"11010100", B"11100111", B"00101110", B"00010110", B"11101111",
B"00010101", B"11101111", B"00110010", B"11100001", B"11100101",
B"00100110", B"00010011", B"11010110", B"00001100", B"00000110",
B"00100001", B"01000111", B"00110101", B"11100000", B"11100000",
B"00000011", B"11010010", B"00010110", B"11001101", B"11010111",
B"11101111", B"00000000", B"00011111", B"11011101", B"11100001",
B"00111000", B"00011001", B"00101011", B"00101101", B"11100111",
B"11011111", B"00010011", B"11001101", B"11100010", B"00100010",
B"11011100", B"11010110", B"11001010", B"11001111", B"00000011",
B"00011000", B"11101101", B"00001110", B"00011110", B"11101100",
B"11010100", B"00000011", B"00110010", B"00110110", B"00101001",
B"11101011", B"00101101", B"11010010", B"00110100", B"11001100",
B"00000111", B"00101110", B"00110010", B"00011000", B"00101000",
B"00001101", B"00101010", B"00101000", B"11010100", B"11100101",
B"01010111", B"11011110", B"00000010", B"11001010", B"11000100",
B"00001111", B"11101110", B"00101001", B"00101101", B"11100101",
B"11011010", B"11000111", B"11000101", B"11101101", B"00110100",
B"00000100", B"00101011", B"11110001", B"00010100", B"11011001",
B"00100000", B"11101010", B"00101100", B"11011111", B"01000101",
B"00111101", B"11111100", B"00100011", B"00000110", B"11000000",
B"11100100", B"11110010", B"11101001", B"00001110", B"00010011",
B"11010011", B"00011101", B"11001000", B"11000000", B"00101101",
B"00101011", B"00011011", B"10111010", B"00011011", B"11100101",
B"00010011", B"00010111", B"11101110", B"11100001", B"00100101",
B"11100010", B"11100111", B"11101101", B"11001100", B"11001011",
B"11011101", B"00100101", B"00010010", B"00100010", B"00011010",
B"11010001", B"11011001", B"11010000", B"00100010", B"00101110",
B"00010010", B"11011101", B"00110101", B"11011111", B"00001101",
B"11111001", B"11100100", B"00011000", B"00011011", B"00011110",
B"00100011", B"11010001", B"11011111", B"00110101", B"11101101",
B"11100101", B"11100110", B"11100101", B"00110010", B"11101110",
B"00011011", B"11110011", B"00101101", B"00110000", B"11011001",
B"11101011", B"11101110", B"11011100", B"11011001", B"11010001",
B"00010101", B"01000010", B"11101000", B"11000010", B"11010010",
B"11101101", B"11011011", B"00010110", B"11100010", B"11001001",
B"00100001", B"11111101", B"00000001", B"11011011", B"11010111",
B"11100100", B"00010010", B"11100100", B"00001010", B"11101101",
B"11100110", B"00101011", B"00010110", B"00011001", B"00011111",
B"00011111", B"11011100", B"00100101", B"11000010", B"00100000",
B"11100010", B"00001110", B"11100100", B"11001110", B"00010010",
B"11011111", B"11101110", B"11011000", B"11110101", B"00111011",
B"00001011", B"00010101", B"11101001", B"00100010", B"11001111",
B"00101110", B"11100011", B"00100011", B"11001101", B"00110000",
B"11110010", B"11101000", B"11010001", B"00000110", B"00110011",
B"11011010", B"00011010", B"00100011", B"00111100", B"10110110",
B"00100010", B"11100001", B"00000100", B"00001111", B"11001111",
B"00011001", B"11100111", B"11011011", B"11011100", B"00010101",
B"00111111", B"00101111", B"11011101", B"00101001", B"11111110",
B"11011110", B"11101110", B"00110010", B"00101000", B"00001101",
B"11101010", B"10111101", B"11110100", B"11101101", B"00001111",
B"11101101", B"00010111", B"00100010", B"00100001", B"00100101",
B"00101011", B"00101111", B"00110011", B"00001100", B"00010011",
B"00110001", B"11101101", B"11110100", B"11011100", B"11001101",
B"00100111", B"11101000", B"00010010", B"11110001", B"11011111",
B"00111001", B"11001011", B"00010111", B"11011000", B"11100010",
B"00101000", B"00010110", B"00011100", B"11101011", B"00100100",
B"00100100", B"11110000", B"11110110", B"00100111", B"00101011",
B"00010101", B"00101011", B"11100011", B"00110011", B"11100110",
B"11111010", B"11100001", B"00010011", B"11010001", B"00100111",
B"00110100", B"11100000", B"00100110", B"00010111", B"11011111",
B"00100011", B"00010110", B"11000110", B"11010111", B"00100100",
B"00000111", B"11110011", B"11101011", B"11000110", B"11110000",
B"11001110", B"00001010", B"00010110", B"11100011", B"01001010",
B"00000101", B"00100001", B"11101000", B"00010011", B"00100011",
B"11011000", B"00100000", B"00110010", B"11011100", B"11011100",
B"11101101", B"11101011", B"00100110", B"00101001", B"11110110",
B"00001001", B"11000110", B"11101010", B"00100010", B"00110001",
B"00001100", B"11011100", B"00100101", B"11110011", B"00010001",
B"00011100", B"11110101", B"11011101", B"00111000", B"11001011",
B"11110101", B"11001100", B"11101011", B"11011010", B"00101011",
B"00101110", B"11010111", B"11111001", B"11100000", B"11100111",
B"11101110", B"11000111", B"11100001", B"00011000", B"11011011",
B"11111011", B"11001101", B"11000110", B"00000100", B"00101011",
B"11010111", B"11111100", B"00101111", B"11100101", B"00011010",
B"00001001", B"11110010", B"00100000", B"11101010", B"11001110",
B"11010000", B"11100001", B"00100101", B"11100000", B"00101011",
B"11101111", B"00101001", B"00000000", B"00101010", B"11101001",
B"01000001", B"11101001", B"00011011", B"11000011", B"00011000",
B"11011001", B"11010111", B"11000100", B"00011111", B"00010011",
B"11100010", B"00010000", B"00011010", B"11001101", B"00110000",
B"00101000", B"11101011", B"11011111", B"00110110", B"00010111",
B"11101010", B"11111100", B"11100001", B"11010000", B"00010000",
B"10111001", B"01000110", B"00100011", B"00100101", B"11101001",
B"00001111", B"00011101", B"11100110", B"11001110", B"00101000",
B"11101110", B"00101000", B"00101101", B"00010101", B"11100011",
B"00101001", B"11101010", B"00010011", B"00010000", B"11011110",
B"11101011", B"00100000", B"11000011", B"11101111", B"11110001",
B"11010100", B"00101100", B"00101000", B"00010101", B"00101100",
B"00110101", B"00101001", B"00101100", B"00011001", B"11001011",
B"00000011", B"00100010", B"00100000", B"11010001", B"00011101",
B"11111110", B"00110011", B"00000010", B"11100001", B"01001111",
B"00000101", B"11100000", B"11001011", B"00011010", B"00111101",
B"11101011", B"11010111", B"00011111", B"11001111", B"00011110",
B"11011010", B"11000000", B"00110011", B"00111001", B"00001110",
B"11101111", B"00101100", B"00101111", B"11101010", B"11110011",
B"00010101", B"11100010", B"11111010", B"00010011", B"00001111",
B"00110010", B"00001000", B"11100000", B"00000011", B"11011111",
B"00011101", B"11010110", B"00100111", B"11001101", B"10111000",
B"00101111", B"00010001", B"00011001", B"00101010", B"00101100",
B"00111010", B"00011000", B"00010100", B"00010101", B"00100101",
B"11010001", B"11011100", B"11001101", B"11011111", B"00011011",
B"00010100", B"11110000", B"00001000", B"11010101", B"00110101",
B"00111101", B"11011000", B"11111001", B"11001010", B"11011101",
B"11001000", B"00100011", B"00100010", B"00011010", B"00101000",
B"00011100", B"00110000", B"00010110", B"00101000", B"11100001",
B"00010101", B"00010010", B"11011101", B"11101110", B"11110101",
B"00001011", B"11110101", B"00100101", B"11110100", B"00010101",
B"11100001", B"11010100", B"11010111", B"00001011", B"00110010",
B"00010001", B"11101001", B"11011110", B"11000110", B"11011001",
B"00110000", B"11101110", B"00000110", B"11011110", B"00010011",
B"00110011", B"00100101", B"11011101", B"00000101", B"11110111",
B"00001101", B"11100100", B"11111001", B"11101111", B"00011011",
B"00101001", B"00001111", B"11011001", B"10111011", B"00101000",
B"00010011", B"11110000", B"00111111", B"00001111", B"11100001",
B"11001010", B"00100001", B"00001110", B"00010100", B"00010101",
B"11001001", B"00100111", B"10110111", B"00100000", B"11001111",
B"00010011", B"00010001", B"11010110", B"00011010", B"00110000",
B"11010100", B"11011101", B"00001101", B"11000100", B"00101101",
B"11100010", B"11111011", B"11110000", B"00101010", B"00010010",
B"10111110", B"11100000", B"00101000", B"00100010", B"00100011",
B"11110001", B"00000011", B"10111110", B"00001010", B"00010011",
B"00011101", B"11101000", B"00010001", B"00100111", B"11100001",
B"00000101", B"00011011", B"00111000", B"00011011", B"00001011",
B"00011010", B"00100011", B"00010111", B"00011011", B"00101101",
B"00101000", B"11010100", B"00101101", B"00111000", B"11111001",
B"00001111", B"00101010", B"11100010", B"00001010", B"00010111",
B"11010110", B"00011100", B"00100111", B"11111001", B"11101010",
B"00010011", B"00010111", B"00110101", B"00010010", B"11100010",
B"00110001", B"11011101", B"00100000", B"11111110", B"00001101",
B"11011101", B"00101100", B"00101000", B"11011010", B"00011010",
B"00010111", B"11110001", B"11100100", B"00011011", B"11110101",
B"11011011", B"11100100", B"00110010", B"00010111", B"11011100",
B"00100001", B"00010100", B"00101110", B"11101000", B"00110100",
B"11010100", B"00010010", B"11010100", B"11011000", B"11110111",
B"00100100", B"00110111", B"00010000", B"00011000", B"11011110",
B"11100101", B"11100110", B"11000110", B"00101001", B"00010001",
B"00100100", B"00001110", B"11100011", B"11011001", B"00001000",
B"11100101", B"11010101", B"00101001", B"11010100", B"11010000",
B"11011111", B"11001111", B"11010001", B"00100011", B"00000000",
B"11000110", B"11101011", B"11100011", B"11011011", B"11101000",
B"00100101", B"11010111", B"00101111", B"00010010", B"11101011",
B"00100010", B"00110010", B"11010100", B"00101000", B"11101000",
B"00011000", B"11100110", B"11001010", B"11101000", B"00100010",
B"00001100", B"11111000", B"11010111", B"11101001", B"00100001",
B"00000011", B"11111010", B"00101100", B"11001111", B"11011110",
B"00101011", B"11010010", B"00001000", B"11110100", B"11100000",
B"00010000", B"00100100", B"00100010", B"00100010", B"00100011",
B"11011101", B"00100100", B"11010011", B"00100101", B"11011100",
B"00001101", B"11011010", B"11011000", B"00100100", B"11010111",
B"11010111", B"11001110", B"11101110", B"00000100", B"11001000",
B"00001111", B"00000001", B"11110101", B"00011001", B"00110100",
B"11110011", B"11110010", B"11011010", B"11100001", B"00011010",
B"00000000", B"11010001", B"00101000", B"11011110", B"00001010",
B"11100010", B"11100111", B"11110101", B"11101101", B"00011000",
B"11011111", B"00001011", B"11100100", B"11011110", B"11000101",
B"11010111", B"00111110", B"01000010", B"00100101", B"00101001",
B"11101011", B"11011101", B"11101110", B"11111111", B"00011111",
B"11101011", B"00110000", B"11100010", B"00110011", B"00001001",
B"00011000", B"11100011", B"00011001", B"11101001", B"00100110",
B"11011000", B"11100011", B"11011110", B"00001100", B"00101111",
B"11110011", B"00100010", B"11010011", B"11010011", B"00011010",
B"11010111", B"00011100", B"11101001", B"11010100", B"10111110",
B"00011011", B"00110100", B"00100111", B"00010000", B"00101101",
B"00111000", B"00001011", B"00011100", B"00100101", B"00111000",
B"11100001", B"11010001", B"00100100", B"00100100", B"00001101",
B"00111011", B"11111001", B"11100010", B"00011110", B"00100111",
B"11011010", B"11101001", B"00011011", B"00101000", B"11101001",
B"11110001", B"11110001", B"00000110", B"00000110", B"11001101",
B"11001111", B"11101111", B"11101110", B"11100000", B"00100111",
B"11001110", B"00011001", B"11101100", B"11011101", B"11110001",
B"00101001", B"00011001", B"00001110", B"11110000", B"00110011",
B"11011110", B"11011000", B"11101000", B"00000000", B"00110001",
B"11101010", B"11011000", B"00100001", B"00100001", B"00101101",
B"00110111", B"11110110", B"11001011", B"00110000", B"00101011",
B"00011011", B"01000100", B"00101010", B"00011101", B"00100011",
B"00010110", B"01001101", B"11000111", B"00011000", B"00101110",
B"11010101", B"00001011", B"00010000", B"11101000", B"11101000",
B"00011001", B"11100110", B"11100110", B"11010011", B"00101101",
B"00100010", B"11100000", B"11011111", B"00001111", B"11101000",
B"11100111", B"11101111", B"00011101", B"00011010", B"11111100",
B"00111110", B"01000010", B"00000010", B"11010011", B"00100100",
B"11011001", B"00011001", B"11100011", B"11011011", B"00101000",
B"11111000", B"11011101", B"11011111", B"00100000", B"00110000",
B"11011101", B"11100010", B"00100010", B"00110000", B"11101010",
B"11100111", B"11100110", B"11100000", B"11100001", B"11000010",
B"11100000", B"11001001", B"11001000", B"00011110", B"00100110",
B"00110010", B"00011000", B"00100011", B"11100000", B"00000100",
B"00011101", B"11110100", B"00100110", B"00011111", B"11101101",
B"11101100", B"00011101", B"00011000", B"11100101", B"11111001",
B"11100000", B"11011101", B"11101001", B"00011011", B"00011010",
B"00001110", B"11100011", B"00001011", B"00100010", B"11100010",
B"11100000", B"00111010", B"00001000", B"00101110", B"00010000",
B"00100100", B"00011111", B"00001101", B"00011111", B"11001100",
B"00011110", B"11100000", B"00011111", B"11011011", B"00000111",
B"00010000", B"00100000", B"11101000", B"00101011", B"00101101",
B"00010110", B"11001101", B"00101000", B"11011110", B"00011100",
B"00011000", B"11010110", B"10111011", B"00101110", B"11110010",
B"11011100", B"11011100", B"11011110", B"11001100", B"00011100",
B"01000111", B"00110010", B"11011110", B"00101000", B"11101111",
B"00011000", B"00110011", B"00100100", B"00000001", B"00101011",
B"00110111", B"00100001", B"00010011", B"00101001", B"11011001",
B"11110011", B"00010000", B"11010000", B"00100101", B"11010101",
B"11011111", B"00100100", B"00100100", B"11100101", B"00011111",
B"00100110", B"11100100", B"00100101", B"00000111", B"11011001",
B"11101110", B"11100000", B"00110010", B"00110110", B"00101001",
B"00011010", B"11110001", B"11001010", B"11100010", B"11011001",
B"11101110", B"00010110", B"00110011", B"11010011", B"00011101",
B"11111110", B"00011111", B"00101000", B"00011000", B"11000111",
B"00001001", B"11110000", B"00011010", B"11101101", B"00101100",
B"11110000", B"11101101", B"11010011", B"11100111", B"00101001",
B"11011101", B"11111011", B"00010100", B"00100101", B"00010101",
B"11100100", B"00100001", B"11000011", B"00111001", B"11010010",
B"00100010", B"11000000", B"00100010", B"11101001", B"11100100",
B"11101000", B"00010011", B"00010011", B"00100000", B"00010111",
B"00100011", B"11001011", B"00101000", B"11110000", B"00100010",
B"11011000", B"00010110", B"11110000", B"00101000", B"11101101",
B"11110010", B"11100000", B"00010011", B"11111011", B"11011100",
B"00110111", B"00110101", B"00100000", B"11101010", B"00011100",
B"11111101", B"00100001", B"11001101", B"11111111", B"11001111",
B"11010111", B"00101001", B"00011001", B"00100111", B"00101000",
B"11100101", B"00011101", B"00110111", B"00100000", B"11100111",
B"00100101", B"11001110", B"01001000", B"00010010", B"11101010",
B"11110001", B"11101111", B"11011101", B"00100000", B"11100000",
B"00100100", B"11110110", B"11100001", B"00011011", B"00100001",
B"00011011", B"00010001", B"11010001", B"11011110", B"00001001",
B"11010101", B"11011011", B"11001110", B"11011100", B"00100000",
B"11110000", B"00011110", B"11010101", B"00101010", B"11011100",
B"00011100", B"11101010", B"11001111", B"00100101", B"00000100",
B"00011101", B"00011101", B"11111010", B"11101100", B"00110101",
B"00001101", B"11010101", B"11100011", B"11111110", B"00001101",
B"11011100", B"00100000", B"00001000", B"11011011", B"11100011",
B"00110000", B"00001000", B"11110110", B"00101001", B"11110110",
B"00100000", B"11011111", B"11100001", B"00011100", B"00111110",
B"00010101", B"11110111", B"11011111", B"00010010", B"00011111",
B"11111001", B"11110001", B"11111000", B"00100101", B"11100100",
B"11010101", B"11011000", B"00110110", B"00101000", B"11001010",
B"00100001", B"11100001", B"00001101", B"00110011", B"11100100",
B"00101001", B"01000111", B"11010111", B"11100010", B"11010101",
B"11111000", B"11101001", B"00100010", B"00101000", B"00110101",
B"00100110", B"00010000", B"11101011", B"00110101", B"10110110",
B"00011000", B"11000000", B"11001100", B"00111011", B"11010001",
B"11110101", B"00001101", B"00001001", B"00011010", B"00011000",
B"11100001", B"11100011", B"11011111", B"00111100", B"00010110",
B"00101000", B"11111110", B"00101011", B"11011100", B"00100111",
B"00100010", B"11010110", B"11111111", B"00100111", B"11011011",
B"00100100", B"00010011", B"11101001", B"11100100", B"00111000",
B"00101011", B"11111001", B"11010100", B"11100101", B"11011111",
B"11010001", B"00011110", B"00001101", B"11110101", B"11100111",
B"00011100", B"00011000", B"11011000", B"11100000", B"11010101",
B"11011010", B"11010001", B"00011010", B"00011010", B"11100110",
B"00010111", B"11110010", B"00100010", B"10111100", B"00100011",
B"11011111", B"11011000", B"11011011", B"00001100", B"00011011",
B"00011101", B"11100111", B"00001001", B"11001100", B"11100010",
B"11111101", B"00111110", B"00001100", B"11000010", B"10111001",
B"00100001", B"00011100", B"00110101", B"00011001", B"11011010",
B"00000011", B"11011011", B"11000101", B"11011111", B"11100011",
B"00101011", B"00110011", B"00101110", B"00111111", B"11111000",
B"11011111", B"00011110", B"00011101", B"00011100", B"00100101",
B"11000101", B"11101110", B"11100000", B"11101101", B"00011101",
B"00100000", B"00011010", B"00100000", B"11101001", B"11001110",
B"00100010", B"00010011", B"00010111", B"11001111", B"00011100",
B"11011101", B"11111001", B"11010010", B"11110000", B"11101000",
B"11101011", B"00011110", B"00001101", B"11101101", B"00110110",
B"11101100", B"11101001", B"00101001", B"00001110", B"00000111",
B"11011000", B"00011101", B"11100111", B"00100010", B"00001100",
B"11010010", B"00001100", B"11110100", B"11100010", B"11100110",
B"00100101", B"00101011", B"11101110", B"11011101", B"11110001",
B"11001011", B"00100010", B"00001111", B"00111001", B"00010101",
B"00110011", B"11110101", B"00100001", B"11100111", B"11100001",
B"11011010", B"00011000", B"00010110", B"11010111", B"00100010",
B"11011011", B"11001101", B"11001011", B"00010110", B"00110000",
B"11000100", B"11100100", B"11010001", B"00011100", B"11011110",
B"00100101", B"11101000", B"11000010", B"00011000", B"11011111",
B"00100010", B"00001100", B"11100011", B"11010100", B"11100000",
B"11100011", B"11101100", B"11011011", B"00011101", B"11011111",
B"10110111", B"11011011", B"00100000", B"00100000", B"11101000",
B"11100101", B"11100111", B"11010100", B"11101010", B"00010000",
B"00101101", B"01000011", B"00011111", B"00010111", B"00100011",
B"00011011", B"11100101", B"00101010", B"11001110", B"00010100",
B"11110011", B"00001111", B"10111100", B"00110000", B"11010111",
B"11011110", B"11101011", B"00110000", B"00010111", B"00010100",
B"00111011", B"00010101", B"11010001", B"00100100", B"11111100",
B"00100101", B"11000100", B"11110100", B"00000011", B"00010110",
B"00100100", B"11010011", B"00000101", B"11100110", B"00010110",
B"11100001", B"11111001", B"00110100", B"00100111", B"00010011",
B"00110111", B"11011101", B"11101111", B"00110110", B"11001010",
B"11101100", B"00111101", B"11001110", B"11110011", B"11101010",
B"11010001", B"11011100", B"00100110", B"11011100", B"00111111",
B"11100100", B"11100100", B"00111000", B"00100101", B"11001110",
B"00100011", B"00010010", B"00011100", B"11001110", B"00100010",
B"00000110", B"00010110", B"00000010", B"00100011", B"11011001",
B"11110110", B"00010110", B"00110100", B"11011001", B"11100001",
B"11010001", B"11110000", B"11011000", B"11100101", B"00100110",
B"00001010", B"00100110", B"00101100", B"00101111", B"00011011",
B"11001010", B"11100011", B"00101100", B"00010010", B"11100100",
B"11100011", B"11110001", B"11010111", B"00011001", B"00101110",
B"00010100", B"00101001", B"11011011", B"11000111", B"11100000",
B"11011011", B"00011010", B"11011010", B"00010010", B"11010110",
B"11011001", B"00101000", B"11101000", B"11010111", B"00101110",
B"11100111", B"00111001", B"11101001", B"11010111", B"00011010",
B"10111101", B"00011100", B"11000111", B"11100000", B"11100010",
B"00100011", B"00110010", B"11110000", B"11111000", B"00100011",
B"00010001", B"00100000", B"00010100", B"00000100", B"00100011",
B"00101001", B"00111001", B"00110000", B"11101011", B"11100110",
B"00101110", B"00101110", B"11111100", B"11011101", B"11011100",
B"00011101", B"00100101", B"00011101", B"11011101", B"00011110",
B"11100011", B"00111001", B"00011110", B"00100001", B"00010000",
B"11111010", B"00100001", B"11100001", B"00010010", B"11101011",
B"00000101", B"00100111", B"11010100", B"11101101", B"00101111",
B"00101011", B"11010011", B"11110000", B"11100111", B"00100100",
B"11010110", B"00100111", B"11101010", B"11100111", B"00010100",
B"00100010", B"11001111", B"00110010", B"00110110", B"00110100",
B"11100001", B"00111000", B"11110011", B"11111100", B"01010000",
B"00100111", B"00011010", B"00010101", B"00010011", B"00100100",
B"00001110", B"00110100", B"00101111", B"00100101", B"00000111",
B"00110001", B"00101000", B"00010101", B"00100101", B"00011101",
B"00010010", B"11001011", B"11100010", B"11011000", B"11011011",
B"00000100", B"11101001", B"00010110", B"11100001", B"11101000",
B"00000110", B"11100001", B"00010111", B"11100000", B"11100101",
B"00110100", B"11001001", B"11011010", B"00100111", B"00100111",
B"00111001", B"00111000", B"11011010", B"11000001", B"10111111",
B"11011001", B"11100001", B"00010101", B"00101000", B"11100011",
B"00010011", B"11101111", B"11101101", B"11011010", B"11101000",
B"11101001", B"00011111", B"00100111", B"00011110", B"00110100",
B"00010000", B"00100010", B"11100001", B"00101101", B"00101011",
B"11011001", B"11100110", B"00111010", B"00111000", B"11001100",
B"11111001", B"00001001", B"11001001", B"00001101", B"00101000",
B"11101111", B"11010000", B"11100111", B"00101010", B"11010100",
B"00101110", B"11011010", B"11001010", B"00100110", B"11011111",
B"00111000", B"00001111", B"00011110", B"11100100", B"00010001",
B"11100011", B"00010011", B"11110101", B"00001010", B"11010111",
B"00010110", B"11100101", B"11011010", B"00010011", B"00100011",
B"11011000", B"00001110", B"11110101", B"11011111", B"11110001",
B"00110001", B"00110111", B"11011100", B"11101011", B"00101011",
B"00110100", B"11100010", B"11100011", B"11100110", B"11101111",
B"11010011", B"00101100", B"00100000", B"11101001", B"00011001",
B"01000000", B"11010110", B"11100001", B"00011100", B"11001110",
B"11011100", B"11011111", B"11011011", B"00100110", B"00011110",
B"00100111", B"00010100", B"11101111", B"00011010", B"11011010",
B"11101100", B"11101001", B"00101111", B"00100111", B"11110010",
B"00011101", B"11100111", B"11011011", B"11011111", B"11101000",
B"00101001", B"11000000", B"00001001", B"11110100", B"00110111",
B"11010110", B"00011000", B"11011110", B"11100101", B"00011010",
B"00111111", B"11100001", B"00011011", B"00100000", B"11101001",
B"11011111", B"11000010", B"11100000", B"11001010", B"11011011",
B"11101100", B"11101000", B"00101001", B"00011110", B"11010111",
B"00010001", B"11001111", B"11100100", B"11010110", B"11100111",
B"00101000", B"00110010", B"11011001", B"00011111", B"11101101",
B"00100011", B"11001101", B"11011110", B"00001001", B"11011011",
B"11001110", B"11001111", B"10111001", B"11110010", B"11010111",
B"00101000", B"11011011", B"00011001", B"11101010", B"11100100",
B"00010111", B"11110000", B"00011010", B"00010011", B"11001001",
B"11110010", B"11110100", B"11100011", B"11010111", B"00101011",
B"11011000", B"00100001", B"11100101", B"11001001", B"11011111",
B"00110111", B"00101001", B"00010000", B"11010111", B"00010011",
B"11011000", B"11010010", B"11110010", B"00100111", B"00101100",
B"11101101", B"11100010", B"00010110", B"11101010", B"00100110",
B"11011101", B"11010010", B"00101000", B"00000011", B"00010100",
B"00011011", B"11101111", B"11110110", B"11010101", B"11010100",
B"11100001", B"00111001", B"11100110", B"00011011", B"11100111",
B"11101111", B"11110001", B"00010011", B"00001110", B"00011101",
B"00001011", B"11110100", B"11010000", B"00101000", B"00101110",
B"11011000", B"11101111", B"11110100", B"00001110", B"00010000",
B"11010111", B"11100101", B"00100101", B"11101010", B"11101001",
B"00100100", B"11101101", B"00110000", B"11110011", B"11100000",
B"11100111", B"00100110", B"00010000", B"00010001", B"11000001",
B"11001010", B"00010001", B"11101101", B"11101000", B"11110010",
B"11100111", B"11101110", B"11010110", B"11010001", B"11101100",
B"00011100", B"00011111", B"00011110", B"00010001", B"11101100",
B"11011110", B"00100101", B"11110111", B"00100010", B"11101010",
B"11010000", B"00110100", B"00010001", B"11111001", B"00001110",
B"00000100", B"11100000", B"00000110", B"00011011", B"11010111",
B"11110111", B"11011110", B"11011010", B"10111110", B"00000100",
B"00110001", B"00101101", B"01001100", B"11101110", B"00100100",
B"11101100", B"11100111", B"11010010", B"00011110", B"00100001",
B"11110000", B"11100000", B"00110000", B"00100010", B"11010110",
B"11010010", B"11101110", B"11110101", B"11010001", B"11110101",
B"00101100", B"00101011", B"10110000", B"11101010", B"00000001",
B"11100011", B"11101010", B"00010111", B"11010110", B"00001000",
B"11110100", B"11001110", B"00101011", B"11100010", B"00000011",
B"11011001", B"00101001", B"00000011", B"00100000", B"11001101",
B"10111110", B"00100101", B"00111011", B"00011111", B"11110000",
B"00101110", B"11100001", B"11100111", B"11011111", B"00100101",
B"00100110", B"00011101", B"00101101", B"11000001", B"00001110",
B"00100101", B"11101001", B"11110011", B"00011010", B"11010101",
B"00001010", B"00000101", B"00100011", B"11101101", B"00011101",
B"11011111", B"11111100", B"11010011", B"00100011", B"11010101",
B"11001111", B"11100010", B"00100111", B"00100001", B"11101011",
B"00100101", B"11100110", B"00011101", B"00010001", B"11010011",
B"00110000", B"00000100", B"11100001", B"00100000", B"11000010",
B"11011111", B"11100111", B"11011011", B"00110111", B"11010111",
B"00000100", B"00010101", B"00101010", B"11110101", B"11101000",
B"00000000", B"00101100", B"11011011", B"11010000", B"11101000",
B"11011101", B"00000110", B"11001110", B"00110000", B"11011001",
B"11010110", B"00000100", B"11010001", B"00000011", B"11010100",
B"11111111", B"00100110", B"00000111", B"00101101", B"11111011",
B"00100111", B"00011101", B"00010101", B"11011101", B"00000111",
B"11010111", B"00100110", B"11101001", B"00001111", B"11000001",
B"00010000", B"11100010", B"11100101", B"10110110", B"00101110",
B"00100010", B"00001111", B"00011100", B"11010111", B"11010011",
B"00111011", B"00100011", B"11000000", B"11101100", B"11100110",
B"11110100", B"00100111", B"00011100", B"11011000", B"00010001",
B"11101110", B"00010010", B"11101111", B"11010111", B"00011010",
B"11110000", B"00010101", B"11101100", B"11010001", B"00011101",
B"00001111", B"00100010", B"00110011", B"11100110", B"00010010",
B"11100010", B"00101100", B"11010101", B"00100100", B"00100110",
B"00001001", B"00101000", B"00100000", B"00011110", B"00100001",
B"00101101", B"00110110", B"11010000", B"11100000", B"11010010",
B"11100011", B"00100100", B"11011010", B"00100101", B"11100010",
B"00001101", B"11101110", B"00000110", B"11100100", B"11100100",
B"01000000", B"00000101", B"11100001", B"00101001", B"00011110",
B"00011001", B"11010100", B"00100110", B"11100001", B"00001111",
B"00010111", B"00100111", B"11001101", B"00100011", B"01001101",
B"11101010", B"11100010", B"00011010", B"00011101", B"00101000",
B"00100101", B"00010011", B"00101001", B"00111001", B"00101010",
B"00000010", B"00101101", B"00001001", B"11010000", B"11000100",
B"00100110", B"00101010", B"11010010", B"11110110", B"00001010",
B"11100101", B"00011000", B"00010111", B"11101001", B"00101100",
B"00010111", B"11001111", B"00001011", B"11100100", B"00110000",
B"00011111", B"11011010", B"00101001", B"00100001", B"11100001",
B"00111010", B"00100111", B"00110101", B"00100011", B"11111110",
B"00101101", B"00010111", B"00011110", B"00110011", B"11001000",
B"11101001", B"11001110", B"11100000", B"00110001", B"11011111",
B"00100101", B"11101101", B"00010111", B"00001101", B"00101011",
B"11101001", B"00001111", B"11110010", B"00001101", B"00011100",
B"11011111", B"00011011", B"11100100", B"11010001", B"11011100",
B"00010111", B"00010011", B"11001110", B"00110001", B"00011101",
B"11011111", B"11100011", B"11100111", B"11010111", B"11101011",
B"11110010", B"11101100", B"11010111", B"11101001", B"00110110",
B"00010000", B"00001110", B"00011110", B"11001111", B"11100011",
B"11110101", B"00101100", B"00100001", B"11100100", B"00010001",
B"11010000", B"00010001", B"00000111", B"11100000", B"00110100",
B"00001001", B"11010111", B"11010011", B"00110101", B"00011111",
B"00010100", B"11011100", B"00011010", B"00011100", B"11000010",
B"11101110", B"01001001", B"00110000", B"00001011", B"00011000",
B"00011001", B"00011111", B"00111101", B"00010001", B"00011100",
B"11000100", B"11101000", B"00110011", B"00101001", B"00100001",
B"00100111", B"11010001", B"11100000", B"00100010", B"00101111",
B"11001101", B"00010110", B"00101011", B"11100011", B"11001000",
B"00000001", B"00101011", B"11000101", B"11001000", B"11010001",
B"11011111", B"00100101", B"11100010", B"00101100", B"00111111",
B"01000000", B"00100001", B"00101001", B"01000111", B"00010100",
B"00000100", B"00010101", B"11011110", B"00000000", B"11101011",
B"11101000", B"11101010", B"00010000", B"00011111", B"11010010",
B"11100101", B"11011010", B"11010001", B"00101110", B"00011101",
B"11101101", B"00011111", B"11101001", B"11010111", B"11010000",
B"00100110", B"11110100", B"00100101", B"00000111", B"11101101",
B"00110001", B"11101001", B"11011011", B"11001001", B"00001100",
B"00000101", B"11001010", B"00100111", B"11010111", B"00100111",
B"00011000", B"00101110", B"00011110", B"00101100", B"00011010",
B"00010110", B"00101110", B"00110100", B"00010000", B"11110110",
B"00101111", B"00100001", B"11101101", B"11010001", B"00011100",
B"11000110", B"00011011", B"00011110", B"11010010", B"11111010",
B"00000101", B"11001010", B"11011010", B"00101000", B"00011011",
B"11100101", B"11100001", B"11111101", B"00100111", B"11100000",
B"11100100", B"11110110", B"11101101", B"11010111", B"11011110",
B"00011100", B"00111101", B"00011000", B"00010111", B"11101011",
B"11101111", B"00001110", B"00010111", B"00100100", B"00100001",
B"11101001", B"11011101", B"00100100", B"00111001", B"00011111",
B"00101111", B"00000010", B"00100001", B"00011110", B"00110000",
B"00100100", B"11100100", B"00000100", B"11011000", B"11000111",
B"11100011", B"00101100", B"11111001", B"11101000", B"11011111",
B"00111010", B"11001111", B"00000011", B"11100100", B"11000110",
B"01000010", B"11111010", B"00110111", B"00011101", B"11010101",
B"00010011", B"11000111", B"00011011", B"11101110", B"11100011",
B"11001010", B"11111000", B"00011100", B"00100111", B"11100000",
B"00011001", B"11001110", B"00110101", B"00110011", B"00100000",
B"11101110", B"00100001", B"11011111", B"00100011", B"11010110",
B"11010101", B"11100000", B"00010010", B"11101001", B"00101100",
B"11110111", B"11101000", B"00001001", B"00001101", B"00011100",
B"00100100", B"00000101", B"00110010", B"00000111", B"00001010",
B"00011100", B"00011101", B"00101001", B"11011010", B"00011100",
B"00001100", B"11010010", B"11100000", B"00000001", B"00101011",
B"11010010", B"11010100", B"00011010", B"11011000", B"11100000",
B"11100101", B"11010001", B"00000100", B"00010011", B"00000011",
B"00001100", B"00000111", B"00100001", B"11111110", B"00011011",
B"00101000", B"00100011", B"11010100", B"00010011", B"00000000",
B"11101000", B"11010110", B"00101101", B"00011100", B"00001111",
B"11010001", B"11011011", B"00011001", B"11111110", B"11101000",
B"11101110", B"00011001", B"11110010", B"00111000", B"00011101",
B"11110110", B"00011101", B"00100110", B"11010111", B"11111001",
B"00011110", B"00110000", B"00011000", B"11101100", B"00110000",
B"11011010", B"00100100", B"11010100", B"00100101", B"11100000",
B"11100011", B"11101000", B"11111100", B"00011100", B"11001010",
B"00011001", B"00011001", B"00011011", B"11101000", B"00110010",
B"11101011", B"00101110", B"11010101", B"11101011", B"11001110",
B"00010011", B"11110011", B"00100100", B"11001001", B"11100111",
B"00100101", B"10111101", B"11001101", B"11010100", B"00111010",
B"00100101", B"11101000", B"00011010", B"11101000", B"11101101",
B"01000100", B"00101000", B"00101100", B"11010111", B"00011101",
B"11000001", B"00101111", B"00011100", B"00101001", B"00101001",
B"11010001", B"00111010", B"11010110", B"00001011", B"11001101",
B"00011110", B"00110000", B"11110110", B"11101000", B"00011101",
B"00001011", B"11101101", B"11101111", B"01000111", B"11110000",
B"00100110", B"00011011", B"11100010", B"00011110", B"00100000",
B"11100100", B"11010100", B"00011010", B"00111110", B"11110110",
B"11001100", B"11101010", B"11011001", B"11011011", B"00011101",
B"11101001", B"00011000", B"11100100", B"11011011", B"11010110",
B"00100100", B"00100000", B"11011111", B"00101010", B"00011000",
B"11100011", B"11100011", B"11011100", B"11000100", B"11101000",
B"00011001", B"00010001", B"11110000", B"00001010", B"00101000",
B"11110001", B"11010111", B"00010101", B"11110010", B"00011110",
B"11011010", B"00011000", B"11101010", B"11100010", B"00010000",
B"00111000", B"00100101", B"01000000", B"00000110", B"11011010",
B"00110001", B"11011001", B"00101001", B"11011101", B"00010101",
B"00100010", B"00101111", B"00100000", B"00100110", B"00110111",
B"00100101", B"00001111", B"11010111", B"00011110", B"11010010",
B"00011011", B"00000010", B"11110110", B"00101001", B"00100011",
B"11100111", B"11011001", B"00000011", B"00110100", B"01000000",
B"11100111", B"00110101", B"11100010", B"00110001", B"11011000",
B"11101111", B"11110110", B"11101000", B"00100000", B"11111111",
B"00011110", B"11110101", B"11011011", B"00010010", B"11100000",
B"00110000", B"11100010", B"11011101", B"00011011", B"11110100",
B"11110010", B"11100000", B"11011110", B"00000100", B"00011111",
B"00011001", B"00011000", B"11110010", B"11010010", B"11100001",
B"11000101", B"00010000", B"11111011", B"00001000", B"01001011",
B"00110000", B"11011111", B"00100001", B"00101011", B"11110101",
B"00101001", B"00100100", B"11111000", B"00001001", B"11110101",
B"11111001", B"11001101", B"00110001", B"00100101", B"00010101",
B"00101011", B"11010111", B"11011111", B"00110111", B"11010011",
B"00010011", B"11100010", B"11101001", B"00100001", B"11100111",
B"11111110", B"11110100", B"00011110", B"00101100", B"11010110",
B"00101010", B"11100010", B"00001110", B"11010010", B"00001100",
B"11010101", B"11011100", B"11011101", B"00010010", B"00100011",
B"00100100", B"11100111", B"11001001", B"11011011", B"11100110",
B"00011101", B"11100110", B"00011000", B"00100011", B"00011110",
B"11010101", B"11100001", B"00010100", B"00010101", B"11111110",
B"11110000", B"11011011", B"11010101", B"00100111", B"11000010",
B"00011000", B"11100100", B"11011111", B"00101010", B"11011100",
B"11111000", B"00100101", B"00101011", B"00100010", B"00111100",
B"11010110", B"00000101", B"11001100", B"00011010", B"11100110",
B"11011100", B"11101101", B"00001101", B"00010110", B"11101110",
B"11011110", B"11010100", B"10111100", B"00100110", B"00110100",
B"10111110", B"00101000", B"11111001", B"11100010", B"11111001",
B"11111010", B"00001100", B"00110001", B"11100000", B"00011000",
B"11100111", B"00101100", B"00011100", B"00011111", B"11011101",
B"00011110", B"11000000", B"00101101", B"11001001", B"00011111",
B"11010101", B"00111101", B"11011010", B"11011011", B"11011101",
B"00100010", B"11110111", B"11011100", B"11010000", B"11001010",
B"00011010", B"00111110", B"11010000", B"00101010", B"11100101",
B"11010001", B"00011011", B"00101111", B"00101111", B"11101100",
B"00011000", B"11100000", B"00010100", B"00011000", B"11011100",
B"11001111", B"11011110", B"11010011", B"00010111", B"11100000",
B"00110010", B"00011011", B"00010011", B"11010001", B"00011110",
B"00011011", B"11110000", B"11000101", B"00101001", B"00111000",
B"11101101", B"11010101", B"00101101", B"11011100", B"11001001",
B"11101011", B"11011100", B"00001101", B"11101111", B"10110111",
B"00000101", B"11110000", B"00101100", B"11001110", B"00010110",
B"11101111", B"00011111", B"11100010", B"11011100", B"11100110",
B"00010010", B"00101101", B"11011110", B"00010010", B"11010010",
B"00001100", B"00101001", B"11011101", B"00101001", B"00011110",
B"11110101", B"11011011", B"11100101", B"11111111", B"00011100",
B"00101000", B"11001111", B"00101011", B"11110110", B"00010010",
B"00010111", B"11011110", B"00001100", B"00011001", B"11100001",
B"11010111", B"00000111", B"00011110", B"00101100", B"00001111",
B"11001010", B"00011100", B"11100001", B"00101001", B"11011100",
B"11010110", B"11100100", B"11101110", B"00100000", B"00000101",
B"11001101", B"00101101", B"11011110", B"00011100", B"11100000",
B"11001011", B"00011111", B"11011001", B"11010101", B"11111111",
B"11111100", B"11100111", B"00101100", B"11001110", B"00010100",
B"11010100", B"11010000", B"00101011", B"00100011", B"00101001",
B"11101000", B"00111010", B"00000010", B"11011100", B"11110111",
B"00010000", B"00101001", B"11101010", B"00001010", B"00001100",
B"00101110", B"11100111", B"00010101", B"11101000", B"00010000",
B"00100110", B"11100111", B"11100010", B"00101011", B"00000011",
B"11011010", B"11101010", B"11111001", B"11101101", B"00010010",
B"11011001", B"00101011", B"11010010", B"11110011", B"00001011",
B"00101110", B"00101100", B"11100110", B"00100001", B"11110011",
B"11110010", B"11110000", B"00011010", B"00101011", B"11010000",
B"11100011", B"00100100", B"00010111", B"00011001", B"00110100",
B"11100001", B"11010111", B"00001101", B"01000110", B"00011000",
B"11101011", B"00011001", B"11010111", B"00010100", B"11110100",
B"11010110", B"00001011", B"00110010", B"11011101", B"11010100",
B"11011001", B"11001010", B"11101000", B"11100011", B"11001100",
B"00000001", B"00001100", B"00010010", B"11101100", B"00000000",
B"11110010", B"11010011", B"00110101", B"00011101", B"00001010",
B"11001101", B"00010110", B"11101111", B"00111010", B"11110101",
B"00100101", B"00001011", B"00110001", B"11110001", B"00111010",
B"11101111", B"00101010", B"11010000", B"11101001", B"11001111",
B"00110101", B"00011010", B"11110110", B"00110000", B"11000111",
B"00110001", B"11000010", B"01000001", B"11011101", B"11100100",
B"11110101", B"00001001", B"00100110", B"00101111", B"11110110",
B"00101010", B"01001011", B"00110100", B"00001101", B"00001101",
B"00011100", B"11100010", B"00101101", B"00101100", B"00011010",
B"11101010", B"00011110", B"11011110", B"00100101", B"11101110",
B"11100010", B"00011100", B"11100001", B"00010010", B"11110100",
B"00001010", B"00000001", B"11110110", B"11001100", B"00001100",
B"11011100", B"00011010", B"11011010", B"11111001", B"00101101",
B"00011000", B"11101000", B"00010111", B"11001001", B"11100000",
B"11011101", B"00001010", B"00100111", B"00010001", B"00010111",
B"00100111", B"11000001", B"00010111", B"11001011", B"00000011",
B"11100110", B"11001001", B"11101001", B"00111010", B"00001010",
B"00101100", B"00110000", B"11001010", B"11011001", B"11011010",
B"11000111", B"00000110", B"11011011", B"00101100", B"11111001",
B"11101010", B"00000110", B"11101010", B"11101011", B"11100100",
B"00001100", B"00111111", B"11010100", B"01001010", B"11010001",
B"00011101", B"11101011", B"00111101", B"00011110", B"11110010",
B"00100001", B"00110101", B"11110000", B"11101111", B"11010010",
B"00101101", B"11011100", B"00100110", B"11010010", B"11000100",
B"00100110", B"00010001", B"11000001", B"00011101", B"00100000",
B"11100011", B"00101000", B"00010011", B"11010010", B"11011101",
B"00011010", B"11011000", B"11010000", B"11001010", B"00011110",
B"00101001", B"11001110", B"00100111", B"00011110", B"11110010",
B"00110000", B"00000111", B"11011000", B"11100010", B"00100001",
B"11100000", B"11001010", B"11001111", B"00110011", B"00100110",
B"11011010", B"00001011", B"11010101", B"11100001", B"11110111",
B"00011011", B"00011110", B"00001001", B"00100010", B"11101111",
B"11110100", B"00110110", B"11111101", B"11101100", B"00010011",
B"00001010", B"11100001", B"11010110", B"11110111", B"11011000",
B"11111111", B"00101000", B"11011110", B"00100011", B"11100000",
B"11010010", B"00010110", B"00010011", B"00101110", B"00101001",
B"11110111", B"00010110", B"11011010", B"00011011", B"11101110",
B"00011110", B"11110101", B"11100011", B"11001110", B"11011000",
B"00101111", B"11100000", B"00110100", B"00001011", B"00011010",
B"00010110", B"11110010", B"00111101", B"11100101", B"00101001",
B"11011111", B"00110000", B"11100101", B"00110011", B"00101100",
B"11010000", B"00010111", B"00100101", B"11010000", B"00100110",
B"11100111", B"11101010", B"00100011", B"11101101", B"11010111",
B"11110101", B"11101101", B"00011101", B"11011000", B"00110001",
B"00011100", B"11011010", B"00100011", B"00010110", B"11101110",
B"00100010", B"11001011", B"11110010", B"00101001", B"11011001",
B"11010110", B"11010100", B"11011100", B"11011001", B"11101110",
B"11100110", B"00100100", B"00101111", B"11111010", B"00011001",
B"11101001", B"11010000", B"00110101", B"11010100", B"11000110",
B"11100100", B"00010011", B"00001001", B"11101110", B"11011000",
B"00100100", B"11010001", B"00010000", B"11010010", B"11011100",
B"00010111", B"00010001", B"00100111", B"11010100", B"00001110",
B"00001001", B"11000111", B"00011001", B"00010100", B"11011100",
B"11011010", B"00101011", B"11101110", B"11010011", B"11101110",
B"00110000", B"00111001", B"11011110", B"00011100", B"11011000",
B"00000111", B"00011101", B"11011000", B"10111010", B"11011010",
B"11101101", B"11110011", B"00010111", B"11000011", B"00011110",
B"11010100", B"00000110", B"00011010", B"00101110", B"00111111",
B"00011000", B"11010111", B"00010011", B"00011010", B"11011110",
B"11110011", B"00010010", B"11011011", B"11101111", B"00010110",
B"00111000", B"00010111", B"00011010", B"11100110", B"11101001",
B"11110111", B"11100001", B"11011100", B"00100010", B"00100011",
B"11101111", B"00101101", B"11001010", B"00000000", B"00100001",
B"11100100", B"11101110", B"11111001", B"00011010", B"11101010",
B"11001100", B"00100000", B"00011010", B"11101101", B"00011100",
B"00010110", B"11010110", B"11001110", B"00101000", B"00000000",
B"11000110", B"00110101", B"00011110", B"00010111", B"00100000",
B"11110001", B"11011011", B"00101000", B"11100100", B"00000111",
B"00100001", B"11101111", B"11011011", B"11001001", B"11100010",
B"00101100", B"00100000", B"11011011", B"11100010", B"00100000",
B"00001000", B"11010011", B"11010100", B"11101100", B"11101100",
B"11101010", B"00110010", B"00101100", B"11100110", B"00011111",
B"11100111", B"11100010", B"00110010", B"11100010", B"00011010",
B"11011101", B"11010111", B"00011011", B"00100011", B"00000011",
B"11100011", B"00000110", B"11100001", B"11001101", B"00110111",
B"11001110", B"00100011", B"00110001", B"11011001", B"11110000",
B"11101111", B"11011110", B"00001010", B"11111000", B"00100110",
B"00010110", B"00011001", B"11010101", B"00110100", B"00101000",
B"11101101", B"11100010", B"11111111", B"11001101", B"11100100",
B"00100011", B"11011100", B"00001110", B"11011111", B"11011011",
B"00001110", B"11011101", B"01000000", B"00010100", B"00001001",
B"11110001", B"00001111", B"11110011", B"00101111", B"11011010",
B"11111001", B"11001010", B"11011110", B"00111111", B"00000000",
B"00111010", B"00100101", B"11001111", B"00011000", B"11101000",
B"00011010", B"11001001", B"11110111", B"00011100", B"00011000",
B"11010100", B"11110100", B"00011110", B"11000110", B"00100110",
B"11010000", B"11011101", B"00011101", B"11010111", B"00110100",
B"01000000", B"11110100", B"11100000", B"11011111", B"11100110",
B"11100110", B"11100111", B"00011100", B"00110001", B"11100010",
B"00100011", B"11100010", B"11100000", B"11111111", B"00100111",
B"11100000", B"11100100", B"00010010", B"11001001", B"11000010",
B"11100110", B"11100101", B"11101001", B"00011001", B"11011111",
B"00101110", B"11001100", B"11101011", B"00010001", B"11111001",
B"00101111", B"00011011", B"11011100", B"11010011", B"00001001",
B"00100011", B"11100000", B"11110000", B"00011111", B"00011001",
B"11100001", B"00000100", B"00100111", B"11101101", B"11100100",
B"00011101", B"11010010", B"00100001", B"00011000", B"11010100",
B"11011001", B"11011100", B"11100010", B"11100011", B"00011111",
B"00010011", B"00001110", B"00011110", B"00100011", B"00011011",
B"00010100", B"00110000", B"00101000", B"11001101", B"00100000",
B"11111010", B"11100111", B"11100101", B"00011101", B"00110101",
B"11111110", B"11010101", B"00011101", B"00011010", B"11101011",
B"00100110", B"00010001", B"11101101", B"10111110", B"00010110",
B"11010101", B"00101100", B"11100100", B"11010010", B"00000110",
B"00001100", B"11101100", B"11011110", B"11110001", B"00101001",
B"00110100", B"11001100", B"00100010", B"11101000", B"11011101",
B"00101101", B"00001001", B"00000101", B"11110010", B"11100000",
B"11100011", B"11011001", B"00010000", B"11100011", B"11001010",
B"00010011", B"11011000", B"11101011", B"11011111", B"11101110",
B"11001111", B"00011001", B"11001100", B"11110111", B"11100010",
B"00110010", B"00001100", B"11011111", B"11100011", B"00111100",
B"00100010", B"00011001", B"11101001", B"00100110", B"11100110",
B"00110011", B"11011101", B"11000010", B"00111010", B"11100000",
B"00100110", B"11111011", B"11100111", B"00010101", B"11010100",
B"00011100", B"11011010", B"00101111", B"11011101", B"11011001",
B"00110101", B"11111110", B"00011111", B"00100000", B"11101011",
B"00101111", B"00011000", B"11001110", B"11011000", B"00101011",
B"11010010", B"11101111", B"11011001", B"11010110", B"00011001",
B"00011110", B"00010101", B"00110110", B"11010110", B"00101001",
B"00110010", B"00011100", B"11100010", B"00100111", B"11010100",
B"00100111", B"11110010", B"00100110", B"11010011", B"11001100",
B"11100101", B"00110100", B"00100011", B"11100011", B"00001111",
B"11000101", B"11001000", B"00101001", B"11100010", B"11011001",
B"11010101", B"11011000", B"11111101", B"00111000", B"00100011",
B"00100101", B"11110111", B"00100101", B"11011101", B"00110001",
B"11011110", B"00001011", B"11011100", B"00001110", B"11011100",
B"11011101", B"00110001", B"00101000", B"00110111", B"00001000",
B"11010111", B"00011100", B"00011011", B"11100010", B"11011000",
B"00110100", B"11011010", B"11011001", B"00100001", B"00010111",
B"00111001", B"00101111", B"11001110", B"11000100", B"00010101",
B"00101010", B"00011000", B"00001111", B"00011011", B"00010110",
B"00100110", B"01000000", B"11100101", B"00011100", B"00100101",
B"11011111", B"11011000", B"11101111", B"11101010", B"11100001",
B"00101001", B"11011100", B"11011101", B"00100101", B"11010100",
B"11010011", B"11011111", B"11011111", B"11101011", B"11100001",
B"11011001", B"11110111", B"00010111", B"00100011", B"00110010",
B"00011100", B"11001110", B"00001111", B"00110000", B"00101001",
B"11011000", B"00101100", B"11011100", B"00010011", B"00110110",
B"11010111", B"00101101", B"00011110", B"11010010", B"00001101",
B"00010100", B"11000011", B"11100100", B"11100000", B"11001011",
B"11111100", B"00110100", B"00101000", B"00100000", B"00001001",
B"11110110", B"11110101", B"11110001", B"11011011", B"00101101",
B"11011100", B"10111011", B"00001001", B"11101100", B"00101110",
B"11001001", B"11011011", B"10111110", B"00011101", B"00110010",
B"11101111", B"00001101", B"11110111", B"00001011", B"00011010",
B"00100111", B"00010101", B"00010001", B"00001100", B"11011000",
B"00011000", B"11000000", B"00001101", B"11111110", B"11100000",
B"00110011", B"00111000", B"00100001", B"00110001", B"00110011",
B"11101000", B"00100000", B"11011011", B"00100100", B"11100111",
B"00101001", B"00010110", B"11001010", B"11010110", B"00000011",
B"00010110", B"11011101", B"11011100", B"00000011", B"00100010",
B"11100100", B"11100010", B"11101000", B"11101110", B"01000100",
B"11100110", B"11101110", B"00011000", B"11100011", B"00000000",
B"11010100", B"00001111", B"00011110", B"11010010", B"00111100",
B"00011100", B"11011011", B"00011100", B"00011101", B"11011101",
B"11101001", B"00100010", B"00101000", B"11100110", B"11001001",
B"11110000", B"11010101", B"11110010", B"11110001", B"11100111",
B"11011010", B"00011111", B"11011000", B"00011011", B"11011001",
B"11110110", B"00001100", B"00011111", B"11011111", B"11111100",
B"00010001", B"00100001", B"11101011", B"00011110", B"11010101",
B"00011110", B"11100110", B"11011100", B"11010011", B"00101000",
B"00100111", B"11011110", B"00110010", B"11100110", B"00100110",
B"11110000", B"00101101", B"11110011", B"11100000", B"11101011",
B"00110100", B"00011110", B"11101100", B"00101000", B"11101010",
B"11111110", B"11001111", B"11100011", B"00101101", B"00100001",
B"11100110", B"11010101", B"00100011", B"00100110", B"00111101",
B"00100111", B"11100111", B"11011001", B"11010111", B"00011011",
B"10111101", B"00111011", B"11100011", B"11001001", B"01000101",
B"00000101", B"11011100", B"11101010", B"10110001", B"00001001",
B"00011101", B"11011011", B"00110101", B"11101110", B"11010011",
B"11010001", B"00011011", B"11011000", B"00100001", B"11100010",
B"11100100", B"00011111", B"00100110", B"00101100", B"00001111",
B"11000100", B"11110001", B"11100000", B"00110000", B"11011110",
B"00000100", B"11100111", B"00011111", B"11001010", B"11010011",
B"11011101", B"00100101", B"00010011", B"00011101", B"00100110",
B"00001001", B"11010110", B"00101000", B"11011011", B"00001100",
B"11110001", B"00101111", B"11110010", B"11100011", B"00011000",
B"11111001", B"11000011", B"11100110", B"11110101", B"11100101",
B"11011001", B"00001111", B"11010111", B"00111001", B"11100101",
B"10111010", B"00101110", B"11111111", B"11100000", B"11101101",
B"11100001", B"11110001", B"00001100", B"11101101", B"00101110",
B"11011110", B"11010111", B"00011001", B"00010011", B"00100000",
B"00100111", B"11100110", B"11100100", B"11101011", B"11100110",
B"11110001", B"11100001", B"00001110", B"00101010", B"01000000",
B"00110000", B"11011001", B"11011000", B"11101011", B"11000011",
B"11111111", B"00111000", B"00100000", B"00011001", B"00100001",
B"00101010", B"11100111", B"11011111", B"11111110", B"00100101",
B"11001010", B"11000011", B"00000010", B"11001111", B"11111100",
B"11010010", B"11100100", B"00101101", B"11000010", B"00100010",
B"00100010", B"00001010", B"00101110", B"00110101", B"00000100",
B"00000101", B"00011101", B"00100011", B"00110000", B"11010111",
B"11011000", B"00101111", B"11011001", B"11111110", B"11101010",
B"11100100", B"00100011", B"11011110", B"00101110", B"00010101",
B"11000100", B"00001110", B"00100111", B"11110101", B"11001010",
B"00010101", B"00000111", B"11001100", B"11011010", B"11101111",
B"11000010", B"11101110", B"11101000", B"00001110", B"00001101",
B"11100000", B"11011011", B"11001110", B"11101000", B"11010011",
B"00111110", B"11001111", B"00011101", B"00011010", B"11100000",
B"00011111", B"00100111", B"11011111", B"00011111", B"00001011",
B"11110011", B"01001001", B"00101001", B"11000111", B"11110101",
B"00100011", B"10111111", B"00101100", B"11001101", B"11100101",
B"11001110", B"00001101", B"00000001", B"00001001", B"00101010",
B"01000101", B"11000010", B"00101010", B"00100000", B"11100111",
B"11100111", B"00001010", B"11101000", B"11101101", B"00011000",
B"11110010", B"00010011", B"11001001", B"11101001", B"00010110",
B"01000100", B"00010110", B"00100100", B"01000011", B"00010010",
B"00010100", B"00011101", B"00101001", B"11111110", B"11111111",
B"11101110", B"00001010", B"00001101", B"11100010", B"00101111",
B"11101111", B"00010001", B"11100010", B"11111010", B"00101001",
B"11101001", B"00001101", B"11001101", B"11111000", B"11101010",
B"11010011", B"11011011", B"11010000", B"00110110", B"01000000",
B"00001101", B"00100011", B"11101000", B"11000111", B"00011100",
B"11011110", B"00101001", B"11010010", B"11001111", B"00101111",
B"00100000", B"00001110", B"11010010", B"11101010", B"00010000",
B"00001101", B"11001111", B"11010010", B"00010110", B"11011111",
B"11100100", B"11011010", B"11000100", B"00101110", B"10111101",
B"00000010", B"11001011", B"11110010", B"00100010", B"00011101",
B"00101101", B"00111011", B"11100110", B"11101101", B"11100011",
B"11100001", B"11101111", B"00001100", B"00111000", B"11100000",
B"00100111", B"11111001", B"11011111", B"00011011", B"11101100",
B"11100100", B"11000101", B"00001010", B"00011101", B"11110001",
B"11101000", B"11011010", B"00010100", B"11100011", B"00100111",
B"11001000", B"11101001", B"00010010", B"00100110", B"00101000",
B"00011011", B"11010111", B"00101010", B"11011111", B"00011011",
B"11001001", B"10111000", B"11011001", B"00010101", B"11110110",
B"00101100", B"11011000", B"11100001", B"00001111", B"00110000",
B"00101111", B"11011110", B"11010011", B"00100000", B"00101000",
B"11010101", B"11100001", B"00100010", B"00011110", B"11000011",
B"00110000", B"11111010", B"11101100", B"11101101", B"00100101",
B"11011110", B"00001011", B"11101101", B"11011110", B"11111001",
B"00010111", B"00110000", B"11001111", B"00100000", B"00011100",
B"11111101", B"11011101", B"00001100", B"00100011", B"11010100",
B"11010010", B"00101011", B"00101110", B"00111011", B"11000100",
B"00110100", B"11101001", B"00100011", B"11101110", B"11101011",
B"11001100", B"00100011", B"00000001", B"00011001", B"00010011",
B"11101001", B"11001010", B"00100011", B"00001110", B"00100000",
B"11011000", B"00011101", B"11011100", B"00100111", B"11011110",
B"11100110", B"00100010", B"11010011", B"11100010", B"11001110",
B"00011010", B"00100110", B"11001001", B"00100011", B"11111110",
B"11011111", B"11010011", B"11100011", B"00100001", B"11100101",
B"00100000", B"00011001", B"11011111", B"00101111", B"11110000",
B"11100010", B"11011111", B"00101001", B"00100010", B"11001010",
B"00011110", B"11011111", B"00010111", B"11001011", B"11001000",
B"00011101", B"00010001", B"00000000", B"00110010", B"11010110",
B"00001000", B"10111111", B"11110110", B"00101101", B"00010110",
B"00011011", B"00101001", B"00100100", B"11100000", B"00110100",
B"11101111", B"00101001", B"11110000", B"00101110", B"00011101",
B"11010100", B"10110101", B"00010001", B"00100001", B"11011110",
B"11001000", B"11011100", B"11100101", B"11100011", B"00100011",
B"00101001", B"11101011", B"00100101", B"11000111", B"00110110",
B"00110110", B"00011101", B"11111111", B"00111011", B"00100011",
B"00110101", B"00010000", B"11010000", B"11011110", B"00110010",
B"00101000", B"00100011", B"00100000", B"11101100", B"11110011",
B"00101100", B"11011010", B"00001000", B"11001000", B"11011111",
B"00100011", B"11110010", B"00100011", B"00010110", B"00010110",
B"00111100", B"11011111", B"00101101", B"11101100", B"00010111",
B"11011111", B"11110100", B"00110011", B"00011100", B"00101001",
B"11101101", B"00011100", B"11100001", B"00101010", B"00011010",
B"00011101", B"11101010", B"00110111", B"00010111", B"00000011",
B"11011110", B"00100001", B"01010011", B"00011000", B"00101000",
B"00100111", B"00100101", B"00100010", B"00011100", B"00101001",
B"11101001", B"11010110", B"11100100", B"10111110", B"00110011",
B"00011000", B"00101001", B"00011101", B"00110100", B"00101011",
B"11101010", B"00101001", B"00111000", B"11100001", B"11011110",
B"00100110", B"11110100", B"11101000", B"11011100", B"00100110",
B"00101010", B"11001000", B"00001011", B"11011101", B"00100010",
B"11011000", B"11100010", B"11011111", B"11101100", B"00011000",
B"11100001", B"00010001", B"11111101", B"11010001", B"00110101",
B"11110010", B"00110001", B"11110011", B"11100000", B"00101011",
B"00101010", B"00110110", B"11011011", B"11110010", B"00100010",
B"00110010", B"10111100", B"11101100", B"11111010", B"11101010",
B"00011110", B"11110001", B"00001111", B"11100100", B"11011111",
B"00001110", B"11000001", B"00100000", B"00010101", B"11110100",
B"11110011", B"11010011", B"11101101", B"11001101", B"00110010",
B"00011110", B"00100001", B"10111101", B"00100110", B"11011111",
B"00110100", B"11110101", B"00111011", B"11111010", B"11101000",
B"11001100", B"11100110", B"00001111", B"11101001", B"00010010",
B"11010100", B"00101001", B"11011100", B"11000101", B"11011101",
B"00100001", B"00011111", B"11100000", B"00101110", B"11110111",
B"00011100", B"11110100", B"11101000", B"11110010", B"00001010",
B"00111000", B"00101001", B"11101100", B"11100001", B"11001111",
B"00001000", B"00011000", B"11101001", B"00010000", B"00100010",
B"00010111", B"11011111", B"00010101", B"00010110", B"10111010",
B"11101101", B"00001000", B"00100101", B"11100010", B"00010101",
B"00011000", B"11101001", B"00010100", B"00010010", B"11011100",
B"00101110", B"00111000", B"11100001", B"11110100", B"00100000",
B"00000101", B"11010010", B"11011110", B"11100000", B"00011010",
B"11001000", B"11011011", B"11101011", B"00100110", B"00011100",
B"11100000", B"11001111", B"11011001", B"00000000", B"00100000",
B"00101010", B"11101000", B"00001100", B"11110011", B"11001000",
B"11000101", B"11011110", B"00101110", B"00010000", B"11101010",
B"00101100", B"11100110", B"11100001", B"11010001", B"11110011",
B"00001101", B"00011000", B"00010100", B"00010000", B"00001100",
B"11101111", B"11110000", B"00110011", B"11101101", B"00110000",
B"11100011", B"11010000", B"00100101", B"11010111", B"11001100",
B"11100101", B"00101000", B"00000111", B"11010110", B"00101010",
B"11100100", B"11101100", B"00010000", B"00101010", B"11010010",
B"11001011", B"11100110", B"11001100", B"11011000", B"11100010",
B"00011101", B"11100110", B"11111001", B"11000101", B"00100101",
B"00100111", B"11011001", B"00011000", B"11000101", B"11111001",
B"00010011", B"11101110", B"00110100", B"00100111", B"11110001",
B"00111010", B"00011000", B"11100000", B"11011000", B"00001101",
B"00000100", B"11100111", B"11111100", B"11110000", B"00010101",
B"00001101", B"11010101", B"11100010", B"10111011", B"11011110",
B"11100100", B"00101110", B"00101000", B"11101101", B"11011110",
B"00100101", B"00001111", B"11111010", B"11011110", B"11110011",
B"11110011", B"11010010", B"11110001", B"00110100", B"00011001",
B"00011110", B"00011100", B"00001100", B"11001111", B"00010101",
B"00010101", B"11011110", B"00100111", B"00100101", B"11100100",
B"11101011", B"00011101", B"00011001", B"00101000", B"11100000",
B"00100000", B"11101010", B"00001110", B"11010101", B"11101101",
B"11011100", B"00110000", B"11111101", B"11100101", B"00011110",
B"11011000", B"11011100", B"01000101", B"00100110", B"11010011",
B"11100110", B"11100011", B"11110110", B"11110010", B"11101111",
B"11000000", B"11011101", B"11011101", B"00110110", B"00110010",
B"00101010", B"00100100", B"11001000", B"11110110", B"11011111",
B"00100010", B"00100101", B"11011010", B"00110101", B"11100101",
B"11010011", B"11010010", B"00110000", B"00100001", B"00011101",
B"00001101", B"11100000", B"11011101", B"11100011", B"00011101",
B"11010001", B"11001011", B"11100110", B"00100100", B"00011010",
B"11111000", B"00010110", B"11010101", B"11011001", B"11011101",
B"11101100", B"00100110", B"11011100", B"00110110", B"11010001",
B"00100100", B"00010010", B"11011010", B"11101111", B"11010101",
B"11111001", B"11101111", B"00011000", B"00110101", B"00101101",
B"00110110", B"00010101", B"00011110", B"00001111", B"00101010",
B"00010100", B"11010110", B"00011110", B"00101001", B"11110001",
B"00001111", B"00010000", B"11011001", B"11101011", B"00001111",
B"11011000", B"00100000", B"11110001", B"11100101", B"00100111",
B"00100010", B"00100100", B"11100010", B"00001001", B"11011000",
B"11011111", B"11111101", B"00101111", B"00100110", B"00111100",
B"00010110", B"11100100", B"00101101", B"00000010", B"11100101",
B"11011000", B"11101110", B"11100000", B"00000101", B"00100001",
B"11010001", B"11100111", B"11010001", B"11110000", B"11011011",
B"00011111", B"11011111", B"11011010", B"00100001", B"11110100",
B"11111110", B"11001101", B"11100100", B"00010110", B"11011000",
B"11001000", B"11100001", B"11001001", B"00011010", B"11101010",
B"00100101", B"11101100", B"11101001", B"11110001", B"11010100",
B"00010111", B"00110100", B"00000110", B"00110001", B"00001111",
B"10111011", B"11011001", B"11101111", B"11110001", B"00101100",
B"11100111", B"00011000", B"00101110", B"11011110", B"11011010",
B"00011101", B"11001110", B"11011000", B"11001101", B"00001100",
B"11011111", B"00110110", B"01000011", B"00011111", B"11010000",
B"00010111", B"11101111", B"00101111", B"11110100", B"11011000",
B"00100110", B"11011101", B"00100000", B"11001100", B"11011001",
B"00011011", B"00110011", B"00010001", B"11010001", B"11100000",
B"00101011", B"00011111", B"11001110", B"11100000", B"00101000",
B"11101010", B"11110000", B"11010100", B"11010101", B"00010011",
B"11100010", B"00101001", B"11001100", B"11110011", B"00101010",
B"11110100", B"00100000", B"11010000", B"11110001", B"00011000",
B"11100000", B"00101010", B"11110111", B"11110100", B"11110111",
B"00010001", B"00000010", B"11001001", B"00000110", B"00111001",
B"00100111", B"00100000", B"00101111", B"00101110", B"00101100",
B"00010111", B"00100011", B"00101101", B"00011000", B"00011001",
B"00100011", B"00100010", B"00000000", B"00011111", B"11000011",
B"11110110", B"00011111", B"11100101", B"00101110", B"11011011",
B"11100000", B"00001110", B"00011110", B"11001101", B"00011111",
B"00100000", B"11011011", B"00011010", B"00011110", B"11100110",
B"11010110", B"11010001", B"11100111", B"00000111", B"00101101",
B"11101110", B"00100110", B"11010011", B"11111111", B"11100000",
B"11010111", B"00101001", B"11011010", B"11101110", B"11010010",
B"11100001", B"11100000", B"00011000", B"11100101", B"00101011",
B"11010001", B"11101010", B"00010101", B"00001110", B"11110110",
B"11101111", B"00100011", B"00110111", B"11100011", B"00101001",
B"00011110", B"11001110", B"11011101", B"10110011", B"00000010",
B"11111000", B"00011110", B"00100111", B"00101000", B"00101001",
B"11001111", B"00000110", B"11001110", B"00010111", B"11001011",
B"11101010", B"00100011", B"00101001", B"11010010", B"11011000",
B"00011010", B"11001111", B"00100000", B"11001010", B"11010001",
B"00011011", B"11100010", B"11100001", B"00001000", B"11101101",
B"00011111", B"11011011", B"11100101", B"00001101", B"00011001",
B"11100000", B"11100100", B"01000101", B"11011001", B"11101010",
B"11001001", B"11100110", B"11010111", B"11101001", B"00011010",
B"00101001", B"00011111", B"00111101", B"11110000", B"11001000"
 
);
 
signal input_counter : integer range 0 to 19999 := 0;
signal start_fifo : bit_vector (7 downto 0) := ( B"0100_0000" );
signal clk : bit;
 
begin
 
process (clk, clear)
begin
if (clear = '1') then
rxin <= (others => '0');
elsif (clk = '1' and clk'event) then
rxin <= input_bank(input_counter);
end if;
end process;
 
process (clk, clear)
begin
if (clear = '1') then
input_counter <= 0;
elsif (clk = '1' and clk'event) then
if (input_counter < 19999) then
input_counter <= input_counter + 1;
else
input_counter <= 0;
end if;
end if;
end process;
 
rom_pos <= input_counter;
 
process (clk, clear)
begin
if (clear = '1') then
start_fifo <= B"0100_0000";
elsif ( clk = '1' and clk'event) then
start_fifo <= start_fifo (6 downto 0) & start_fifo (7);
end if;
end process;
 
clk <= clock;
start <= start_fifo (7);
 
end test_bench;
/trunk/xilinx/xilinx.vhdl
0,0 → 1,177
-- $Id: xilinx.vhdl,v 1.1 2006-01-16 03:40:22 arif_endro Exp $
-------------------------------------------------------------------------------
-- Title :
-- Project :
-------------------------------------------------------------------------------
-- File :
-- Author : "Arif E. Nugroho" <arif_endro@yahoo.com>
-- Created : 2005/12/18
-- Last update :
-- Simulators :
-- Synthesizers: ISE Xilinx 6.3i
-- Target :
-------------------------------------------------------------------------------
-- Description :
-------------------------------------------------------------------------------
-- Copyright (C) 2005 Arif E. Nugroho
-- This VHDL design file is an open design; you can redistribute it and/or
-- modify it and/or implement it after contacting the author
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
-- ASSOCIATED DISCLAIMER.
--
-------------------------------------------------------------------------------
--
-- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
 
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
 
entity xilinx is
port (
clock : in bit;
clear : in bit;
start : out bit;
rom_pos : out integer;
y0d : out bit;
y1d : out bit;
y2d : out bit;
y3d : out bit;
senddata : out bit_vector (3 downto 0);
match : out bit_vector (3 downto 0);
bit_error : out integer
);
end xilinx;
 
architecture structural of xilinx is
 
component product_code
port (
clock : in bit;
start : in bit;
rxin : in bit_vector (07 downto 00);
y0d : out bit;
y1d : out bit;
y2d : out bit;
y3d : out bit
);
end component;
 
component input
port (
clock : in bit;
clear : in bit;
start : out bit;
rom_pos : out integer;
rxin : out bit_vector (07 downto 00)
);
end component;
 
component reference
port (
clear : in bit;
start : in bit;
y0 : in bit;
y1 : in bit;
y2 : in bit;
y3 : in bit;
senddata : out bit_vector (3 downto 0);
match : out bit_vector (3 downto 0)
);
end component;
 
component analyze
port (
clear : in bit;
start : in bit;
match : in bit_vector (3 downto 0);
col_0 : out integer;
col_1 : out integer;
col_2 : out integer;
col_3 : out integer;
result : out integer
);
end component;
 
signal str : bit;
signal y0 : bit;
signal y1 : bit;
signal y2 : bit;
signal y3 : bit;
signal rxin : bit_vector (07 downto 00);
signal mtch : bit_vector (03 downto 00);
signal col_0 : integer;
signal col_1 : integer;
signal col_2 : integer;
signal col_3 : integer;
 
begin
 
start <= str;
match <= mtch;
y0d <= y0;
y1d <= y1;
y2d <= y2;
y3d <= y3;
 
my_product_code : product_code
port map (
clock => clock,
start => str,
rxin => rxin,
y0d => y0,
y1d => y1,
y2d => y2,
y3d => y3
);
 
my_input : input
port map (
clock => clock,
clear => clear,
start => str,
rom_pos => rom_pos,
rxin => rxin
);
 
my_senddata: reference
port map (
clear => clear,
start => str,
y0 => y0,
y1 => y1,
y2 => y2,
y3 => y3,
senddata=> senddata,
match => mtch
);
my_analyzer: analyze
port map (
clear => clear,
start => str,
match => mtch,
col_0 => col_0,
col_1 => col_1,
col_2 => col_2,
col_3 => col_3,
result => bit_error
);
 
end structural;
/trunk/xilinx/input9DB.vhdl
0,0 → 1,4109
-- $Id: input9DB.vhdl,v 1.1 2006-01-16 03:40:22 arif_endro Exp $
-------------------------------------------------------------------------------
-- Title :
-- Project :
-------------------------------------------------------------------------------
-- File :
-- Author : "Arif E. Nugroho" <arif_endro@yahoo.com>
-- Created : 2005/12/18
-- Last update :
-- Simulators :
-- Synthesizers: ISE Xilinx 6.3i
-- Target :
-------------------------------------------------------------------------------
-- Description :
-------------------------------------------------------------------------------
-- Copyright (C) 2005 Arif E. Nugroho
-- This VHDL design file is an open design; you can redistribute it and/or
-- modify it and/or implement it after contacting the author
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
-- ASSOCIATED DISCLAIMER.
--
-------------------------------------------------------------------------------
--
-- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
 
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
 
entity input is
port (
clock : in bit;
clear : in bit;
start : out bit;
rom_pos : out integer;
rxin : out bit_vector (07 downto 00)
);
end input;
 
architecture test_bench of input is
 
type rom_bank is array ( 00000 to 19999 ) of bit_vector (7 downto 0);
 
constant input_bank : rom_bank :=
(
 
B"00110000", B"00100100", B"11100010", B"00101010", B"00100100",
B"11100101", B"11101101", B"00011111", B"00101001", B"00100001",
B"00011010", B"11011001", B"00101101", B"11100100", B"00001010",
B"11011001", B"11011110", B"11011110", B"00010111", B"11010111",
B"00010000", B"11011110", B"10111000", B"00010111", B"11011001",
B"00011111", B"11011101", B"11100111", B"11101001", B"00110000",
B"00100011", B"11011001", B"11101010", B"00011010", B"00011011",
B"00010110", B"11100100", B"00100010", B"11100110", B"00101001",
B"11110001", B"00100110", B"11011001", B"11100100", B"11011001",
B"00010101", B"00011011", B"11111101", B"11001110", B"11101011",
B"00011111", B"11010010", B"00100010", B"11010001", B"11100100",
B"00010001", B"11010111", B"11110010", B"00101010", B"00010001",
B"00100101", B"00010110", B"11100110", B"11100000", B"00100011",
B"00011011", B"11100011", B"00010110", B"00100100", B"11100000",
B"11011010", B"00100101", B"00100001", B"00011000", B"11101000",
B"11101010", B"00110011", B"00001110", B"11101101", B"11101000",
B"11100000", B"11111010", B"00010011", B"00001100", B"00100100",
B"00011100", B"11101110", B"11110010", B"11001111", B"00110010",
B"11100110", B"00011100", B"11100011", B"11101001", B"00011101",
B"00010010", B"11101001", B"00010001", B"00101011", B"11101010",
B"11010011", B"11011101", B"11011010", B"11001101", B"11100101",
B"11010110", B"11010010", B"11011110", B"00011101", B"00011001",
B"00010011", B"00010101", B"00011111", B"11100010", B"11010000",
B"00011001", B"11100101", B"11010111", B"11100111", B"11110110",
B"00001011", B"00101011", B"11100011", B"00011000", B"00100011",
B"11100101", B"11010110", B"00011101", B"11100011", B"11100000",
B"00101000", B"00011011", B"00101101", B"00010000", B"11011110",
B"11011001", B"11101001", B"00100101", B"00011001", B"00010111",
B"11110010", B"00011011", B"11110001", B"00001111", B"00011000",
B"00010011", B"00100010", B"11101011", B"00010110", B"11011011",
B"00101001", B"11010010", B"11011111", B"00011100", B"11010001",
B"00111111", B"11011101", B"11011011", B"00100000", B"00100010",
B"00011001", B"11011110", B"11100101", B"00011011", B"11011001",
B"11010001", B"11101111", B"11101110", B"11011001", B"00010011",
B"11011101", B"11111000", B"11101011", B"00010000", B"00010000",
B"11011111", B"00111000", B"11011000", B"00101110", B"11010111",
B"11101011", B"11010010", B"00011111", B"00010101", B"00100110",
B"00011000", B"00101010", B"11011011", B"00011010", B"11100010",
B"00101101", B"11101101", B"11101011", B"11100100", B"00110001",
B"00110000", B"00100100", B"00010111", B"11100001", B"11100010",
B"11010000", B"00100011", B"00100101", B"11011011", B"11011100",
B"11011101", B"11101100", B"11100110", B"11011000", B"11110001",
B"00001111", B"00010011", B"00011001", B"00111110", B"11011011",
B"11110011", B"11011011", B"00011110", B"00011000", B"00100001",
B"11011001", B"00011001", B"11001100", B"00010011", B"00101100",
B"11011001", B"11011001", B"00011011", B"11100011", B"11011101",
B"11100010", B"11011011", B"11101010", B"11101001", B"00011010",
B"11011110", B"00101101", B"11011110", B"11011101", B"00011010",
B"00010110", B"00100010", B"00100100", B"00110000", B"00011110",
B"00011101", B"00100001", B"00010101", B"00010111", B"11011011",
B"00011110", B"00101110", B"11011000", B"00101101", B"00101100",
B"11011110", B"00100101", B"00001011", B"00010000", B"00100100",
B"00011011", B"00100001", B"00100010", B"00001010", B"00011010",
B"11001110", B"11011001", B"00010110", B"11100110", B"11011001",
B"11101010", B"11011011", B"11010011", B"11100100", B"00010111",
B"11110010", B"00010110", B"11110000", B"11100001", B"00010011",
B"11110010", B"00011011", B"11110101", B"11100011", B"11011000",
B"00101000", B"00110101", B"11101001", B"00011011", B"00011010",
B"00100000", B"00010101", B"00010101", B"00011110", B"00010010",
B"00010001", B"11001101", B"00011101", B"00101011", B"11011110",
B"11010100", B"11101100", B"11100100", B"11011010", B"11010101",
B"00010111", B"00101001", B"11100011", B"11100000", B"11010111",
B"11100000", B"11110001", B"11011101", B"00101001", B"00011011",
B"00101101", B"11100100", B"00010110", B"11010111", B"00011101",
B"00100110", B"11100111", B"11010101", B"00100101", B"11011100",
B"11110100", B"11100011", B"11101100", B"11110000", B"11011110",
B"00100011", B"00011000", B"00100101", B"00010001", B"11011101",
B"11011010", B"00011111", B"11011000", B"11100111", B"00100011",
B"11110000", B"11101011", B"11010001", B"11010111", B"11101011",
B"11010110", B"00011111", B"11110011", B"00011110", B"11100011",
B"11101100", B"00100100", B"11110001", B"11100110", B"00001111",
B"11011001", B"00010000", B"11101101", B"11010110", B"00011010",
B"11011000", B"00010010", B"11100101", B"11010011", B"11011000",
B"00100110", B"00011011", B"11101011", B"00010101", B"11011110",
B"00100010", B"11011111", B"11011100", B"11100111", B"00101100",
B"00011110", B"11100000", B"11011111", B"00100011", B"11010100",
B"00100010", B"11011100", B"11101010", B"00101000", B"11100010",
B"11011111", B"11011000", B"11011100", B"00011001", B"00101000",
B"00100111", B"00011110", B"00011010", B"11101110", B"11100110",
B"00110110", B"11101101", B"11100010", B"11100000", B"11110000",
B"11100011", B"00011000", B"00011101", B"00100100", B"11010110",
B"00101100", B"11100111", B"00011110", B"00101100", B"00101100",
B"11011000", B"00100110", B"00100101", B"11101011", B"11010000",
B"00000001", B"11111000", B"11011010", B"11110100", B"11101111",
B"00100111", B"00011000", B"00100100", B"00101011", B"00101111",
B"11100010", B"11010000", B"00101001", B"11101011", B"11100101",
B"11001100", B"11010011", B"00011101", B"00011001", B"00100000",
B"00010101", B"00101000", B"00100000", B"00110010", B"00100100",
B"11101010", B"11101010", B"11011101", B"00011101", B"00100101",
B"11110000", B"00100010", B"11010101", B"00010110", B"00101100",
B"00101110", B"11110001", B"00011011", B"11101011", B"00100000",
B"11110010", B"00101010", B"11010101", B"00110010", B"11010010",
B"11010110", B"11011101", B"00011011", B"00100111", B"00110000",
B"11010111", B"11010110", B"11011011", B"11010100", B"00100010",
B"11110001", B"00101110", B"11011011", B"11000111", B"11011111",
B"11011110", B"00011110", B"00100000", B"00011100", B"00101001",
B"00100001", B"11111000", B"00011001", B"00011010", B"11101001",
B"00100101", B"00011111", B"11010111", B"11001110", B"00010101",
B"11011011", B"00100111", B"11011000", B"11001011", B"00011111",
B"00101101", B"11101001", B"00110011", B"11101000", B"11010111",
B"11000111", B"00010000", B"00010110", B"11011101", B"00101011",
B"11101001", B"11010101", B"11101000", B"11011011", B"00110101",
B"11100001", B"00101011", B"00011010", B"00101001", B"11011010",
B"11100000", B"00100010", B"00101010", B"11001110", B"11100101",
B"11100011", B"11101110", B"00100001", B"11100000", B"00101001",
B"11011110", B"11001111", B"00100001", B"11011001", B"00101010",
B"00110011", B"00101100", B"11011001", B"00100000", B"11010110",
B"00010111", B"11101000", B"00011000", B"00100011", B"00100101",
B"11100100", B"00100011", B"11101110", B"00011111", B"00110001",
B"00010010", B"00010111", B"11001001", B"00011011", B"11101110",
B"00010100", B"11010110", B"11100110", B"00110111", B"00110110",
B"00000111", B"11100100", B"00101110", B"11010100", B"00011100",
B"11100100", B"11010000", B"11010010", B"00100101", B"00111000",
B"11001111", B"00100110", B"11010110", B"00011101", B"11011010",
B"11100001", B"00110001", B"11001111", B"11100100", B"11001101",
B"11010111", B"11010110", B"11010110", B"11010110", B"11100000",
B"00111001", B"00001111", B"00101001", B"00010110", B"11101110",
B"11010111", B"00100110", B"11011100", B"00011010", B"11110001",
B"11010110", B"00011110", B"00101111", B"00010100", B"00100011",
B"00011110", B"00100010", B"00001001", B"00011100", B"00100000",
B"00110000", B"00101110", B"00011101", B"00010000", B"00100011",
B"00101001", B"00101000", B"00100011", B"11100100", B"11011001",
B"11111001", B"11010101", B"00101001", B"00100001", B"00101001",
B"00101011", B"11100100", B"00011001", B"11100000", B"11111100",
B"11010110", B"11100110", B"00100111", B"00100101", B"11011010",
B"00010111", B"00011100", B"11001110", B"11011000", B"11011110",
B"11101000", B"11001010", B"00111100", B"00100100", B"11111110",
B"11100100", B"00100101", B"00100101", B"11011111", B"00000010",
B"11101110", B"11011110", B"11100101", B"00100100", B"00100001",
B"11111011", B"00001101", B"11100111", B"00101010", B"00011110",
B"11101000", B"00010011", B"00100011", B"11011010", B"11100100",
B"00110000", B"00010011", B"00010001", B"11001110", B"00010000",
B"00011001", B"11001111", B"11011101", B"00101001", B"00100011",
B"00011010", B"11011000", B"11101011", B"00100000", B"00100110",
B"11011100", B"11011111", B"11111010", B"00010110", B"00100010",
B"00011000", B"11001111", B"00100001", B"11101110", B"00011011",
B"11011101", B"11100000", B"00100111", B"11100001", B"00100000",
B"11001110", B"11100111", B"00101101", B"11100001", B"11001101",
B"00011001", B"11110100", B"00011001", B"11100100", B"11100101",
B"00101011", B"00100011", B"00101000", B"00010111", B"00100010",
B"00101000", B"00101110", B"00011101", B"00110000", B"00011101",
B"00010011", B"00011100", B"00010001", B"00100001", B"00010111",
B"00101001", B"00011101", B"11010111", B"00100110", B"00011000",
B"11100101", B"11100110", B"11100101", B"11101111", B"11101011",
B"11010000", B"00100100", B"11010111", B"00010000", B"11100110",
B"00000001", B"00100000", B"00101000", B"11101110", B"00100010",
B"11100011", B"00101001", B"11101101", B"11011101", B"00100001",
B"00100110", B"00100101", B"00100010", B"00101100", B"00100010",
B"00011010", B"00101011", B"00011011", B"00100100", B"00100101",
B"11101010", B"11010100", B"11100001", B"11011011", B"00100101",
B"11010101", B"00100111", B"00011011", B"00101100", B"00011010",
B"11010011", B"00100011", B"11011111", B"00011010", B"11011111",
B"11011010", B"11011111", B"11011100", B"11100111", B"00101100",
B"00101010", B"00101001", B"00010101", B"11100011", B"00001111",
B"11001110", B"00101100", B"11001101", B"11010111", B"00111011",
B"00101010", B"00101111", B"11000001", B"11100011", B"00001110",
B"11101011", B"11100001", B"11100011", B"11011101", B"00011110",
B"11100101", B"00001010", B"00110010", B"11110110", B"00000110",
B"00100100", B"11110110", B"00100010", B"00010000", B"00011011",
B"00100110", B"00011010", B"00011100", B"00001000", B"00010011",
B"11101001", B"00011001", B"11011110", B"11101011", B"11001111",
B"00100011", B"00011010", B"11010000", B"11101000", B"11101011",
B"00011101", B"00110101", B"00101011", B"00010000", B"11010110",
B"11010010", B"00011100", B"00100111", B"00010111", B"00100000",
B"00110001", B"00011100", B"00011101", B"00101000", B"00010101",
B"00011000", B"11010110", B"11010111", B"00101110", B"00010011",
B"11100001", B"11101000", B"11101101", B"11100011", B"11000010",
B"11100011", B"00011100", B"00010111", B"00011100", B"00100011",
B"00001100", B"00110100", B"00010101", B"11100011", B"00010000",
B"11100001", B"00011110", B"11100000", B"00101001", B"00100110",
B"00010100", B"00100101", B"00010000", B"00011011", B"00010101",
B"00011010", B"00011111", B"00011100", B"00101001", B"00011001",
B"00010001", B"00010010", B"00100111", B"00100010", B"11100010",
B"00010100", B"11110110", B"11001000", B"11101100", B"00101011",
B"00100110", B"11110110", B"00100000", B"11101101", B"00100000",
B"00100011", B"11101011", B"00011100", B"00100100", B"11011111",
B"11011101", B"11101010", B"00100000", B"11001111", B"00011010",
B"11101010", B"11011011", B"00000011", B"00101101", B"00010101",
B"11010111", B"11000000", B"00100001", B"00001000", B"11101000",
B"11010101", B"00000111", B"00001111", B"00100110", B"11010110",
B"00101111", B"11011000", B"00001101", B"11010011", B"11011001",
B"00101001", B"00111000", B"00101010", B"11101000", B"00100101",
B"11010000", B"00100001", B"00011110", B"11010111", B"00101100",
B"11100011", B"11100110", B"11101011", B"00011000", B"00100101",
B"00011100", B"00100000", B"00100010", B"00101010", B"00100000",
B"00011110", B"00110000", B"00010100", B"00110011", B"11101111",
B"00011011", B"00100101", B"11011000", B"00011111", B"00001101",
B"11001111", B"11011110", B"11010010", B"11011000", B"11100000",
B"00011110", B"00011101", B"00100111", B"00100111", B"00100101",
B"11101010", B"00011001", B"00100100", B"11100011", B"00011111",
B"00101010", B"11100101", B"00100011", B"00101011", B"11101001",
B"00101110", B"00100100", B"11100100", B"11011011", B"00011100",
B"00101000", B"11101101", B"00101000", B"11010101", B"11101011",
B"11011101", B"00100010", B"00010010", B"00100001", B"00011010",
B"00111000", B"00100010", B"00100000", B"00011011", B"00000000",
B"00100010", B"00100111", B"00011100", B"00100111", B"11110000",
B"00100000", B"11100110", B"00011011", B"11101111", B"00111100",
B"11100001", B"00100001", B"00101101", B"11011010", B"00010001",
B"00011001", B"11100010", B"11011011", B"00011001", B"00001101",
B"00100110", B"11100101", B"00100000", B"11101101", B"00011110",
B"00111111", B"00001110", B"00001001", B"00001111", B"00100000",
B"00100010", B"00011010", B"00100000", B"11110010", B"11100000",
B"00101010", B"11011111", B"00010110", B"11100011", B"11010101",
B"00011010", B"11100110", B"11100100", B"00101110", B"00100101",
B"00101000", B"00100011", B"11010110", B"11100100", B"11000100",
B"11011101", B"11111001", B"00101011", B"00100110", B"11101100",
B"00010111", B"11100111", B"11101010", B"11101110", B"00101100",
B"00011101", B"00001001", B"00100011", B"11100001", B"11110000",
B"11011110", B"00100000", B"00101000", B"11100001", B"11011110",
B"11100001", B"11110011", B"11011010", B"00011101", B"00010111",
B"11100000", B"00100001", B"00010000", B"11010100", B"11110110",
B"00100100", B"00010010", B"11101100", B"00001000", B"11101011",
B"11100011", B"11011011", B"00100001", B"00100010", B"00101000",
B"00011100", B"00011011", B"11011100", B"00010010", B"11100111",
B"00011101", B"11100111", B"00100010", B"11101101", B"00010011",
B"11011100", B"11100101", B"11010111", B"00011000", B"00011101",
B"11010001", B"00010010", B"00011010", B"11010010", B"11101010",
B"11011001", B"11101001", B"11001000", B"00100000", B"00101010",
B"11011010", B"11100001", B"00100011", B"00001101", B"11100110",
B"11010101", B"11011011", B"00011110", B"11011100", B"00101101",
B"11100001", B"11101110", B"00011010", B"00010100", B"00011110",
B"11010110", B"11100110", B"11010011", B"11010100", B"00101000",
B"11100000", B"00010000", B"11101101", B"11100100", B"00011100",
B"11101010", B"00110000", B"11000001", B"11001000", B"00100001",
B"00101001", B"00011001", B"11000000", B"00010110", B"00100110",
B"11010001", B"11101011", B"00011010", B"11010001", B"11101011",
B"11011111", B"11011001", B"00001000", B"00111010", B"00011001",
B"00100011", B"11010111", B"00100000", B"11101001", B"00010101",
B"11010001", B"11100010", B"00011010", B"00010110", B"00010110",
B"00011100", B"11101100", B"11100101", B"00111011", B"00010111",
B"11001100", B"11100001", B"00100000", B"00011100", B"11100001",
B"11100000", B"00101110", B"00011001", B"11011111", B"11010010",
B"00100000", B"00100001", B"11011000", B"00001110", B"00100010",
B"11101101", B"11100101", B"00010010", B"00100011", B"11010010",
B"11100101", B"11110011", B"11010010", B"00100110", B"11110000",
B"00010110", B"00011101", B"00001100", B"11101010", B"00101100",
B"00010001", B"11100010", B"11100010", B"00101000", B"00011100",
B"00011101", B"00001101", B"00010110", B"00011001", B"00100001",
B"00101100", B"00010111", B"00100111", B"00101000", B"00010001",
B"11100000", B"00100000", B"11101101", B"00100010", B"11010000",
B"00001010", B"11101011", B"11100101", B"00010011", B"11100010",
B"11011011", B"11011111", B"11011100", B"11100011", B"11011011",
B"00101010", B"00011101", B"00100111", B"00001111", B"11101000",
B"11111110", B"00001110", B"00010100", B"00010111", B"11010111",
B"00100011", B"11100110", B"00100100", B"11011101", B"00011110",
B"11111011", B"11100111", B"00100011", B"11100000", B"11101011",
B"11010101", B"11001101", B"11100010", B"11101100", B"11101111",
B"00011110", B"00100101", B"11100011", B"00010010", B"11001110",
B"00011100", B"11011111", B"11100100", B"00000111", B"11010110",
B"11110001", B"11101001", B"11001101", B"11100001", B"11010001",
B"00101000", B"11100010", B"00100111", B"11101000", B"11011010",
B"00010100", B"00100111", B"00011101", B"00001110", B"11011101",
B"00011110", B"11101001", B"00001010", B"11010100", B"11100111",
B"11011011", B"00100100", B"11110111", B"00011100", B"11011010",
B"11010001", B"00100111", B"00100111", B"00100001", B"00101010",
B"11011100", B"00010000", B"11010111", B"00011110", B"11101011",
B"00101101", B"00110111", B"00000000", B"11101000", B"00111110",
B"00101111", B"10111110", B"11101001", B"11100000", B"00100110",
B"00101011", B"00010101", B"11010010", B"00110110", B"11011001",
B"00001101", B"11011101", B"00011011", B"00010100", B"11011111",
B"11100000", B"11010110", B"11001011", B"11010000", B"11100011",
B"00101100", B"11010010", B"00010101", B"11101000", B"11011111",
B"00101010", B"00010101", B"00100001", B"11011101", B"11100010",
B"00111001", B"11101000", B"11011010", B"11011001", B"11010011",
B"11101010", B"11011001", B"00010001", B"11100111", B"00110110",
B"11100110", B"11010011", B"00001010", B"00101100", B"11011011",
B"11011001", B"00001110", B"11010111", B"11011100", B"11101111",
B"11101011", B"11011101", B"00010100", B"11001110", B"00101101",
B"11011101", B"11010001", B"00000011", B"00011100", B"00101101",
B"00100011", B"11110111", B"11011111", B"00111001", B"00100100",
B"11011010", B"11011111", B"00011000", B"00011111", B"11110101",
B"00011111", B"00011000", B"11101000", B"11011110", B"00011011",
B"11110101", B"11101000", B"11110001", B"00100010", B"00100111",
B"11011101", B"00011001", B"11100110", B"11011001", B"11101111",
B"00101011", B"11010001", B"00010100", B"11101101", B"11101110",
B"00100110", B"11101010", B"00011110", B"11101000", B"11011100",
B"11011111", B"00011001", B"00100001", B"11011110", B"00110101",
B"00101101", B"11100001", B"00100001", B"00111010", B"11100010",
B"11100101", B"00101011", B"11100100", B"11101001", B"11100010",
B"00101101", B"00100001", B"11100001", B"00101011", B"11100001",
B"11100110", B"00000000", B"00101110", B"00100011", B"11010110",
B"00010111", B"11011101", B"00100001", B"00011101", B"00100010",
B"11011111", B"00010110", B"00111111", B"11100010", B"11100001",
B"00100111", B"11011110", B"11100001", B"11110001", B"11101001",
B"00110001", B"00101011", B"00011011", B"00001001", B"00101111",
B"00011001", B"11011101", B"00100110", B"00011111", B"11011100",
B"11011010", B"00100000", B"00100001", B"11010101", B"11001110",
B"00101101", B"11001100", B"11101110", B"11011100", B"11100010",
B"11010111", B"11011111", B"11111001", B"00101001", B"00000110",
B"11100010", B"00101011", B"11100100", B"11100100", B"11100001",
B"00010110", B"00011001", B"00111011", B"00011000", B"11100100",
B"11000001", B"00101010", B"00011010", B"00100100", B"00010000",
B"00010000", B"00010100", B"00001000", B"00010010", B"00101010",
B"00010001", B"00000101", B"00101010", B"00011001", B"00100101",
B"00011001", B"00001001", B"00000110", B"00011010", B"00011111",
B"00110000", B"00011001", B"00011101", B"00100101", B"00101011",
B"00101110", B"11101001", B"11010111", B"00010010", B"11000010",
B"11010010", B"11101010", B"11100111", B"11101111", B"11101011",
B"11010010", B"00001101", B"00011000", B"11101000", B"00011011",
B"11100111", B"00100001", B"11011100", B"00101010", B"11011001",
B"11100010", B"11100010", B"00010010", B"00110010", B"11101100",
B"00011010", B"11011110", B"00010110", B"11100011", B"11101111",
B"00011011", B"00100111", B"11101111", B"00011011", B"00011011",
B"00101000", B"11011001", B"00100011", B"11001010", B"00011100",
B"00011001", B"11011101", B"00101110", B"00010110", B"11100110",
B"00110000", B"00010110", B"11101001", B"00110110", B"00010111",
B"00010101", B"11101111", B"00010111", B"11100101", B"00101101",
B"11001101", B"00100011", B"11111100", B"00100110", B"11011100",
B"11100001", B"11100000", B"00100100", B"00011011", B"00010010",
B"11010111", B"11010111", B"00011000", B"11100101", B"11110101",
B"11101000", B"11011111", B"11011101", B"11011001", B"00101010",
B"11110000", B"00010100", B"11010011", B"11001001", B"00100100",
B"11101110", B"00100101", B"11100011", B"11011011", B"11101011",
B"00100000", B"00100011", B"11100100", B"11011101", B"00100010",
B"00101100", B"00100010", B"11011110", B"00110000", B"11010010",
B"00101000", B"11100110", B"11100100", B"11011100", B"11101110",
B"00100000", B"00011000", B"00100100", B"00101000", B"11011111",
B"11100101", B"11010101", B"11110011", B"00100101", B"00100110",
B"00100111", B"00111010", B"11101101", B"11010110", B"00011110",
B"11010100", B"00010101", B"11011000", B"11100101", B"00010010",
B"00100101", B"00010011", B"00011100", B"11101001", B"00100011",
B"11011110", B"00100000", B"11100010", B"11011110", B"00100111",
B"11010011", B"11100111", B"11011100", B"00010011", B"00001111",
B"11100010", B"11011110", B"00110010", B"00011010", B"00011001",
B"11011010", B"00101000", B"11001100", B"00110000", B"00110011",
B"00100010", B"11010111", B"11010010", B"01000101", B"00100101",
B"11011000", B"11101111", B"00101001", B"00001011", B"11100100",
B"00110110", B"00011111", B"11110011", B"11011101", B"00100101",
B"00101011", B"11101001", B"00011010", B"00010111", B"11011010",
B"00100101", B"00011000", B"11100100", B"00011010", B"00100111",
B"11010001", B"00010001", B"00100011", B"11001001", B"11010010",
B"00100001", B"00100111", B"00101010", B"11111010", B"00110110",
B"00100100", B"11101000", B"11011000", B"00011110", B"00100110",
B"01000000", B"11101011", B"00110010", B"00100001", B"11101011",
B"11010100", B"00011100", B"00100000", B"11101101", B"00110010",
B"11010100", B"11010011", B"11011010", B"00011101", B"00101101",
B"00011101", B"11011011", B"11011101", B"11001000", B"11101111",
B"00010111", B"11101101", B"00101101", B"11100000", B"11100101",
B"00010000", B"11101001", B"00010010", B"11011100", B"11100101",
B"00011010", B"11100000", B"00000110", B"00010000", B"00101101",
B"11110010", B"00011001", B"11100111", B"00001110", B"11001110",
B"11001101", B"00101000", B"11010010", B"00101000", B"11010010",
B"11010010", B"00010110", B"11011111", B"00011000", B"00011111",
B"11010011", B"11101011", B"11101000", B"11011000", B"11010000",
B"11011101", B"00010100", B"00101001", B"11100000", B"11110011",
B"11010000", B"11101110", B"11101010", B"00011100", B"11010010",
B"00100101", B"11011010", B"11101001", B"11100100", B"00100001",
B"00010111", B"00011100", B"00010111", B"11101101", B"11010101",
B"00010101", B"00011100", B"11101110", B"11101001", B"00100110",
B"11101011", B"00001110", B"00010100", B"11100011", B"00100110",
B"00100010", B"11011110", B"00011101", B"00011110", B"00010111",
B"00011000", B"00100000", B"00101001", B"00011011", B"00100010",
B"11001110", B"11011000", B"00011111", B"00011010", B"00100001",
B"00011000", B"11011011", B"11011101", B"11100000", B"11101101",
B"00100110", B"00100001", B"00101001", B"00100011", B"11011001",
B"11101000", B"11010001", B"00000111", B"00011010", B"00011011",
B"11011111", B"00100011", B"11011111", B"00100000", B"11011110",
B"00100110", B"00100000", B"11100111", B"11100010", B"11100001",
B"11101010", B"11011111", B"00011110", B"00010001", B"00010100",
B"00001111", B"00100111", B"00010111", B"00001111", B"00101100",
B"11100100", B"11100000", B"11110000", B"00010001", B"00101010",
B"11011100", B"00001011", B"11100111", B"00010000", B"11100100",
B"11011000", B"00001111", B"11101001", B"11011001", B"11100001",
B"11100011", B"11011110", B"11011110", B"00011001", B"11010000",
B"00011011", B"11101111", B"11011110", B"00110011", B"00101000",
B"00100001", B"11100111", B"00011100", B"00011111", B"11011101",
B"11011011", B"00100101", B"00011101", B"00110101", B"00110111",
B"00011101", B"00011110", B"00010111", B"00110101", B"00100100",
B"11101101", B"11101010", B"11100101", B"11000011", B"00101001",
B"00101100", B"00101101", B"00101010", B"11010000", B"00001110",
B"11100011", B"00101111", B"11011100", B"11000111", B"00011100",
B"00100001", B"11010111", B"00101101", B"11100111", B"00100110",
B"11011111", B"11101001", B"00001000", B"00110010", B"00110011",
B"11100110", B"00000101", B"00100100", B"11010000", B"00110111",
B"00100111", B"11100001", B"11100010", B"11010001", B"00100000",
B"11011100", B"00011000", B"11100001", B"11100010", B"00101010",
B"00010100", B"00011111", B"11101010", B"00101001", B"00100001",
B"11101101", B"11010011", B"00100100", B"11101011", B"00001001",
B"00110000", B"11011001", B"11101101", B"11011111", B"11100101",
B"11011010", B"11001100", B"00101111", B"00010000", B"11011101",
B"11110000", B"11101000", B"11010011", B"11101011", B"11100011",
B"00010011", B"11011101", B"11100011", B"11011111", B"00101000",
B"00100110", B"11100000", B"11011011", B"00011011", B"00101101",
B"00010110", B"11101010", B"00010110", B"11001101", B"00000111",
B"00010010", B"00010100", B"00101000", B"00011001", B"00011100",
B"00000011", B"00101100", B"00011100", B"11100010", B"00100101",
B"00011111", B"11110001", B"11011010", B"11010100", B"11101110",
B"11011001", B"11001110", B"11100011", B"11101100", B"00101001",
B"00010000", B"11110101", B"00100011", B"11101001", B"00010111",
B"00101110", B"00101100", B"00100111", B"00100001", B"00010000",
B"00100001", B"00010011", B"00100101", B"11110010", B"11010111",
B"00100010", B"11010011", B"11011010", B"11011111", B"11101010",
B"11110001", B"00100001", B"00010000", B"00011110", B"11100010",
B"00100010", B"00000010", B"00110000", B"00100111", B"11011011",
B"00010100", B"11001100", B"11101111", B"11010010", B"00100110",
B"00001110", B"00000010", B"00100000", B"11011011", B"00010111",
B"00111011", B"11010001", B"11011011", B"00010011", B"11011100",
B"11100010", B"00100111", B"00100010", B"00100001", B"00100110",
B"11011100", B"11101001", B"00111011", B"11011101", B"00100100",
B"00011001", B"11001111", B"00100010", B"00101010", B"11010100",
B"11100100", B"00100111", B"00010010", B"00101111", B"11101001",
B"00010001", B"11011001", B"00011011", B"00011111", B"11011010",
B"11001111", B"00011001", B"11100011", B"11011111", B"11110011",
B"11100100", B"00011011", B"11011101", B"00011011", B"00011111",
B"11101000", B"00110001", B"00011101", B"11011100", B"11010111",
B"11100001", B"11101111", B"11101100", B"00100011", B"00011100",
B"00011011", B"00100011", B"00000100", B"00100010", B"11001111",
B"11011011", B"00101100", B"00100101", B"11010110", B"11100001",
B"00100101", B"11101010", B"00010110", B"11101001", B"11100001",
B"11010001", B"00001010", B"00011110", B"00011100", B"00100001",
B"00101110", B"00011001", B"00011011", B"00100000", B"00101111",
B"00100111", B"11011001", B"11011101", B"00011110", B"00111001",
B"00101000", B"00011110", B"11011000", B"11011101", B"00101101",
B"11010001", B"11101000", B"00100010", B"11110101", B"11011110",
B"11010111", B"11110001", B"11011111", B"11101010", B"11011001",
B"00011001", B"00011101", B"11011001", B"00010111", B"11100111",
B"11100110", B"11100010", B"11011001", B"11100001", B"00010101",
B"00100011", B"00100101", B"00011010", B"11001101", B"00110100",
B"00011110", B"00011100", B"11110111", B"00100010", B"11101010",
B"00011100", B"11100010", B"00010111", B"00100001", B"00011101",
B"11011100", B"00010110", B"11010001", B"00010110", B"00011001",
B"11100000", B"11011010", B"00010100", B"11011011", B"11011110",
B"11011111", B"11011101", B"11011000", B"00100100", B"00011100",
B"00011100", B"11101010", B"00011110", B"11100100", B"00100110",
B"11100010", B"00011000", B"00001100", B"00101010", B"11011010",
B"00010001", B"11100010", B"00110101", B"11100000", B"11011100",
B"00101100", B"00100111", B"00011100", B"00100001", B"11011010",
B"11110101", B"11100001", B"00100001", B"00001111", B"00011101",
B"11100100", B"00011100", B"11001010", B"00011000", B"11001100",
B"11101110", B"00100101", B"00010100", B"00100001", B"00001101",
B"11111000", B"11100010", B"00101110", B"00011110", B"11101011",
B"11100001", B"00011110", B"00101001", B"11011000", B"11011111",
B"00011010", B"11010010", B"11100101", B"00011111", B"11101000",
B"11100101", B"11011100", B"11101010", B"11010111", B"00001111",
B"00011101", B"11101110", B"11011000", B"11100101", B"11101000",
B"11011000", B"00101010", B"11101000", B"00011101", B"11100001",
B"11011001", B"11101100", B"00011100", B"00100001", B"00111100",
B"00100101", B"11101011", B"11100010", B"00101100", B"00101010",
B"11011100", B"11100110", B"00110110", B"11011100", B"11101000",
B"11110010", B"11001110", B"00111001", B"11101001", B"00110010",
B"00011101", B"11101000", B"00010110", B"00001111", B"11011110",
B"00100011", B"00011000", B"11001111", B"00010101", B"00011110",
B"11010101", B"00011100", B"00010001", B"11011010", B"11100100",
B"00100100", B"11100001", B"00011101", B"11111010", B"11100011",
B"11101011", B"00011011", B"00011011", B"11100101", B"11011001",
B"00010110", B"00110010", B"11110010", B"11011000", B"11010010",
B"11100111", B"11110010", B"00100110", B"11011100", B"11001010",
B"11011011", B"11101001", B"00111001", B"11010110", B"00010100",
B"11010110", B"11100000", B"11011111", B"00100011", B"00100011",
B"11101000", B"00101001", B"11010111", B"00110100", B"00110011",
B"00101100", B"11101001", B"00100011", B"11100001", B"00011000",
B"11010101", B"11101001", B"00010010", B"00011010", B"11011000",
B"11011100", B"11100010", B"11110101", B"11001110", B"00011001",
B"11101000", B"11100100", B"00011010", B"11100111", B"11101101",
B"11101100", B"11101000", B"11111000", B"00101100", B"00100110",
B"11110011", B"11101111", B"11101101", B"11100011", B"11000111",
B"00000011", B"11010010", B"11010111", B"11011001", B"11110010",
B"00011010", B"11101110", B"00100100", B"11010100", B"11101101",
B"11011110", B"11010110", B"00100100", B"00100111", B"00100000",
B"00101010", B"00010000", B"00011100", B"11110000", B"00101111",
B"00010000", B"11011111", B"11011001", B"00011110", B"11011101",
B"00100100", B"11110010", B"00010100", B"11101111", B"11110110",
B"00100100", B"00100000", B"00011000", B"00011100", B"11011010",
B"11100111", B"00001111", B"00101000", B"11100010", B"11100111",
B"00101110", B"11011001", B"00100110", B"11100000", B"11010111",
B"11011110", B"00101100", B"00010101", B"11011010", B"00110000",
B"11011110", B"00100110", B"11101001", B"11101100", B"00101101",
B"00101011", B"00100100", B"00010111", B"00101011", B"00100000",
B"00011100", B"11111101", B"00001000", B"00100100", B"00011100",
B"00010111", B"00110100", B"00001010", B"00100000", B"00101010",
B"00100011", B"00100010", B"00101100", B"00101001", B"00100100",
B"11100010", B"00010111", B"11010100", B"00000101", B"11010101",
B"00110100", B"11011010", B"11101010", B"00011110", B"11100100",
B"11110000", B"11010010", B"11011111", B"11011010", B"11000111",
B"11010010", B"11010111", B"00001011", B"00010011", B"00011001",
B"00100101", B"00011001", B"00101101", B"11100101", B"00011011",
B"00001001", B"11100111", B"11001001", B"00110010", B"00100000",
B"11011100", B"00101101", B"00011101", B"11010111", B"00100010",
B"00011100", B"11011111", B"11011001", B"11100101", B"00101010",
B"00100110", B"00011010", B"00100110", B"11010110", B"11111111",
B"00011001", B"11010110", B"00100111", B"00100111", B"11011011",
B"00011011", B"00100000", B"11010111", B"00011000", B"00011110",
B"00110000", B"11111001", B"00100001", B"11100001", B"00010111",
B"11100110", B"00101000", B"00100011", B"00100110", B"00011010",
B"00011110", B"00101100", B"00110100", B"00011111", B"11011001",
B"00010010", B"11101000", B"00010101", B"11100010", B"11011101",
B"00011001", B"00011001", B"11100111", B"00100100", B"00100001",
B"11010001", B"11011110", B"11110001", B"11010111", B"11011010",
B"11100000", B"00011011", B"11011111", B"11011001", B"11011010",
B"00110101", B"00011000", B"11100000", B"00010010", B"11011111",
B"00011001", B"11101000", B"11011101", B"11000110", B"00010001",
B"00100011", B"11101110", B"11101001", B"00011011", B"11101101",
B"00100010", B"11101001", B"11011110", B"00100001", B"11011011",
B"11001110", B"00011011", B"00100001", B"00100000", B"00100000",
B"11011010", B"11010101", B"11111011", B"00010101", B"11101001",
B"00101001", B"11101011", B"11100110", B"00010101", B"00011110",
B"00100010", B"00101000", B"11010101", B"00011100", B"00000111",
B"11100100", B"11010111", B"00100000", B"11101001", B"11101010",
B"00011011", B"11011101", B"00100111", B"11100100", B"11011001",
B"00011011", B"11110000", B"11010011", B"11011000", B"11100101",
B"00100010", B"00001010", B"00101010", B"00010111", B"11100010",
B"00100000", B"00011011", B"00011001", B"11100101", B"00010001",
B"11101101", B"00011001", B"00010111", B"11100000", B"11111110",
B"11100010", B"11011010", B"00111110", B"11010100", B"00010110",
B"00011111", B"11100101", B"00110000", B"11110010", B"11100011",
B"11001100", B"00101001", B"00101001", B"00100000", B"00011001",
B"11101001", B"00001100", B"00001111", B"11100100", B"11101000",
B"00101110", B"11011100", B"11011101", B"00010010", B"11010111",
B"00110010", B"11100001", B"11011101", B"00010111", B"00001110",
B"11011010", B"11101101", B"11100111", B"11101001", B"00001111",
B"11101111", B"00100100", B"11101000", B"11011010", B"11010111",
B"00001111", B"00100110", B"11101100", B"00100100", B"11101000",
B"11100010", B"00100110", B"11100111", B"11100010", B"11100011",
B"00100001", B"00111101", B"11010010", B"00100110", B"11100101",
B"11001000", B"00010100", B"11110111", B"11100011", B"11110010",
B"11010001", B"00001100", B"11100110", B"00011000", B"11011100",
B"11100011", B"11010110", B"00100101", B"00100110", B"11011100",
B"00100000", B"11110010", B"00001110", B"11100000", B"11011000",
B"00011111", B"00011100", B"11010111", B"00010110", B"00100100",
B"11100011", B"11011101", B"11100011", B"11101010", B"11011100",
B"00101010", B"00110101", B"00011010", B"11101010", B"00100100",
B"11011000", B"00011111", B"11100000", B"00011111", B"00010111",
B"00011111", B"11010010", B"00100010", B"11011110", B"00011000",
B"11100011", B"11100100", B"00011000", B"00010101", B"00010010",
B"11011101", B"00100000", B"11010000", B"00011111", B"00011110",
B"11010100", B"00110100", B"00011010", B"11100010", B"00011001",
B"00110011", B"11100011", B"11011001", B"00011100", B"11010100",
B"00110000", B"11100001", B"11101001", B"00011001", B"00110111",
B"11011110", B"11100001", B"11100001", B"11011100", B"00010001",
B"00010010", B"00101101", B"00101101", B"11100000", B"11100101",
B"00111011", B"00100001", B"00101000", B"00011001", B"11001111",
B"11011101", B"11100010", B"00101010", B"00100111", B"00011110",
B"11100010", B"00100101", B"11011111", B"00010101", B"00101110",
B"11100011", B"00011001", B"11011010", B"11011100", B"11101110",
B"00100101", B"00011101", B"11011110", B"00010001", B"11100100",
B"00100000", B"11110000", B"11101110", B"00101000", B"00011010",
B"00100000", B"00101011", B"11001101", B"11100001", B"00011010",
B"00011101", B"11100001", B"11101001", B"00101010", B"00011001",
B"11011010", B"00011001", B"00100011", B"11100001", B"11100111",
B"00100101", B"11110000", B"00101000", B"11101001", B"00100000",
B"11110100", B"11010101", B"00101101", B"00011000", B"11001111",
B"00100000", B"11100000", B"00100101", B"11100111", B"11100011",
B"00101110", B"00100001", B"00011110", B"11100010", B"11100110",
B"00101001", B"11100010", B"11011100", B"11010100", B"11100010",
B"00010011", B"11101101", B"00100110", B"11100101", B"11011011",
B"11101011", B"00011110", B"00101000", B"00011111", B"00010101",
B"00011100", B"00100000", B"00011101", B"00110111", B"00011111",
B"00011101", B"00010010", B"00011000", B"11001011", B"11100010",
B"00011010", B"00100100", B"11110000", B"11100000", B"00010010",
B"00010111", B"11110001", B"11100011", B"00011101", B"00011000",
B"11100111", B"11000110", B"00100010", B"11001011", B"11100111",
B"00011101", B"11011100", B"11100000", B"11011010", B"11100101",
B"00001010", B"00100111", B"11101000", B"11011010", B"00010110",
B"00110010", B"11010101", B"11001000", B"11010011", B"11101000",
B"00100011", B"00011010", B"00011011", B"00100001", B"11010010",
B"11010101", B"11101101", B"11011010", B"11101011", B"11101001",
B"00000100", B"00100100", B"00011110", B"00101100", B"00111001",
B"00101111", B"00011100", B"11100000", B"01000011", B"11011000",
B"00100111", B"11000110", B"11101000", B"11101011", B"00010110",
B"11011001", B"00011111", B"11010010", B"11010110", B"00010101",
B"11011100", B"00010110", B"11010111", B"11100100", B"11011010",
B"00100110", B"00011010", B"11011001", B"11100000", B"11101000",
B"11010001", B"11100011", B"00010111", B"00010000", B"00001101",
B"00001110", B"11010011", B"11001111", B"00011110", B"00010000",
B"00011100", B"00101001", B"11011111", B"11101011", B"11111001",
B"00011110", B"00010101", B"00100101", B"11011101", B"00100101",
B"11010100", B"00011110", B"00000001", B"00101110", B"11011101",
B"00001100", B"00011100", B"11011100", B"11101000", B"00011110",
B"11010011", B"11001111", B"00010011", B"00011111", B"00100000",
B"00010001", B"11100101", B"11111001", B"00011101", B"00011101",
B"11011000", B"00100001", B"00100000", B"11100000", B"11101011",
B"00100000", B"11100111", B"11100010", B"00100010", B"00010101",
B"00100011", B"00011001", B"11100001", B"11110011", B"00100110",
B"00011101", B"00100001", B"11011111", B"00100101", B"11010101",
B"00101100", B"11110000", B"11100010", B"11010010", B"00001111",
B"11100110", B"00100011", B"11010100", B"11111011", B"00010000",
B"00100100", B"11101011", B"11100111", B"11101000", B"11100001",
B"00011001", B"11010110", B"00100001", B"00011100", B"00100100",
B"00011100", B"11010110", B"00010000", B"11010101", B"00010101",
B"11011010", B"11100100", B"11011101", B"11101010", B"00101001",
B"00101101", B"11110100", B"00100010", B"11100110", B"11101000",
B"00100101", B"11100111", B"00010100", B"11011101", B"11100000",
B"00101101", B"00101010", B"00010001", B"00011010", B"11010100",
B"00011011", B"00100100", B"11010100", B"11100110", B"00100101",
B"11101111", B"11011110", B"11101010", B"11001110", B"00001011",
B"00101000", B"00100100", B"00100101", B"00110110", B"11011010",
B"00011011", B"11011111", B"11100000", B"11011010", B"00101001",
B"00100101", B"11110000", B"00011111", B"11110011", B"11101011",
B"11101100", B"00100000", B"00011110", B"11011100", B"11110001",
B"11100101", B"11011000", B"00011101", B"00100000", B"11100111",
B"00011101", B"11100000", B"00100100", B"00011001", B"00100110",
B"11101110", B"00111000", B"11100110", B"00100110", B"11110101",
B"00011010", B"00011011", B"00010001", B"11101001", B"00011101",
B"11110001", B"00010100", B"11011001", B"11100010", B"00010111",
B"00110000", B"00011101", B"11110100", B"00101110", B"11011111",
B"00110110", B"11100110", B"00100000", B"00010101", B"00100001",
B"11100100", B"00100100", B"11111110", B"00011011", B"11010110",
B"00011110", B"00101100", B"00011000", B"11110010", B"00101100",
B"11110000", B"00110010", B"11100110", B"00010110", B"11100100",
B"11100101", B"11011111", B"00100010", B"00001010", B"11001101",
B"00011100", B"11100101", B"00110110", B"11101011", B"11100011",
B"11010101", B"00001110", B"00100100", B"11011000", B"00101000",
B"00011010", B"00011110", B"11011111", B"00010010", B"11010001",
B"00010001", B"11101101", B"00101101", B"11010110", B"11100100",
B"11011101", B"00011001", B"00100111", B"11011111", B"00101100",
B"00011111", B"11101110", B"11011100", B"00011101", B"00100001",
B"11010000", B"11101101", B"00001110", B"00100111", B"00110001",
B"11101011", B"00100111", B"11001101", B"00100110", B"11101111",
B"11011101", B"11011100", B"11001011", B"00100011", B"00110100",
B"11011001", B"00110110", B"11011000", B"11100101", B"11110001",
B"11101100", B"11100010", B"00000100", B"00101110", B"00011001",
B"00100110", B"00010000", B"11010001", B"11100101", B"11011010",
B"11101101", B"00101010", B"11010111", B"00010001", B"00010101",
B"00100000", B"11010101", B"00010010", B"00010000", B"11100100",
B"11010101", B"00100011", B"00010011", B"11100011", B"00101111",
B"11010001", B"11011001", B"11101001", B"00001100", B"00100010",
B"00110010", B"11011000", B"11000100", B"00010110", B"11011011",
B"11100110", B"11011111", B"11101010", B"00101110", B"00011010",
B"00011101", B"00101110", B"00100110", B"00010101", B"00011101",
B"00001110", B"11100010", B"11101011", B"11101101", B"00011110",
B"00011101", B"11100101", B"00011110", B"11100010", B"00101011",
B"00011101", B"00100101", B"00110100", B"00100111", B"00010101",
B"00100101", B"00100010", B"00011000", B"11100001", B"11100101",
B"11111010", B"11011001", B"11100101", B"11011010", B"11100000",
B"11011010", B"00011111", B"11100010", B"11100111", B"11110100",
B"00101000", B"00101000", B"11101100", B"00010010", B"11011111",
B"00010010", B"11110000", B"11011011", B"11011001", B"00001100",
B"00011000", B"00011100", B"00011111", B"00110000", B"11011001",
B"00100010", B"11110010", B"00111011", B"11110100", B"00100111",
B"00011011", B"00100011", B"11010100", B"00000100", B"11010000",
B"00111001", B"11100000", B"11100011", B"11011111", B"11101001",
B"11001111", B"00010110", B"00100111", B"00010101", B"00110010",
B"11011100", B"11101101", B"00011000", B"00011011", B"00000001",
B"00110000", B"11101011", B"11101000", B"11010111", B"11011111",
B"11110000", B"00011000", B"00101000", B"11101100", B"00100010",
B"11011101", B"00010111", B"00101000", B"00100010", B"00101101",
B"00110100", B"00101010", B"00111001", B"00010100", B"00101010",
B"11011101", B"00100111", B"11001101", B"11101111", B"11010010",
B"00100101", B"00011011", B"11010100", B"00100101", B"11010110",
B"11011101", B"11011000", B"00110011", B"00100111", B"11100011",
B"11110101", B"00100010", B"11101000", B"11100110", B"11110000",
B"00010011", B"00111101", B"11101110", B"00001010", B"00101110",
B"00100000", B"00011001", B"00011000", B"00101001", B"00100001",
B"00100100", B"00101010", B"11101010", B"11010100", B"00011000",
B"11100100", B"11011111", B"11101111", B"11100001", B"00010101",
B"11011100", B"11011111", B"11010010", B"11011010", B"01000001",
B"11100101", B"00101010", B"00011011", B"11100100", B"11101100",
B"11011110", B"11001101", B"00011001", B"11011001", B"00011001",
B"11100100", B"10111111", B"11100011", B"00011100", B"00100010",
B"11001001", B"00011101", B"11101000", B"00100010", B"00100110",
B"11101111", B"11101000", B"00011110", B"00010101", B"11100110",
B"11100011", B"00001110", B"00000010", B"00011000", B"00100100",
B"00110111", B"00011011", B"00011001", B"00011010", B"11010000",
B"11111010", B"00011010", B"00100100", B"00110001", B"00001001",
B"11010100", B"11011010", B"00011110", B"11011101", B"11010010",
B"00101101", B"11101101", B"11100100", B"11100000", B"11011000",
B"00100010", B"00011101", B"00011100", B"00101011", B"00010111",
B"00010100", B"00100101", B"00011011", B"11011100", B"00011100",
B"00011010", B"00100111", B"11000000", B"00100100", B"11011100",
B"00110011", B"00100000", B"00010110", B"11100011", B"00100101",
B"00110000", B"11101001", B"11011001", B"00100011", B"00011100",
B"11101000", B"00100101", B"00010101", B"11011100", B"00101101",
B"00010100", B"11101010", B"11011000", B"11100110", B"00011011",
B"11101101", B"00101000", B"11011110", B"11010111", B"00000111",
B"00010001", B"00011000", B"00001100", B"00110010", B"00101000",
B"00010100", B"00101101", B"00101010", B"00101001", B"00011001",
B"00101001", B"00011110", B"00011111", B"00011011", B"00011101",
B"00101001", B"00011110", B"00010100", B"00001100", B"11011010",
B"00001100", B"11010010", B"00010111", B"11101010", B"00100101",
B"11011001", B"00100100", B"00001101", B"11100010", B"00100101",
B"00011111", B"11101001", B"11100101", B"11101000", B"00011010",
B"11011111", B"00101101", B"11011010", B"11111011", B"00101110",
B"00011111", B"00100010", B"11110100", B"11010101", B"00011011",
B"00100011", B"11100111", B"11011001", B"11010100", B"00101101",
B"00100001", B"11011010", B"11100111", B"11100111", B"11011111",
B"11001110", B"00100000", B"11100000", B"11100010", B"00010000",
B"11100100", B"11100101", B"11101101", B"11010101", B"11011110",
B"00101111", B"00100101", B"11110011", B"11001101", B"11011110",
B"11100010", B"11100111", B"00111000", B"00100111", B"11111110",
B"00010010", B"00011010", B"00100100", B"00101000", B"00100111",
B"00100100", B"00100011", B"00011001", B"00011110", B"00101001",
B"00101100", B"00011011", B"00101101", B"00011011", B"00100100",
B"00011010", B"11101010", B"00100111", B"11101111", B"00110000",
B"11100000", B"11100000", B"00001011", B"11100100", B"11011011",
B"11101011", B"00110000", B"00011111", B"11100011", B"11011111",
B"00011100", B"00010011", B"00010110", B"11001000", B"00010110",
B"11011001", B"00011001", B"11010111", B"00011000", B"00010101",
B"11101101", B"11011100", B"11011110", B"11010101", B"11101001",
B"00011010", B"00000100", B"00010111", B"00010111", B"00100011",
B"00011100", B"00011001", B"00100100", B"11100000", B"00100000",
B"00010100", B"00101001", B"11110011", B"00100011", B"11001011",
B"00101010", B"11101000", B"11101010", B"00011101", B"11101010",
B"00011100", B"11011010", B"11101101", B"00011111", B"00011100",
B"11110101", B"11100011", B"11011101", B"11011110", B"00011110",
B"11011110", B"00011000", B"00100011", B"11100111", B"11101111",
B"00000110", B"11100000", B"11111010", B"11110010", B"11101000",
B"00110000", B"11011111", B"00100001", B"00010100", B"11001101",
B"00100100", B"00111110", B"11110010", B"11100111", B"00011100",
B"11011110", B"11101011", B"11100111", B"00101110", B"00100010",
B"11010011", B"00100110", B"00101011", B"11110010", B"00100011",
B"00011101", B"11101100", B"11100101", B"00011101", B"00100101",
B"00101000", B"00010111", B"00110010", B"00001011", B"00111000",
B"00010101", B"00011111", B"00111001", B"11001111", B"00100101",
B"00101000", B"11011000", B"00011001", B"00010001", B"11000110",
B"11110000", B"00011010", B"11010111", B"00100111", B"11100011",
B"11101111", B"00110000", B"00011100", B"11001001", B"11001111",
B"00010101", B"11100011", B"00010011", B"11001111", B"11100011",
B"00101101", B"11100010", B"00011010", B"11110000", B"11101110",
B"11101101", B"00011011", B"00100010", B"11101001", B"11101110",
B"11000100", B"00011011", B"00011001", B"00011011", B"00100011",
B"11001100", B"11011001", B"00010100", B"11011000", B"11011000",
B"11101100", B"11011000", B"00011110", B"11001110", B"00100100",
B"11011101", B"11010001", B"11101100", B"11011110", B"00101010",
B"00011111", B"00010100", B"00010011", B"00100010", B"11001100",
B"11100010", B"00010101", B"11101110", B"11011101", B"11100100",
B"11011011", B"00110111", B"00011010", B"11010111", B"11010011",
B"00100000", B"00011111", B"11101101", B"11100101", B"00100111",
B"00001101", B"00011111", B"11010111", B"00101000", B"11010101",
B"00010011", B"11011110", B"00011000", B"11011010", B"00011000",
B"00100010", B"11010100", B"00011110", B"00011000", B"11010011",
B"00001110", B"00011101", B"00100011", B"11011110", B"00101101",
B"11101100", B"00011011", B"11010000", B"11100001", B"00100000",
B"11101110", B"00101101", B"11001010", B"11101011", B"00010110",
B"00001110", B"11010110", B"00100111", B"11011100", B"11101001",
B"11011010", B"00101100", B"00100011", B"11100011", B"00011100",
B"11111101", B"11010001", B"00010000", B"11001110", B"11110000",
B"11100101", B"11100000", B"11011110", B"11011111", B"11101001",
B"11001110", B"00100111", B"00001110", B"00100110", B"00101001",
B"11111101", B"00110011", B"11100110", B"00011000", B"11100111",
B"11100010", B"00100010", B"00011110", B"11011011", B"11010000",
B"11011000", B"00101110", B"00100011", B"11100001", B"00100111",
B"11101101", B"00010100", B"00101110", B"11010100", B"00101000",
B"00011110", B"11100110", B"11011000", B"00100111", B"11101011",
B"00010111", B"00100101", B"00101001", B"11100011", B"00101011",
B"11001111", B"00010101", B"00011110", B"11011100", B"00011011",
B"00101010", B"11001110", B"00100011", B"00011010", B"11101100",
B"00011111", B"00111000", B"11011011", B"00101100", B"00101110",
B"11100111", B"11011010", B"00001011", B"11000110", B"00110110",
B"11100111", B"00101110", B"11100001", B"11010000", B"00100110",
B"00101100", B"11001111", B"00100001", B"11110000", B"00011001",
B"11010100", B"11010010", B"00011100", B"00010101", B"11011100",
B"00011010", B"11101111", B"00010111", B"11011000", B"11011000",
B"00101100", B"00011010", B"00011111", B"00010111", B"00100100",
B"11011111", B"00001100", B"11100011", B"00011011", B"11011010",
B"11111010", B"00110011", B"11011011", B"00110101", B"11110010",
B"11100100", B"00110101", B"00100111", B"00101101", B"00100110",
B"00011100", B"00100110", B"00011011", B"00011101", B"00010100",
B"00101010", B"11010111", B"00101000", B"11101000", B"00110100",
B"11110100", B"11111101", B"00101011", B"00010100", B"00011001",
B"11000111", B"00010110", B"11011111", B"11100000", B"11001011",
B"00101100", B"00100111", B"11011001", B"00111011", B"11100011",
B"11010101", B"11011000", B"00100100", B"00010011", B"11011100",
B"00101001", B"00111111", B"00110011", B"00100101", B"00010101",
B"00101110", B"00100000", B"00011101", B"00100100", B"11100101",
B"00100111", B"00100011", B"11010110", B"00010001", B"00101011",
B"11100101", B"11010111", B"00010011", B"00010010", B"00010101",
B"11110000", B"00100111", B"11100000", B"00100011", B"11101001",
B"11100001", B"11011101", B"11100101", B"00101001", B"00101010",
B"00011001", B"00011111", B"00101101", B"11011111", B"00100000",
B"00101010", B"11101100", B"00100010", B"00011011", B"11011001",
B"11101111", B"00011110", B"11101100", B"00011100", B"11100100",
B"11001111", B"00101100", B"11111101", B"11011110", B"11011000",
B"11000111", B"11001100", B"00100000", B"00110101", B"00010110",
B"00100111", B"11011111", B"00011001", B"00010101", B"00100111",
B"11101101", B"00011010", B"11100011", B"00101000", B"11011011",
B"11010010", B"11010110", B"00101100", B"00101011", B"11100001",
B"00101110", B"11100100", B"00011011", B"11111110", B"11001101",
B"11110000", B"11100010", B"00101010", B"11111100", B"00010100",
B"11011101", B"11000101", B"11010110", B"00001101", B"00011101",
B"11011110", B"00101100", B"11011100", B"11001010", B"00101101",
B"11010011", B"11110100", B"11001111", B"00100110", B"00101101",
B"11100010", B"00100110", B"00110011", B"00001011", B"00010110",
B"00111000", B"00011000", B"00011100", B"00010101", B"11010111",
B"11011101", B"00101110", B"00011110", B"00100100", B"00011010",
B"11101101", B"11010011", B"00010100", B"00101010", B"11011000",
B"11001111", B"00101110", B"00011010", B"11011100", B"11011100",
B"00100000", B"00011011", B"00100101", B"00100011", B"00101100",
B"00100000", B"00010000", B"00011000", B"11010100", B"00011101",
B"11110010", B"11011110", B"11011001", B"00100001", B"00111001",
B"11101001", B"11010111", B"11011110", B"00011010", B"00100101",
B"00101110", B"00001010", B"11011000", B"11011001", B"00100000",
B"00011001", B"11011111", B"00100110", B"00101010", B"11011111",
B"11011001", B"00011010", B"11110100", B"00100000", B"00101010",
B"00101100", B"11100111", B"00011011", B"11101000", B"00011011",
B"11011001", B"00011101", B"11011110", B"11100010", B"11011101",
B"00010111", B"00011111", B"11101000", B"00100111", B"11100101",
B"11101001", B"00011110", B"11001101", B"11101001", B"11010010",
B"11010011", B"11001101", B"00011100", B"00011010", B"00101010",
B"11100101", B"00100010", B"11001111", B"00101111", B"11101110",
B"11011001", B"11001010", B"11100010", B"00101000", B"00010111",
B"00011001", B"00100100", B"11100101", B"00010001", B"00000110",
B"00101100", B"11010110", B"00100011", B"11101101", B"00100010",
B"00100100", B"11100010", B"11100000", B"00011110", B"11011101",
B"11100100", B"11101101", B"11100000", B"11100011", B"11011010",
B"00011011", B"00011000", B"00111001", B"00100001", B"11011110",
B"11101111", B"11101010", B"00011000", B"00010000", B"11010011",
B"11100011", B"11011011", B"11110010", B"11011001", B"11011101",
B"11000110", B"00110011", B"11010111", B"00101101", B"11010010",
B"11011101", B"00110001", B"11011111", B"00011110", B"00100010",
B"11011111", B"11100010", B"11100110", B"11011001", B"11011110",
B"00010011", B"00100011", B"11011111", B"00101011", B"00100000",
B"11011010", B"11010000", B"00110011", B"00011111", B"11100110",
B"00011111", B"00001000", B"11011100", B"00101101", B"00111001",
B"11100101", B"00010000", B"11101000", B"00011010", B"11011011",
B"11011111", B"11100101", B"00010111", B"00100110", B"00111001",
B"11100111", B"00100100", B"11010101", B"11011110", B"11101100",
B"00011001", B"00011010", B"11010111", B"00101111", B"11101001",
B"00010011", B"11100110", B"11100100", B"00110010", B"00001110",
B"00100001", B"00011001", B"00101001", B"00101100", B"00010110",
B"00011001", B"00001101", B"00100000", B"11101011", B"00001111",
B"00110010", B"00011001", B"11111111", B"00010111", B"11110001",
B"00011111", B"11101100", B"00010111", B"11110001", B"00101100",
B"11101000", B"11100101", B"00000111", B"00100010", B"11101001",
B"11100010", B"00011001", B"00110000", B"00101000", B"00010011",
B"11011000", B"11100100", B"00101100", B"11100110", B"11101101",
B"00101000", B"11101001", B"11100001", B"11011110", B"11011111",
B"00100110", B"11101010", B"11011001", B"00101001", B"11101011",
B"11101011", B"11010101", B"11011010", B"11011001", B"11101001",
B"00011100", B"00011101", B"00011011", B"00011110", B"11011111",
B"11010001", B"00100101", B"00110011", B"11100001", B"11011010",
B"00000110", B"00101110", B"11011001", B"11100000", B"00101000",
B"00001111", B"11010111", B"00010000", B"00011010", B"11100110",
B"11001011", B"00100000", B"00011011", B"00101000", B"00100001",
B"00001000", B"00100100", B"00011000", B"00110001", B"00011111",
B"00011100", B"11010000", B"01000100", B"00100110", B"11011100",
B"00100001", B"00011011", B"11100111", B"00010011", B"00011000",
B"11010100", B"00001001", B"00101100", B"11101000", B"11101110",
B"00110001", B"11100011", B"00010001", B"11100101", B"00100010",
B"11011101", B"11010010", B"00100111", B"00101000", B"11101111",
B"00100001", B"11100001", B"00101100", B"11101001", B"11001000",
B"00010010", B"00100111", B"00010001", B"11011001", B"00110011",
B"11011001", B"11100100", B"11100110", B"00011011", B"00101000",
B"00100101", B"11011010", B"11100011", B"00101001", B"11100001",
B"11101001", B"11100111", B"11011010", B"11101001", B"00010010",
B"00100010", B"00101110", B"11100010", B"00100110", B"11101010",
B"00010100", B"00110101", B"00011010", B"00100100", B"11100100",
B"00100010", B"11011100", B"00011000", B"11110111", B"00100011",
B"11001101", B"00010111", B"00011010", B"11101100", B"00111000",
B"00000010", B"11011110", B"11011001", B"11010101", B"11100111",
B"11011100", B"00011110", B"00011100", B"00100000", B"00010111",
B"00100111", B"00011101", B"11000111", B"11010001", B"00011011",
B"00101110", B"11110000", B"11101011", B"00001111", B"11101000",
B"11101010", B"11011111", B"11100101", B"00011011", B"11011101",
B"00010101", B"11011100", B"00100011", B"00100010", B"11100111",
B"11011001", B"11001100", B"11101100", B"11101100", B"00100011",
B"11101100", B"11010010", B"11011110", B"11010111", B"00110101",
B"11101000", B"00010011", B"00010110", B"11010010", B"11100110",
B"00100000", B"11000010", B"11011110", B"11010010", B"11010101",
B"11100000", B"11011111", B"00100000", B"00011111", B"00101000",
B"00011000", B"11011101", B"11100101", B"11100111", B"00010110",
B"11011100", B"00100000", B"11110000", B"11110110", B"00100111",
B"00011011", B"11100011", B"00010100", B"00011010", B"11010110",
B"11011001", B"11101110", B"11100100", B"11000011", B"00011001",
B"00101100", B"11100010", B"11100000", B"00100001", B"00010111",
B"11011010", B"11010011", B"00010100", B"00011010", B"00010100",
B"00100011", B"00100011", B"00110011", B"00101101", B"00010001",
B"00011101", B"00110001", B"11100100", B"00011011", B"00010011",
B"11100111", B"11101000", B"00110100", B"00011010", B"11101001",
B"11011111", B"00011110", B"11011110", B"11100101", B"11101000",
B"11101101", B"00001111", B"00010010", B"00100011", B"00101100",
B"00100111", B"00100001", B"00001111", B"00011000", B"11011000",
B"11100101", B"00001110", B"00101001", B"00100001", B"00011010",
B"11100101", B"11011010", B"11011100", B"11100110", B"00101010",
B"11010100", B"00100100", B"11011011", B"11011011", B"00100010",
B"00101000", B"11001001", B"00100111", B"00101110", B"11100000",
B"00101010", B"00011110", B"11100010", B"00101000", B"00011000",
B"11100010", B"00000111", B"00101000", B"11011011", B"11100000",
B"00101001", B"11011110", B"11101100", B"11010011", B"00100000",
B"00100100", B"11100010", B"00100111", B"11001010", B"00010001",
B"00101100", B"11100101", B"11100000", B"00010000", B"00100000",
B"11101011", B"11110011", B"00100011", B"00101110", B"00100001",
B"11110000", B"00101001", B"11110100", B"00101011", B"11101111",
B"11001000", B"00010111", B"11011101", B"11100011", B"11011011",
B"00100101", B"00110000", B"11100010", B"00101011", B"00101111",
B"00101011", B"00010101", B"00001011", B"00011100", B"00100001",
B"00001101", B"11011001", B"00010110", B"11101110", B"00101011",
B"11110011", B"11101100", B"00100000", B"00101101", B"00100001",
B"00011011", B"11011101", B"11100001", B"00010111", B"00011010",
B"11100111", B"11011110", B"00100001", B"00101111", B"11110011",
B"11010010", B"00011000", B"00010110", B"11111000", B"11001111",
B"00101010", B"11101010", B"11010011", B"11100000", B"11110001",
B"00011101", B"11011100", B"00110000", B"00101001", B"11011110",
B"00101111", B"00100001", B"11010110", B"00101111", B"00101000",
B"11101100", B"00101011", B"00010100", B"00010011", B"11011001",
B"00100010", B"11110011", B"00010011", B"11100000", B"11010100",
B"11100101", B"00010111", B"00011001", B"00011110", B"00100001",
B"11011110", B"11011010", B"11011001", B"00101000", B"00011111",
B"00010011", B"11001110", B"00001010", B"11010010", B"00011010",
B"11101010", B"11011101", B"00010010", B"11100011", B"00000110",
B"11011011", B"11010010", B"00110000", B"00011011", B"11100001",
B"00010101", B"00100010", B"11100011", B"00100001", B"00011011",
B"11011101", B"11110001", B"11101011", B"00110110", B"11100101",
B"00101100", B"11100100", B"11101100", B"00100001", B"00001110",
B"00011001", B"11100011", B"00100010", B"00100000", B"11011011",
B"11100001", B"00101000", B"11101011", B"00010100", B"11100100",
B"11100001", B"11100010", B"00100111", B"00011001", B"11010011",
B"11100111", B"00010011", B"11110000", B"00010110", B"11010000",
B"11100101", B"00011001", B"00000110", B"11100110", B"00011010",
B"11100000", B"11011000", B"11000110", B"00010000", B"00101010",
B"11101001", B"00011010", B"00101000", B"11011110", B"11010100",
B"00100100", B"00010110", B"11111000", B"11100010", B"11011110",
B"00001010", B"00011101", B"00011010", B"11101010", B"00100000",
B"11100011", B"00101000", B"11101110", B"00110000", B"00011010",
B"00100100", B"11100110", B"00110001", B"11010111", B"00010000",
B"00101010", B"00110101", B"11011011", B"00101101", B"00001100",
B"11110000", B"11100011", B"00100100", B"11100010", B"00011111",
B"11100000", B"00010000", B"11100100", B"11101000", B"00110000",
B"00101101", B"00101010", B"00101100", B"11010010", B"00100101",
B"00100101", B"11100010", B"11011111", B"00010100", B"00010111",
B"11101101", B"11101001", B"00110001", B"11101111", B"11100000",
B"11010100", B"11101111", B"11101000", B"11011101", B"00010000",
B"00110010", B"00011100", B"00101101", B"11101001", B"11100011",
B"11011011", B"11100101", B"11100000", B"00100111", B"00010011",
B"11010010", B"00100011", B"11011111", B"00010111", B"00100001",
B"11010001", B"00011100", B"00011101", B"11001101", B"11100100",
B"00100101", B"00011011", B"11010001", B"11011100", B"00011111",
B"11101000", B"11101101", B"11010110", B"11101000", B"00010100",
B"00100010", B"00011101", B"11001111", B"00010000", B"11100011",
B"00110110", B"11011001", B"00001010", B"11011001", B"11101010",
B"11011000", B"11100000", B"00101000", B"11101001", B"00100100",
B"11010010", B"11010001", B"00001111", B"11101000", B"00100101",
B"11101010", B"11100000", B"00010111", B"11011001", B"11101100",
B"00100001", B"11010101", B"00110110", B"11101010", B"11100110",
B"00010000", B"11100011", B"11010011", B"11011000", B"11100101",
B"00100010", B"00101000", B"00100000", B"00010001", B"00101010",
B"00011111", B"11110000", B"00011101", B"00010110", B"11100000",
B"11011100", B"00011001", B"00100000", B"00010101", B"00011111",
B"11011101", B"00010010", B"11101001", B"00100101", B"11001100",
B"00100001", B"00101110", B"11100111", B"11010011", B"00010001",
B"00101110", B"11101011", B"11001010", B"00101111", B"11011000",
B"11010110", B"00100011", B"11011010", B"11010000", B"11100011",
B"11110001", B"00011110", B"11110010", B"00011000", B"00101001",
B"11011110", B"00101110", B"00011111", B"11011110", B"00010110",
B"11011100", B"00010111", B"11010100", B"11011100", B"11001110",
B"00010101", B"00011011", B"11001000", B"00101000", B"00011001",
B"11101001", B"11110100", B"11010011", B"11100000", B"11101001",
B"00010001", B"00100000", B"11100010", B"11100010", B"00101010",
B"00011011", B"11011000", B"11100111", B"00011100", B"00101110",
B"00101000", B"00100001", B"00001001", B"00010101", B"00011100",
B"00000101", B"00010100", B"11101000", B"00111010", B"11100111",
B"11100000", B"11100010", B"00100001", B"00100000", B"11010101",
B"11100010", B"11010110", B"11100011", B"00100110", B"00101010",
B"00011110", B"00011110", B"00011011", B"00100010", B"11001110",
B"00101010", B"00010011", B"11101011", B"11100001", B"00111001",
B"11100011", B"11100001", B"11011111", B"00010101", B"00010000",
B"11011010", B"00010111", B"11100101", B"11100010", B"00110010",
B"11011110", B"00100110", B"11100011", B"11100100", B"00101010",
B"00100000", B"11100010", B"11010111", B"11100000", B"11101001",
B"00010100", B"00101000", B"00100110", B"00101110", B"00010010",
B"00010100", B"11101010", B"11010101", B"00011110", B"00010101",
B"11010110", B"11100100", B"11101010", B"11011000", B"00100100",
B"11100010", B"00110010", B"11011100", B"11100100", B"00011011",
B"00001010", B"00110000", B"11110010", B"11011101", B"00010010",
B"00101000", B"11001001", B"11000011", B"00011011", B"00010011",
B"00101010", B"00100001", B"00100101", B"00011011", B"00011001",
B"00101101", B"00100010", B"11101011", B"00100010", B"11110101",
B"11011001", B"11001010", B"00100000", B"00011000", B"00011110",
B"00100001", B"11110001", B"11100010", B"00100011", B"00011101",
B"11010101", B"11100001", B"00011010", B"11101110", B"00101010",
B"00100110", B"11011000", B"00011011", B"00100000", B"11100101",
B"00011010", B"11100101", B"00011011", B"11010100", B"11100011",
B"11111010", B"00110000", B"00100001", B"00001101", B"11011111",
B"11110011", B"11010011", B"11110101", B"00011111", B"11100010",
B"00011101", B"11001011", B"11100001", B"00110101", B"00100000",
B"00010011", B"00000011", B"11101100", B"11001110", B"00100111",
B"11111100", B"00100110", B"11100111", B"11111100", B"11111010",
B"00011011", B"00100010", B"00011011", B"00010000", B"11101000",
B"00100001", B"00110010", B"11011100", B"11011001", B"00011110",
B"11101001", B"11010101", B"00101011", B"11101001", B"00101011",
B"11010000", B"11001011", B"00011101", B"00011000", B"00011010",
B"11100110", B"00010111", B"00001100", B"11010111", B"11010100",
B"00011111", B"11100010", B"11001110", B"00011011", B"00100110",
B"00100101", B"00011011", B"11100101", B"11110000", B"11011001",
B"11010111", B"11100100", B"00101001", B"00010110", B"11011011",
B"00010001", B"11100010", B"11010111", B"11101001", B"11101100",
B"00011001", B"00110101", B"11011111", B"00011111", B"11110010",
B"00101111", B"00101010", B"11100001", B"11100001", B"00010110",
B"00011100", B"11100111", B"11011101", B"00100001", B"11010001",
B"00101101", B"11011110", B"11011010", B"11010001", B"00101010",
B"00010100", B"11100101", B"00100001", B"00001101", B"11011101",
B"11100101", B"11011100", B"11010100", B"11010101", B"00011110",
B"11100010", B"11100001", B"11100110", B"11011110", B"00011100",
B"11110100", B"00101111", B"00110000", B"00010011", B"00001101",
B"11101101", B"00110001", B"11100111", B"00101101", B"11011101",
B"00100110", B"00101010", B"11100000", B"11101000", B"00011001",
B"00010011", B"11100010", B"11010110", B"11010101", B"00010001",
B"00011010", B"00010101", B"11011100", B"00100100", B"11100000",
B"00001001", B"00000111", B"00011101", B"11011111", B"00011100",
B"00010000", B"11100000", B"11101001", B"00011110", B"00101000",
B"00110011", B"00011011", B"11010010", B"00011001", B"11011100",
B"00010011", B"11101011", B"11011111", B"00010011", B"00011010",
B"00101100", B"11110001", B"00100001", B"11101010", B"00000010",
B"11100001", B"00101000", B"11010001", B"00011110", B"11100000",
B"11100010", B"00100011", B"00100100", B"00100010", B"11011101",
B"11100101", B"00011000", B"11010010", B"11001011", B"11100001",
B"11101010", B"00011011", B"11100011", B"00100100", B"11110100",
B"11100011", B"11110011", B"00101010", B"00010101", B"00101001",
B"00011100", B"11011011", B"00010001", B"00011111", B"11110100",
B"11011100", B"00101010", B"00101001", B"11011000", B"00011001",
B"11101010", B"11011110", B"11110001", B"00100010", B"00100011",
B"00101111", B"00101000", B"11011001", B"00100101", B"00101001",
B"11010110", B"11010011", B"00101001", B"11101001", B"00101101",
B"11011000", B"10111111", B"11011110", B"00011000", B"00111001",
B"11100111", B"11110110", B"11011000", B"11011101", B"11100111",
B"00100000", B"00001010", B"00011001", B"00101110", B"11011011",
B"11011111", B"11100000", B"00011101", B"00010011", B"11001111",
B"00100000", B"11110101", B"00011101", B"11011111", B"00100100",
B"00010100", B"11101100", B"00010110", B"00011111", B"11101001",
B"00011010", B"11100010", B"11100010", B"00100010", B"11010010",
B"11100100", B"11100101", B"11010111", B"11100111", B"00010000",
B"00011010", B"11001111", B"11011101", B"11001111", B"11010111",
B"11011000", B"00101001", B"11101110", B"11010011", B"00100101",
B"11110011", B"11010100", B"11100001", B"11100110", B"00110101",
B"11110001", B"11100100", B"11111000", B"11011101", B"00101110",
B"11010110", B"00010001", B"00101000", B"11011101", B"11101110",
B"11011000", B"11011100", B"00100101", B"11100011", B"00100110",
B"11010110", B"00011110", B"00101010", B"00100001", B"11010100",
B"00010110", B"11010100", B"00111100", B"00100010", B"00100000",
B"00010010", B"11011000", B"00011010", B"11100011", B"00001110",
B"11011010", B"00001110", B"11010111", B"11101010", B"11100011",
B"11100100", B"00101001", B"11010101", B"00100011", B"11100110",
B"11100110", B"00101100", B"11011011", B"00101101", B"11100110",
B"11011001", B"00100011", B"11110001", B"11010001", B"11100110",
B"00011010", B"00000001", B"11011111", B"00110101", B"11011100",
B"11110000", B"00100010", B"11100101", B"11110010", B"11010010",
B"00011010", B"00100110", B"11101011", B"11011000", B"11100001",
B"11011100", B"11100010", B"00100011", B"00101001", B"00001111",
B"00101010", B"00001011", B"00011100", B"11001111", B"00011101",
B"00010111", B"11101010", B"11110100", B"00100111", B"00010110",
B"11100010", B"00101000", B"00001101", B"11110000", B"00101000",
B"00100111", B"00001011", B"11100001", B"11010101", B"11111011",
B"00011001", B"00100100", B"11100110", B"00001101", B"11011000",
B"00100101", B"11100111", B"11100000", B"11101110", B"11000101",
B"00010101", B"11100010", B"00011010", B"00011011", B"00100101",
B"00001100", B"00011001", B"00100010", B"00011110", B"00011001",
B"01000000", B"11011111", B"00100101", B"11100001", B"11100100",
B"11101000", B"00100101", B"00101000", B"11110101", B"00100010",
B"00100111", B"00101000", B"11100001", B"00100001", B"11011010",
B"00101010", B"11011000", B"00001011", B"00100001", B"11111000",
B"00101001", B"00011010", B"11100101", B"11100000", B"00011000",
B"00101010", B"00100110", B"00011111", B"00011110", B"00010001",
B"00100010", B"00110110", B"00010001", B"11011110", B"00100101",
B"11011011", B"11011011", B"11011001", B"00100100", B"00011010",
B"11010011", B"00011001", B"00011001", B"00011111", B"00110111",
B"00101100", B"00101000", B"00001101", B"00011100", B"00011001",
B"11101111", B"00011110", B"11101011", B"11100010", B"11101100",
B"00100110", B"00100101", B"00101111", B"00100100", B"00010110",
B"11011101", B"00100010", B"11100000", B"00101000", B"11010011",
B"11101000", B"11100110", B"11011001", B"11011111", B"00110110",
B"00011111", B"00010100", B"00100011", B"00100010", B"00100001",
B"00100110", B"00101001", B"00101101", B"00011110", B"00001100",
B"00101011", B"11100000", B"11011011", B"00010110", B"11001111",
B"00010110", B"11101000", B"11010010", B"00010100", B"11100000",
B"11011101", B"00100011", B"11011101", B"00100100", B"11010111",
B"11001010", B"00101101", B"00100110", B"00101001", B"11100010",
B"00110101", B"00110001", B"11101001", B"10111100", B"00100100",
B"11101101", B"00010111", B"11011100", B"00000001", B"11010000",
B"00110000", B"00011000", B"11100110", B"11100100", B"11011110",
B"11101100", B"00010111", B"00010111", B"11100100", B"00100011",
B"11101000", B"11101001", B"11001111", B"11100000", B"00100011",
B"00011100", B"11011011", B"00011001", B"11100101", B"00101001",
B"00010000", B"00100101", B"11100110", B"00101110", B"11011111",
B"00010110", B"11101111", B"00011110", B"00100111", B"11001011",
B"00001111", B"00100110", B"11010010", B"11011101", B"00100110",
B"11100101", B"00101100", B"11011110", B"11100010", B"11001001",
B"00100111", B"00100001", B"11101100", B"00101110", B"00101111",
B"11011100", B"11101111", B"00100011", B"00011011", B"11010100",
B"11010001", B"11001100", B"11100000", B"00010000", B"11110110",
B"00011110", B"11011111", B"11100110", B"00110011", B"00100011",
B"11010010", B"00101110", B"11100000", B"11010001", B"11110110",
B"00101011", B"00100110", B"11001000", B"00011101", B"11100001",
B"11100110", B"11000110", B"00011110", B"00111001", B"11100010",
B"11010100", B"11110010", B"00100000", B"00011001", B"00100101",
B"00100111", B"11100000", B"11011100", B"11101010", B"11101111",
B"00010111", B"00011010", B"00011110", B"00011110", B"11010000",
B"11011110", B"11101000", B"00110010", B"00010000", B"11101111",
B"11100101", B"11011111", B"11011111", B"11010010", B"00100111",
B"11101010", B"00100110", B"11111000", B"11100110", B"11100101",
B"00101011", B"00010100", B"00100000", B"00011010", B"00110000",
B"00100101", B"00101010", B"00001110", B"00100010", B"00010101",
B"00100111", B"11101010", B"11100011", B"11100110", B"11010110",
B"00011010", B"11011000", B"00010000", B"11011101", B"11100011",
B"11010111", B"11110010", B"00001110", B"00000110", B"00101000",
B"00011001", B"11110011", B"00001100", B"00101101", B"00100101",
B"11011000", B"00010101", B"11010111", B"00101100", B"00101011",
B"00110110", B"11100000", B"00010110", B"00011100", B"11010110",
B"11110010", B"00010110", B"00011000", B"11100110", B"00001101",
B"00011011", B"11100110", B"00011101", B"00010101", B"11001100",
B"11100111", B"11011100", B"00101010", B"00011110", B"00011010",
B"00011010", B"11011011", B"11001111", B"11010111", B"00011100",
B"00011101", B"11100000", B"11100011", B"11101010", B"11110100",
B"11001001", B"00011000", B"11101001", B"11010001", B"11010100",
B"11100101", B"00100100", B"11100010", B"00011010", B"11100110",
B"00100111", B"00011000", B"11010111", B"11100110", B"11101110",
B"11100111", B"11001001", B"00100001", B"11100110", B"00011101",
B"11010011", B"11011110", B"11100101", B"00100101", B"00010001",
B"00110001", B"00001111", B"00011011", B"00100110", B"00100100",
B"00101111", B"00101001", B"00011010", B"11101110", B"11010111",
B"11011010", B"00011000", B"00100000", B"11011011", B"00011010",
B"11010000", B"11010101", B"00111000", B"00110111", B"00011010",
B"11011001", B"00100001", B"11100000", B"00010101", B"11010000",
B"00010110", B"11011101", B"11010110", B"11100111", B"00011010",
B"00011001", B"11110011", B"00100100", B"11010101", B"11101011",
B"11011100", B"11011101", B"00100001", B"11011010", B"00111001",
B"00011011", B"11011111", B"00011101", B"11011100", B"11100101",
B"11100100", B"00010110", B"00010100", B"11011111", B"00100010",
B"00011010", B"11010011", B"11111010", B"11100010", B"11010001",
B"11111001", B"00011101", B"11000010", B"00100101", B"11101111",
B"11100001", B"11001100", B"00010110", B"00101010", B"00000110",
B"00001110", B"00011010", B"00010001", B"00100100", B"00100110",
B"00110111", B"00101111", B"11101001", B"11100011", B"00010111",
B"00101100", B"00011101", B"00100110", B"11011111", B"11010110",
B"00100110", B"11101101", B"11011001", B"11100000", B"11100110",
B"00011010", B"11011111", B"00110011", B"11101000", B"00010110",
B"00100111", B"11100100", B"11110010", B"11011111", B"11101001",
B"11010010", B"11100000", B"11100110", B"11100001", B"00101010",
B"00111001", B"11010011", B"00011100", B"11101001", B"11011101",
B"00011111", B"00011110", B"11101000", B"11101110", B"11100100",
B"11101100", B"11101110", B"11101100", B"00010100", B"11101000",
B"11011111", B"11011010", B"00110100", B"00111001", B"11010100",
B"11011111", B"00101111", B"11000100", B"00010001", B"11011101",
B"11011110", B"00100110", B"00011101", B"00001011", B"00001100",
B"11101110", B"11001111", B"00101110", B"00010111", B"11100001",
B"11010111", B"11010100", B"11011101", B"00000101", B"11011100",
B"00010010", B"11101110", B"11001100", B"00100000", B"11110000",
B"11101011", B"00100100", B"11100000", B"00100011", B"11010110",
B"11101010", B"00110010", B"00110010", B"11010011", B"00101011",
B"11101010", B"11110001", B"11011001", B"00010010", B"00100010",
B"00001101", B"11001011", B"00011111", B"11110111", B"11010001",
B"11110010", B"00011110", B"00011011", B"11011001", B"11011110",
B"11100111", B"00110000", B"00011001", B"11011100", B"00011100",
B"11011010", B"11001111", B"00101110", B"11011100", B"11101110",
B"11100010", B"00010110", B"00101011", B"11011011", B"11000100",
B"00010001", B"11100111", B"11101000", B"11100100", B"00011111",
B"00011010", B"11100010", B"11101001", B"11100110", B"00011100",
B"11011111", B"00101001", B"11011100", B"11101000", B"00001010",
B"00100000", B"11100010", B"11100111", B"11011000", B"11011010",
B"00001000", B"11010100", B"00100111", B"00100010", B"11011100",
B"00010101", B"11011001", B"11010011", B"11111000", B"00011111",
B"00100100", B"11100110", B"00110010", B"11101011", B"00110100",
B"11010111", B"11011110", B"00011011", B"00101110", B"11101111",
B"11101010", B"00100001", B"11000110", B"00010011", B"11011110",
B"11101100", B"00011110", B"11001001", B"11000100", B"00101101",
B"11011111", B"00101100", B"11001111", B"11011111", B"00001110",
B"11011100", B"00100101", B"00010110", B"00011110", B"11011010",
B"00111110", B"11101010", B"00100111", B"11000100", B"11010110",
B"00011110", B"11110000", B"00101110", B"11100011", B"11010110",
B"00011110", B"00011101", B"00101010", B"00010100", B"11010101",
B"00110001", B"11011011", B"00100000", B"11100100", B"11010010",
B"11010110", B"11010011", B"00101100", B"00100101", B"11100011",
B"00101001", B"11101100", B"00101010", B"11010110", B"00011101",
B"11100000", B"11010101", B"11011001", B"00111000", B"00101111",
B"00011111", B"11100000", B"00101111", B"00111100", B"11101110",
B"00011010", B"00100010", B"11100000", B"00011111", B"00011011",
B"00001111", B"11100100", B"00100000", B"11100110", B"00011001",
B"11011111", B"00011011", B"00100011", B"11100001", B"00100100",
B"00011110", B"11011000", B"11101111", B"00101000", B"11100110",
B"00001101", B"11011011", B"11100011", B"11100100", B"00100000",
B"00001110", B"11101100", B"11110111", B"00100000", B"00101111",
B"11011011", B"11011001", B"11100000", B"11011110", B"11010111",
B"11010010", B"00100100", B"00101100", B"11100001", B"11101010",
B"11010111", B"11011011", B"11101001", B"11100010", B"11100000",
B"11010110", B"00100001", B"00010110", B"11101111", B"00011011",
B"11011110", B"11010101", B"11011110", B"00010001", B"11011010",
B"00011100", B"11100100", B"11010111", B"00100000", B"00100011",
B"11101101", B"00001111", B"11100101", B"11100000", B"11011000",
B"00011000", B"00011010", B"00000100", B"11011101", B"11110000",
B"00100111", B"11010001", B"11101001", B"11011011", B"11010011",
B"00101010", B"00100110", B"00010011", B"11100001", B"00011111",
B"11011111", B"00001100", B"11100000", B"11011110", B"11100010",
B"00001111", B"11011000", B"00010101", B"11011111", B"11010100",
B"00011001", B"00011100", B"00101100", B"11100110", B"11011110",
B"00010000", B"00001010", B"11100111", B"11111000", B"00011000",
B"11010001", B"11110111", B"11000110", B"11011100", B"00110101",
B"11101010", B"00010100", B"00011001", B"11100011", B"11010011",
B"00101111", B"11011111", B"11011010", B"11011001", B"11101111",
B"00101001", B"00101111", B"00011111", B"11101001", B"00100101",
B"11110010", B"00011101", B"11011001", B"00011000", B"00010111",
B"11010100", B"11101001", B"00011110", B"00001101", B"11100101",
B"11100010", B"11011011", B"11101100", B"11011100", B"11100110",
B"00011100", B"00100111", B"00001011", B"00001101", B"00001000",
B"11011111", B"00010111", B"11100110", B"11011000", B"11100101",
B"00000101", B"00101111", B"11011101", B"11011011", B"00100010",
B"11010000", B"00011100", B"11101010", B"11011000", B"00110001",
B"11110110", B"11100101", B"11101001", B"11011000", B"00011011",
B"00010010", B"00100101", B"00011001", B"00010000", B"00100110",
B"00100110", B"00100100", B"00011001", B"00100111", B"00100111",
B"00100110", B"11111010", B"00011101", B"00110000", B"00111010",
B"11100000", B"00100101", B"11010111", B"00011010", B"11100010",
B"00010111", B"00110000", B"11010110", B"11101100", B"11100001",
B"11110000", B"11101101", B"11100001", B"11011110", B"11101010",
B"11101110", B"00011100", B"00101010", B"00100000", B"00010000",
B"11011101", B"11110010", B"00101001", B"00110001", B"00011100",
B"00100000", B"11100110", B"11100001", B"00101010", B"11110101",
B"11010010", B"00011100", B"11011111", B"11001010", B"11011101",
B"11100111", B"00010101", B"00101000", B"00011001", B"11010111",
B"00100101", B"11101111", B"00100100", B"11011011", B"11011100",
B"11110011", B"00101100", B"00011110", B"00100000", B"00011110",
B"11011101", B"11101001", B"11010110", B"00101010", B"11100101",
B"00010101", B"11010010", B"11101011", B"00100101", B"00011100",
B"11100000", B"11001110", B"11011110", B"11101110", B"00101001",
B"00010001", B"00101001", B"00011111", B"00011110", B"00100010",
B"11100000", B"11100100", B"00011010", B"00100110", B"11011100",
B"11100111", B"11001110", B"00100011", B"00110000", B"00010010",
B"11010110", B"00100110", B"11100101", B"00100000", B"00010100",
B"11010111", B"00010110", B"00101010", B"11001001", B"00110100",
B"00001001", B"11101101", B"11100000", B"11010110", B"00100000",
B"11101011", B"00100001", B"11100011", B"11000101", B"00011000",
B"00110100", B"11011001", B"00100000", B"00010111", B"11111000",
B"00010111", B"00101100", B"11100111", B"00011111", B"11101011",
B"00100110", B"00101001", B"11011001", B"00100110", B"00011010",
B"11110011", B"00001101", B"11000110", B"11100011", B"11100000",
B"11011110", B"00010010", B"11010111", B"00011010", B"11010101",
B"01000001", B"11101011", B"11110011", B"11010111", B"00100010",
B"00101010", B"11101000", B"11011111", B"11100011", B"11011111",
B"00100101", B"00101100", B"11101111", B"00101101", B"11100111",
B"00001110", B"11100110", B"00010100", B"11010101", B"11100010",
B"11101100", B"00011001", B"00010010", B"00101101", B"11011000",
B"00111001", B"11101110", B"11011111", B"11101100", B"00101001",
B"00100111", B"11001110", B"00101100", B"11010100", B"11011100",
B"11100001", B"00011101", B"00101110", B"11101011", B"11110001",
B"00100000", B"00011110", B"11011001", B"11011100", B"11011111",
B"11001111", B"11110011", B"00100000", B"00011000", B"00010011",
B"11100010", B"00100110", B"11100110", B"00001011", B"11100110",
B"00010011", B"11100011", B"11001111", B"00001110", B"11010010",
B"11101100", B"11010111", B"11100000", B"00101010", B"11011001",
B"11011100", B"00101101", B"11110101", B"11010100", B"11100110",
B"11100000", B"11101010", B"11011001", B"11100110", B"11110010",
B"00001111", B"00101011", B"00010110", B"00010100", B"11111000",
B"11011001", B"11011011", B"00100110", B"00100100", B"11100111",
B"00100011", B"11010011", B"11100100", B"11100001", B"00100011",
B"00010100", B"00010110", B"00011110", B"11100000", B"00000011",
B"11110110", B"11101000", B"00100000", B"00100110", B"00110100",
B"00100010", B"11011011", B"11110100", B"11010011", B"00011101",
B"11010000", B"11011110", B"11100101", B"00011011", B"00010011",
B"11001110", B"11100001", B"00100011", B"00011110", B"00001111",
B"11101111", B"00101110", B"11011101", B"00101001", B"11100101",
B"00101101", B"11101011", B"00010110", B"11101100", B"11101110",
B"00111000", B"00011111", B"11001101", B"11001111", B"00101001",
B"00110001", B"00010101", B"00100100", B"11100100", B"11011111",
B"11010100", B"00010001", B"00110101", B"11100111", B"11010101",
B"11011100", B"11011001", B"11110001", B"00100100", B"11001010",
B"00100000", B"11011101", B"11110110", B"11101101", B"00010000",
B"00101000", B"00101000", B"00011001", B"11110101", B"00100110",
B"00011000", B"11110001", B"11010110", B"00011111", B"00101111",
B"00100111", B"11110001", B"00100011", B"00100101", B"11011111",
B"11110010", B"00100110", B"00100110", B"00101101", B"11100001",
B"11100000", B"00100011", B"00101101", B"11011100", B"11100000",
B"11011110", B"00100011", B"00100111", B"11100000", B"00000110",
B"11100111", B"11010001", B"11011001", B"00011011", B"00010000",
B"11100111", B"00100100", B"00011111", B"11010111", B"11010010",
B"00110000", B"00011101", B"00011001", B"11110000", B"00101110",
B"00000011", B"11110000", B"11100000", B"00011110", B"00001011",
B"11010110", B"11001101", B"11110001", B"11100000", B"00010010",
B"11011111", B"00101100", B"11001111", B"11100101", B"11011010",
B"11101000", B"00001001", B"00010001", B"00011100", B"00101001",
B"00010101", B"11011011", B"00011100", B"00100000", B"11101011",
B"00100111", B"00101010", B"11100101", B"00101000", B"00011111",
B"00001010", B"11101010", B"00011011", B"11001110", B"00110010",
B"11100100", B"00110011", B"00100000", B"00001111", B"00011001",
B"00010110", B"00010100", B"00100011", B"00110101", B"11100000",
B"00100001", B"00011001", B"11110101", B"11100100", B"11010101",
B"11100111", B"11011010", B"11010101", B"11101010", B"00101111",
B"00100111", B"00100111", B"00011110", B"11100010", B"11100001",
B"00001110", B"00100101", B"11011011", B"00011011", B"00010011",
B"11110111", B"11011010", B"00101000", B"11100000", B"00010100",
B"11100100", B"00011011", B"11101110", B"11101011", B"00011111",
B"00010101", B"00100101", B"11100100", B"00101010", B"00100011",
B"11100000", B"00011111", B"00101101", B"11101111", B"00011010",
B"11101000", B"11101010", B"00101011", B"11100111", B"11010110",
B"11010101", B"11011100", B"00100011", B"00011100", B"11101000",
B"00011111", B"00110001", B"11011111", B"11110100", B"00100101",
B"00011100", B"00110100", B"00101011", B"11100100", B"00101010",
B"11011011", B"00100010", B"11100111", B"11011100", B"11110001",
B"11101010", B"11010111", B"00100001", B"00001111", B"00010100",
B"00011000", B"00100001", B"11100000", B"00100000", B"00100101",
B"11010111", B"00100001", B"00101110", B"11100011", B"00101110",
B"00011000", B"00100010", B"11010011", B"00100010", B"11010000",
B"00101110", B"11010100", B"00011011", B"11011111", B"00101111",
B"11100000", B"11101011", B"11011000", B"00100000", B"00110111",
B"00110001", B"00110000", B"00011110", B"11010001", B"00100001",
B"11010110", B"00100100", B"11010101", B"00100100", B"00011010",
B"11010001", B"00011111", B"00010011", B"11100100", B"11010111",
B"00010001", B"00000011", B"11101101", B"11100111", B"11100001",
B"11101110", B"00101000", B"11010101", B"00010000", B"00110001",
B"00100100", B"11010101", B"00111111", B"00010100", B"11100001",
B"11011111", B"00101011", B"11011001", B"11001001", B"11000010",
B"00010110", B"00100101", B"11010011", B"00010110", B"11101101",
B"00100010", B"11100010", B"00011111", B"00011111", B"11010001",
B"00101111", B"00101000", B"11100111", B"00101000", B"00100001",
B"00100100", B"11001111", B"00100011", B"11011100", B"00101101",
B"11101000", B"11100010", B"11011101", B"00110001", B"00101000",
B"00110001", B"00100010", B"11100011", B"11100000", B"00010000",
B"11011101", B"11100001", B"11110001", B"11101011", B"00100000",
B"11011000", B"00110100", B"11110100", B"11101000", B"00100100",
B"00010001", B"00100000", B"00101010", B"11110110", B"11100100",
B"11010110", B"00100011", B"11011101", B"00011010", B"11100110",
B"11011110", B"00011100", B"00100101", B"00100111", B"00100011",
B"11100011", B"11011101", B"00011011", B"00011000", B"11100000",
B"11100000", B"11100101", B"00010100", B"11011111", B"00101101",
B"11011111", B"11100010", B"00100111", B"00011000", B"11011011",
B"11100000", B"11100101", B"00101000", B"00100101", B"11100111",
B"00100000", B"11011101", B"00100111", B"11011010", B"00110101",
B"00101111", B"11010111", B"00101110", B"00100101", B"11110010",
B"00100001", B"11100101", B"00110010", B"11001011", B"11010110",
B"11001101", B"00100010", B"00101001", B"00010001", B"00101100",
B"00010111", B"11010100", B"00100010", B"11011100", B"00011001",
B"11001111", B"00101100", B"00101001", B"00110000", B"00110000",
B"00010111", B"00101000", B"00101000", B"00101100", B"00011011",
B"11011001", B"00010101", B"00100100", B"11100010", B"00100101",
B"00001011", B"11011110", B"11001111", B"00100011", B"00010101",
B"00101010", B"11100111", B"00101000", B"11100001", B"00010101",
B"11011110", B"00101101", B"00100110", B"11100011", B"11111000",
B"11100100", B"11110101", B"11100111", B"00011011", B"00110111",
B"11100110", B"00100000", B"00100100", B"11010110", B"11100100",
B"00101000", B"00001000", B"11011111", B"00100111", B"00011110",
B"11011011", B"00001110", B"00011101", B"11101010", B"00110010",
B"00011101", B"00110100", B"00010000", B"00110011", B"00100010",
B"00101000", B"00011010", B"00010101", B"00010100", B"11010101",
B"11011101", B"00101100", B"00011010", B"11101111", B"11101011",
B"11011111", B"11010110", B"11011011", B"11010000", B"00111000",
B"00100001", B"00110011", B"00101100", B"11011101", B"00100110",
B"11011111", B"00011101", B"11110000", B"11100110", B"00100001",
B"00101011", B"00011000", B"00011111", B"11001000", B"00011101",
B"00101101", B"11011011", B"11101001", B"00010111", B"00101100",
B"11101000", B"11011001", B"00100001", B"11100010", B"11001111",
B"11101111", B"11011000", B"00011111", B"11111110", B"11100110",
B"11011111", B"11001101", B"00011100", B"11010000", B"00100010",
B"11101101", B"11111001", B"11001010", B"00101011", B"00110010",
B"11100101", B"00110001", B"11011100", B"00011111", B"11100011",
B"00110101", B"11100101", B"11100010", B"11010001", B"00101010",
B"00011111", B"11100000", B"11101110", B"11011101", B"11111111",
B"00101000", B"00100100", B"00100000", B"00011000", B"00101000",
B"11010110", B"11100101", B"00100000", B"11010101", B"11100000",
B"11010110", B"11010001", B"00011110", B"00011100", B"11101000",
B"00101000", B"00011110", B"11101010", B"11110010", B"00110000",
B"00100100", B"00010010", B"11010111", B"11100001", B"00101010",
B"00101010", B"11011011", B"11100111", B"11011001", B"11100101",
B"00010111", B"11100100", B"00100110", B"11001111", B"11010000",
B"00100101", B"00100110", B"00100000", B"00100010", B"11101000",
B"00100001", B"11001100", B"00110110", B"11011110", B"11100101",
B"00001011", B"00010011", B"00101011", B"11100000", B"00110111",
B"11100111", B"00101001", B"11101010", B"11010110", B"00100101",
B"11000110", B"00100001", B"11101010", B"11011110", B"00100010",
B"00110000", B"00010001", B"00100010", B"11010000", B"00010110",
B"11001101", B"00011001", B"11011100", B"11110000", B"11100101",
B"11100010", B"11010111", B"00011100", B"00100101", B"00001111",
B"00011111", B"11101010", B"11010111", B"11101001", B"00111001",
B"00011110", B"11000110", B"00011111", B"11001001", B"11110110",
B"11101001", B"11000110", B"11011001", B"00100111", B"00011100",
B"00010111", B"00101001", B"11011010", B"00011011", B"11101101",
B"11011011", B"11100110", B"00101101", B"00101100", B"11001111",
B"11100101", B"11110110", B"00001110", B"00010111", B"00001101",
B"00011111", B"11011000", B"11110010", B"00100100", B"00100001",
B"00100001", B"11110111", B"00011101", B"11011010", B"00110001",
B"11100111", B"00011011", B"00101100", B"00010010", B"11101010",
B"00011000", B"11011010", B"00011110", B"11101000", B"11011100",
B"11010110", B"11100001", B"11101100", B"00011001", B"00010011",
B"00101010", B"00100011", B"00011001", B"00011000", B"00011100",
B"00011110", B"00011001", B"00100010", B"00101011", B"00100010",
B"11011110", B"00010111", B"00011100", B"00101101", B"11100000",
B"00101001", B"11010011", B"00010100", B"00100010", B"00101001",
B"00100001", B"00100011", B"00100000", B"00101100", B"00100011",
B"00010101", B"11011101", B"11010110", B"11011010", B"11011101",
B"00100111", B"00010101", B"00011111", B"00101000", B"11011010",
B"11100100", B"11011001", B"00011111", B"00011101", B"11110001",
B"00100011", B"11010101", B"00010111", B"00100010", B"11100001",
B"11011001", B"00000110", B"00011111", B"11010110", B"11011011",
B"00100111", B"11011110", B"11010101", B"00100010", B"11101001",
B"11011111", B"11100010", B"11100011", B"11101000", B"00010010",
B"00111011", B"00100110", B"11011110", B"00100100", B"11010010",
B"00100111", B"00100000", B"11100100", B"00011011", B"11011010",
B"11010110", B"11100101", B"00011011", B"00011010", B"00011111",
B"11110101", B"00010011", B"00101000", B"11100110", B"00101110",
B"00110001", B"11100000", B"11100100", B"00100100", B"00010011",
B"00001111", B"11011110", B"00011011", B"11011000", B"00100001",
B"00100100", B"00110111", B"00010111", B"11100001", B"00100011",
B"11100010", B"00010100", B"11010111", B"00100100", B"00011111",
B"00010010", B"11110011", B"00100100", B"11011001", B"00010011",
B"11101001", B"11100011", B"00011011", B"00100001", B"11011111",
B"11100010", B"11001110", B"11010111", B"11010011", B"00011000",
B"11011001", B"00100001", B"00101111", B"11101001", B"00010100",
B"00100100", B"11111010", B"11001110", B"00011110", B"00011100",
B"00110010", B"11001110", B"00100000", B"11100101", B"00100010",
B"11100110", B"11101001", B"00101110", B"11010010", B"00010011",
B"11001101", B"11110001", B"00010001", B"11110001", B"11011100",
B"00100000", B"00100010", B"00011010", B"00110001", B"11010010",
B"11101101", B"00011110", B"00011100", B"00100101", B"00101000",
B"00010111", B"00011010", B"00101001", B"00100100", B"11010110",
B"11100000", B"00011000", B"11001011", B"00110111", B"11001111",
B"11001110", B"00100101", B"11001111", B"11111101", B"11011001",
B"11110001", B"00101000", B"00101110", B"00100111", B"00001101",
B"11001011", B"00010101", B"11111000", B"11001011", B"11010111",
B"00011101", B"00110001", B"11001000", B"11100111", B"00011101",
B"11101100", B"11111011", B"11101100", B"00110100", B"00101001",
B"11101000", B"11100101", B"11100101", B"11101100", B"00010001",
B"00101000", B"00000000", B"00011111", B"11011110", B"11111000",
B"11100101", B"11111111", B"00011110", B"00100011", B"00011011",
B"11011000", B"11100011", B"00011011", B"00001010", B"11011101",
B"00100101", B"00101011", B"11100000", B"11100010", B"00010110",
B"00110011", B"11101010", B"11011000", B"11100010", B"11011010",
B"00010101", B"10111110", B"00010110", B"00010111", B"00101101",
B"00101011", B"00011010", B"00011100", B"00011001", B"00011100",
B"00011111", B"00101001", B"00100010", B"00100111", B"00101101",
B"00101001", B"00101111", B"00010000", B"00101100", B"11011111",
B"11010110", B"00100000", B"11010111", B"00111001", B"11100001",
B"11010111", B"00101101", B"11011000", B"11011111", B"00101111",
B"11100010", B"00011010", B"11010110", B"11100000", B"00010100",
B"00010000", B"00100001", B"11101101", B"00111010", B"00010000",
B"11011011", B"11101111", B"00100010", B"00011011", B"00100111",
B"00001111", B"00100000", B"00011101", B"00011000", B"00100111",
B"00100011", B"11011000", B"00011011", B"00011011", B"00101100",
B"11101101", B"00010010", B"11010001", B"00101110", B"00110101",
B"11100000", B"00110100", B"00101011", B"11011101", B"00011010",
B"00100101", B"11101101", B"11011010", B"00110001", B"00100011",
B"11011000", B"11010011", B"11011111", B"11001110", B"11110111",
B"11101000", B"00010011", B"00011010", B"11011110", B"11101111",
B"11100010", B"11101011", B"11010000", B"11101100", B"11011011",
B"11100001", B"11101111", B"00100010", B"00011110", B"00011111",
B"00100110", B"11100011", B"00010010", B"00011110", B"11011110",
B"11100001", B"11001011", B"11101000", B"11100101", B"00011111",
B"00100010", B"00001110", B"11001011", B"00011110", B"11100011",
B"00011110", B"11011111", B"11011101", B"11100110", B"11011100",
B"00100111", B"00011111", B"11001111", B"00101010", B"11110010",
B"11001010", B"11010110", B"11100101", B"00001100", B"00110001",
B"11011110", B"00011010", B"11010111", B"11011010", B"11001110",
B"11011000", B"11100010", B"00100101", B"00011001", B"00011101",
B"00100110", B"00111000", B"11100000", B"11000001", B"11011111",
B"11100111", B"00101101", B"11100000", B"00011110", B"11001010",
B"00101110", B"11101100", B"11111001", B"11100001", B"11011000",
B"00011011", B"00101011", B"00101011", B"00101000", B"00001111",
B"00101001", B"00100011", B"00100111", B"00001110", B"00100010",
B"11101100", B"11001111", B"00100001", B"00110000", B"00001001",
B"00101001", B"11100111", B"11100010", B"00011001", B"11100010",
B"11100100", B"11100101", B"11100010", B"00100010", B"11100101",
B"00111110", B"11101100", B"11011101", B"11010000", B"00011011",
B"00101000", B"11110000", B"00100100", B"11011000", B"11100010",
B"00011011", B"11010100", B"00010110", B"11011000", B"11101110",
B"00101001", B"00101001", B"00100000", B"11100000", B"00100011",
B"00000001", B"11100010", B"00100000", B"00011101", B"11101001",
B"00101011", B"11010110", B"00010011", B"00101110", B"11001001",
B"00011011", B"00110000", B"11100001", B"00111000", B"00101101",
B"11100110", B"00100101", B"11111101", B"11110000", B"11001111",
B"00010110", B"11100101", B"11010011", B"00101101", B"11111001",
B"00011010", B"11011110", B"11001111", B"00100001", B"00100010",
B"00011111", B"00010110", B"00100011", B"00011110", B"00110010",
B"00010010", B"00011010", B"11010110", B"11001101", B"00010101",
B"00100010", B"00100111", B"00001011", B"11010110", B"11000110",
B"00100100", B"00010000", B"11101010", B"11010101", B"00010111",
B"00101010", B"11111101", B"11100101", B"11011010", B"11100010",
B"00100011", B"00010010", B"00011001", B"00110011", B"11110000",
B"11011001", B"00111100", B"00100011", B"11010001", B"11110010",
B"00100000", B"00100001", B"11011010", B"11100110", B"00010001",
B"00011011", B"11001101", B"00010100", B"00010010", B"11100011",
B"11100000", B"00101100", B"11101010", B"00010101", B"00100101",
B"11101011", B"11011101", B"11100010", B"11011001", B"11111001",
B"00011000", B"00100011", B"11100101", B"00011100", B"00011101",
B"11110000", B"11011000", B"00011111", B"11101111", B"00100010",
B"11110100", B"11100100", B"11100111", B"00101001", B"00100000",
B"11100001", B"00010101", B"11010011", B"11011100", B"00011110",
B"11100110", B"11001010", B"11100110", B"11001011", B"11000001",
B"00011010", B"11011101", B"00011011", B"11100011", B"11100001",
B"00010101", B"00110000", B"11101100", B"00100011", B"11011101",
B"11101100", B"11010101", B"00100111", B"00011100", B"11011000",
B"11100010", B"11011101", B"11101101", B"00010011", B"00011000",
B"11101000", B"00011010", B"11011110", B"00011100", B"00011001",
B"00100101", B"11000101", B"00011001", B"11011001", B"00101100",
B"11101000", B"00101100", B"11101111", B"11000010", B"00101011",
B"11010111", B"11100100", B"11100001", B"11010110", B"11011110",
B"00010001", B"00100001", B"11010001", B"11101011", B"11000110",
B"11011100", B"11011110", B"00011011", B"00010000", B"00100100",
B"00010111", B"00100011", B"00001101", B"00100001", B"00010110",
B"11001101", B"11100000", B"11101110", B"11011110", B"00001110",
B"00010101", B"00100010", B"00010000", B"00101010", B"11011111",
B"00101101", B"00101101", B"11011111", B"00011010", B"00101110",
B"11100101", B"00100101", B"11100010", B"00011110", B"00011101",
B"11101011", B"00110010", B"00100011", B"11101011", B"00011011",
B"00010110", B"11100101", B"11101110", B"00001111", B"00100111",
B"11011001", B"11100000", B"11010111", B"11010000", B"00011010",
B"11101101", B"00100101", B"11100000", B"11100010", B"00001100",
B"00011010", B"11011011", B"11100001", B"00010011", B"00000100",
B"11101100", B"11101001", B"11011011", B"11011001", B"11000000",
B"00101000", B"11010100", B"00101010", B"11101100", B"11100101",
B"00011101", B"00010110", B"11100001", B"00100001", B"11101111",
B"11101111", B"11101001", B"00100010", B"00101001", B"00011111",
B"00001000", B"00110000", B"11011010", B"00100011", B"11011010",
B"00110100", B"11111011", B"11100010", B"11100100", B"00011011",
B"00000110", B"00100010", B"00010100", B"11011100", B"11011011",
B"11100011", B"11101100", B"00010111", B"11100101", B"00010110",
B"11011011", B"11110100", B"01000111", B"00011111", B"11100011",
B"00100010", B"00110011", B"11100000", B"00010111", B"00101000",
B"11100111", B"00011001", B"00011011", B"00010010", B"11010111",
B"00101010", B"11100101", B"00100100", B"11001110", B"11010011",
B"11011100", B"11100000", B"00011111", B"00011111", B"11100111",
B"00011010", B"11101010", B"00010101", B"00010111", B"11011110",
B"11100110", B"00011001", B"00100001", B"11010111", B"11010011",
B"11101000", B"11010110", B"00101000", B"11100000", B"00011010",
B"11100001", B"11001000", B"00101001", B"11100100", B"11011000",
B"01000001", B"11001101", B"00101001", B"11010110", B"11100011",
B"00010101", B"00001101", B"00011100", B"00100011", B"00101111",
B"00100011", B"00011000", B"00010111", B"00110100", B"11011011",
B"11100110", B"11001011", B"00011010", B"00100111", B"11011010",
B"00010011", B"11000100", B"00100100", B"00101011", B"11010001",
B"00010101", B"00010110", B"11000000", B"11011111", B"00010110",
B"11100111", B"00100000", B"00000111", B"11010110", B"11100100",
B"11010100", B"11001100", B"11100100", B"00100000", B"11101000",
B"11101110", B"00011110", B"11100010", B"11100010", B"11100101",
B"11100011", B"11011011", B"00011110", B"00100010", B"00010100",
B"11101001", B"00011000", B"11011110", B"00100011", B"00011011",
B"00011010", B"00000110", B"00011000", B"00100100", B"00011101",
B"00100001", B"00001111", B"11101011", B"00011011", B"00010111",
B"00100111", B"11101011", B"00010001", B"11101011", B"00011101",
B"11001100", B"01000100", B"11011000", B"00101101", B"11101011",
B"11011110", B"00011010", B"00111000", B"11011010", B"00100011",
B"11100110", B"11011010", B"11011110", B"00110110", B"00011110",
B"11011000", B"11010110", B"00111111", B"00011101", B"00100111",
B"11010100", B"00110100", B"11011000", B"00011110", B"00011001",
B"11101000", B"11100100", B"00011100", B"11100011", B"11011101",
B"11100001", B"11001101", B"00011111", B"00100100", B"11101010",
B"00100110", B"00100000", B"11101100", B"11011101", B"00010101",
B"11010010", B"00110010", B"11100010", B"00001010", B"11011100",
B"11011101", B"00100001", B"00010101", B"11011010", B"11100001",
B"11110011", B"11011011", B"00110001", B"00100010", B"00100010",
B"00101010", B"11010000", B"00011111", B"00100100", B"00100000",
B"11010111", B"00101010", B"11100011", B"00010110", B"00011011",
B"00110011", B"11100101", B"11001100", B"00100111", B"00100101",
B"11100101", B"11011011", B"11101000", B"00101000", B"11011010",
B"00100011", B"11101010", B"11101111", B"00110001", B"00010010",
B"00010110", B"00010000", B"00101001", B"00100010", B"00110000",
B"00110101", B"00101100", B"00011000", B"00100111", B"00101001",
B"11011111", B"00100011", B"00101010", B"11101110", B"11010110",
B"00100110", B"11101001", B"00011100", B"11101000", B"11101000",
B"11100111", B"00100101", B"00110010", B"11011001", B"11010100",
B"11100110", B"11011100", B"00110101", B"00001110", B"11011011",
B"00010110", B"11011011", B"11011011", B"00011111", B"00100001",
B"00010101", B"11011001", B"00010010", B"11001110", B"00110001",
B"00011101", B"00001110", B"11010010", B"11010011", B"00101111",
B"00100110", B"11100000", B"11001011", B"00100101", B"11111011",
B"00011011", B"00101101", B"11101000", B"00101010", B"00100001",
B"11110010", B"00011101", B"11100000", B"00100111", B"11011101",
B"11100100", B"11010111", B"00100000", B"00100100", B"11000111",
B"00100100", B"00011000", B"11100011", B"11100001", B"11011100",
B"11111001", B"11010110", B"00100100", B"11010101", B"00011110",
B"00100101", B"11100010", B"00101011", B"00001111", B"11101001",
B"00010000", B"11001000", B"11011010", B"11100101", B"11010111",
B"00100110", B"11010001", B"00110010", B"11101000", B"11011101",
B"11010000", B"00000101", B"00100110", B"11010110", B"00001010",
B"11001110", B"00011111", B"11010101", B"11011011", B"11010100",
B"11011100", B"00100000", B"11001000", B"00010001", B"00010101",
B"00100000", B"11011010", B"11101010", B"00010100", B"00010101",
B"11100010", B"11011001", B"00010101", B"11101111", B"11100111",
B"11100111", B"11011000", B"00010100", B"11101011", B"00101111",
B"00010110", B"11101000", B"00011110", B"00011111", B"11110001",
B"00011011", B"00101001", B"11011111", B"11011010", B"11111000",
B"00100111", B"00101111", B"00011000", B"00001100", B"11100111",
B"11100101", B"11011010", B"00100000", B"11100011", B"00100011",
B"11010010", B"11110011", B"00011100", B"00011110", B"00001001",
B"11010101", B"11100110", B"00101110", B"11010001", B"11001011",
B"11011111", B"11100001", B"11011010", B"00101111", B"11101010",
B"11110110", B"11100110", B"00011110", B"00011101", B"11010010",
B"11010010", B"11100001", B"11011000", B"11101000", B"00101100",
B"00100101", B"00011010", B"00100111", B"11100100", B"11110000",
B"11100110", B"00011010", B"00011011", B"11100000", B"00011011",
B"11011010", B"11100110", B"00101010", B"11010010", B"11011100",
B"11100101", B"00100111", B"00100110", B"11011101", B"00001111",
B"11010010", B"11101111", B"11011001", B"11101001", B"00010101",
B"11100101", B"00010001", B"11110010", B"11101011", B"11110101",
B"00100101", B"00100010", B"11100001", B"00011001", B"11011011",
B"00100011", B"00100011", B"11011100", B"11100011", B"00011010",
B"00100111", B"11100010", B"11110000", B"00100111", B"00011001",
B"11100001", B"11100011", B"00010011", B"00101110", B"11101000",
B"11101011", B"00011001", B"00010101", B"11010101", B"11011110",
B"00100100", B"00010110", B"11011001", B"11110000", B"00100001",
B"00100101", B"00010111", B"11011110", B"00100111", B"11011110",
B"00101100", B"11010101", B"00110110", B"11110010", B"11100000",
B"00011101", B"11101000", B"11010111", B"11101011", B"11100010",
B"11011000", B"11110100", B"11000101", B"00011110", B"00100110",
B"11001110", B"00100011", B"11101100", B"11100011", B"00010010",
B"00101010", B"00110000", B"11001110", B"00001011", B"11010111",
B"00011111", B"00100101", B"00010111", B"00010101", B"00101001",
B"00011101", B"00100000", B"01000001", B"00011001", B"11010111",
B"11010110", B"00101000", B"00010011", B"00011010", B"00011110",
B"11101000", B"11011001", B"00010101", B"00101000", B"00011110",
B"11100001", B"00011101", B"11101000", B"00011101", B"11011000",
B"00100101", B"11011101", B"00011110", B"00100110", B"11010000",
B"00010011", B"00100000", B"11010011", B"00010000", B"00011110",
B"00010001", B"11100010", B"00100010", B"11010111", B"00010100",
B"11011100", B"00010110", B"00101011", B"11010011", B"00011110",
B"00011100", B"11001100", B"11011101", B"00010101", B"11011011",
B"00101100", B"00101011", B"11101000", B"11110000", B"11101010",
B"11011111", B"11100101", B"00011110", B"00011010", B"11011011",
B"11101111", B"00101101", B"00010011", B"11101011", B"11011101",
B"00011010", B"11011100", B"00110101", B"00100101", B"11100001",
B"00010011", B"00011111", B"11011011", B"00010011", B"00110010",
B"11011010", B"11101100", B"00001101", B"00011100", B"11100000",
B"11100000", B"00010101", B"00001101", B"11010001", B"11011000",
B"00001110", B"00100010", B"11010000", B"11101001", B"00100111",
B"11101100", B"11100001", B"11011100", B"11010101", B"00100110",
B"11100100", B"00111110", B"11011110", B"00011011", B"00101110",
B"11101010", B"00000101", B"11010100", B"11100111", B"11011110",
B"11100101", B"11110000", B"11011011", B"11011100", B"00011010",
B"00101000", B"00010110", B"00101010", B"11110000", B"11011101",
B"00100110", B"11010111", B"00010010", B"11010111", B"11100111",
B"00101111", B"00110100", B"00101100", B"00010010", B"00101000",
B"00011110", B"00001100", B"00100001", B"00100110", B"00011111",
B"00011011", B"00011111", B"00010010", B"00100101", B"00011110",
B"00001001", B"00100000", B"11100111", B"00011111", B"11101011",
B"00010010", B"11010000", B"11100110", B"00000011", B"00100101",
B"00101010", B"00010100", B"00100011", B"00011011", B"00011000",
B"00010110", B"00011100", B"00101110", B"00011011", B"11101111",
B"11011011", B"00110001", B"11101001", B"11100111", B"11010111",
B"11010110", B"00001010", B"11101100", B"11011110", B"00111100",
B"11011001", B"11100011", B"11100100", B"11100011", B"00011111",
B"00110101", B"00100101", B"11011111", B"00011101", B"11011011",
B"00011101", B"11010100", B"11010101", B"11110010", B"00101010",
B"00100101", B"00010111", B"00011001", B"11011101", B"11100101",
B"11011000", B"11011011", B"11100000", B"11011110", B"00010000",
B"00011110", B"00010110", B"00100111", B"11101010", B"11101110",
B"00010110", B"00101010", B"00011010", B"00011001", B"11100011",
B"11100110", B"00101100", B"00011001", B"00011001", B"00011110",
B"00011011", B"00100100", B"00100011", B"00010001", B"11001110",
B"00010100", B"00100010", B"11100000", B"11101011", B"11000111",
B"11100001", B"11100101", B"11011101", B"11100011", B"00100001",
B"11100101", B"00101001", B"11001001", B"11010100", B"00100010",
B"00100010", B"00010111", B"00110000", B"11110000", B"00011011",
B"11011101", B"00100000", B"11100001", B"11010010", B"00100010",
B"00110101", B"11011010", B"11110100", B"11100110", B"11100100",
B"11100100", B"00010110", B"11011100", B"00100110", B"11000101",
B"11100010", B"11100000", B"00100001", B"00010110", B"00100100",
B"11011011", B"00110011", B"11100000", B"11011101", B"11100101",
B"00011111", B"00101000", B"00011001", B"11100101", B"00011001",
B"11101011", B"11011111", B"11011101", B"00100001", B"00011111",
B"11011110", B"00011111", B"00100011", B"00011000", B"11011010",
B"00101001", B"11101000", B"00100110", B"00101001", B"11011101",
B"00011001", B"11011101", B"11011011", B"11101000", B"00000011",
B"00100000", B"00100011", B"00011011", B"00101011", B"11011010",
B"00011110", B"11001001", B"00100101", B"11011111", B"11010100",
B"11101011", B"00100111", B"00110101", B"00010110", B"00101111",
B"11110101", B"11100000", B"11011111", B"11010011", B"11101101",
B"11011111", B"00110100", B"00010101", B"00010100", B"00010100",
B"11001001", B"11001100", B"11101111", B"11100111", B"00011001",
B"00110000", B"00100010", B"00100011", B"11011000", B"00100011",
B"00010001", B"00011010", B"11010111", B"00100011", B"11110001",
B"00101000", B"11111000", B"11011111", B"11101101", B"00100011",
B"00101100", B"11011101", B"00011101", B"11001001", B"11011101",
B"00101011", B"00011010", B"00100000", B"11101101", B"00010110",
B"11101011", B"11111100", B"00100001", B"11100000", B"00011100",
B"11100011", B"11011101", B"11011001", B"00010010", B"00001100",
B"00101001", B"00100100", B"11011100", B"11010001", B"00010001",
B"00010011", B"11100010", B"11010100", B"11011100", B"11011111",
B"11100010", B"11100111", B"00011000", B"00100110", B"00011010",
B"00010100", B"00010011", B"11011001", B"11011110", B"00011100",
B"11101000", B"11001100", B"11011000", B"11100110", B"11011110",
B"00100000", B"00100111", B"11101111", B"11011111", B"11011111",
B"11100000", B"11010010", B"11010101", B"00000110", B"11101111",
B"00100110", B"11011100", B"11100100", B"00110011", B"00010011",
B"00010000", B"00011101", B"11011000", B"11010110", B"00100010",
B"00101100", B"11101101", B"11011101", B"11011100", B"11011100",
B"00000101", B"11011110", B"00011101", B"11001101", B"11010110",
B"00010110", B"11111000", B"00100000", B"11011001", B"11010001",
B"11010011", B"00010110", B"00010110", B"11101001", B"00011010",
B"00100010", B"11100010", B"00010001", B"00101110", B"11101111",
B"11110001", B"00100011", B"11001001", B"11110111", B"00100101",
B"00011101", B"00011001", B"00101010", B"11101100", B"11011100",
B"00100011", B"00011111", B"00011011", B"00110000", B"00100101",
B"00100001", B"00011110", B"00100001", B"00100111", B"11010000",
B"00100001", B"11100000", B"11100101", B"11010101", B"00010111",
B"00010101", B"00011100", B"00011011", B"00100010", B"00100001",
B"00100011", B"00011111", B"00101101", B"00100100", B"00011111",
B"00100011", B"11101001", B"11101000", B"00110111", B"00011110",
B"11101001", B"11011111", B"11111101", B"11010110", B"11011110",
B"00001000", B"00101100", B"11001111", B"00011001", B"11011111",
B"11101101", B"00101000", B"00010011", B"11100000", B"11011000",
B"11110000", B"11011111", B"11001001", B"00010110", B"11100010",
B"11011011", B"11011101", B"11101111", B"00100000", B"11100001",
B"00010100", B"00110110", B"11110000", B"11001010", B"00010010",
B"11100100", B"11101100", B"11011010", B"11101011", B"00101001",
B"11100010", B"11110011", B"00100010", B"11100001", B"11011011",
B"11010101", B"11101110", B"00100100", B"00110010", B"11100100",
B"11100001", B"00011101", B"00101100", B"11110001", B"11101001",
B"11010010", B"00010100", B"11001111", B"00101001", B"11101100",
B"11100010", B"00011011", B"00010000", B"00100101", B"00010111",
B"00011010", B"00010001", B"00011110", B"00100001", B"00011101",
B"00010101", B"11011100", B"00100111", B"00100010", B"00000100",
B"11010000", B"00011010", B"11010111", B"00101011", B"00010010",
B"11100000", B"11101100", B"11110111", B"11101010", B"00100110",
B"11011101", B"00100111", B"00010001", B"11101011", B"11011110",
B"11100101", B"11011111", B"00100100", B"11100110", B"00100100",
B"11010110", B"11011100", B"11111000", B"11100110", B"00100011",
B"11001001", B"11000010", B"00011101", B"11110000", B"00011000",
B"00101000", B"11000110", B"11110001", B"11100010", B"11010011",
B"11101011", B"00010010", B"00010101", B"00100010", B"11101011",
B"00011100", B"11101010", B"00100010", B"11010111", B"11011110",
B"00110000", B"11100001", B"11101100", B"11011010", B"00010111",
B"00101111", B"11000010", B"11011100", B"11001101", B"00110011",
B"00011010", B"00100100", B"00011010", B"11010100", B"11011101",
B"11100111", B"11001101", B"00100010", B"11001101", B"00101010",
B"11010101", B"11110100", B"00011010", B"00010101", B"11011001",
B"11011101", B"00101001", B"11110011", B"11011001", B"11000111",
B"11100100", B"11101000", B"11100100", B"00011100", B"11011100",
B"00110011", B"11010000", B"11011011", B"00100001", B"00100100",
B"00010100", B"11010100", B"00011111", B"00100010", B"11100110",
B"11100101", B"00011101", B"11010111", B"00011100", B"11100000",
B"00100001", B"11100001", B"11011000", B"00010111", B"00001111",
B"00011010", B"00110001", B"00100011", B"11101011", B"00100010",
B"11100110", B"00010110", B"11011100", B"00011100", B"00001100",
B"00010000", B"11100010", B"00101000", B"11100100", B"00010101",
B"11100011", B"00001011", B"11011010", B"11101010", B"11100000",
B"11100011", B"00011011", B"11011110", B"00100100", B"00010001",
B"00110010", B"00110101", B"11100011", B"00011101", B"11101010",
B"00011100", B"11101101", B"11010001", B"00010101", B"11100101",
B"11010110", B"11100100", B"00001010", B"00011001", B"11011100",
B"11010001", B"11100110", B"00100001", B"00110101", B"00100101",
B"00011101", B"11011110", B"11100001", B"11011010", B"11100110",
B"00011111", B"11010110", B"00100011", B"11110011", B"11011111",
B"00110001", B"00011111", B"00011011", B"11011010", B"00011110",
B"00011110", B"11010110", B"11011100", B"00110100", B"00101110",
B"00011010", B"00001101", B"11100010", B"00101011", B"11011100",
B"00100010", B"11011111", B"00010111", B"11100100", B"11010111",
B"00010001", B"11011111", B"11101010", B"11011110", B"11100101",
B"11101000", B"11100111", B"00101100", B"11110100", B"00100010",
B"11100111", B"11101011", B"00010101", B"11100011", B"11101110",
B"11011001", B"11110100", B"00100000", B"00011100", B"00010101",
B"00100111", B"00100100", B"00001110", B"00011001", B"11101110",
B"00011011", B"11010110", B"00010111", B"11101110", B"00100000",
B"00100111", B"11010001", B"11010110", B"00100001", B"00100100",
B"11010100", B"11100110", B"00001110", B"00011011", B"00110010",
B"11110000", B"00101100", B"11101010", B"00100000", B"11011001",
B"11110000", B"00101001", B"11110001", B"00101000", B"11011100",
B"11011000", B"00100001", B"00100010", B"00111101", B"11100110",
B"00100000", B"00010011", B"11011000", B"00011011", B"00101000",
B"11110100", B"00011011", B"00101011", B"00101011", B"11011010",
B"00001011", B"11110100", B"00100000", B"11110000", B"11101101",
B"11010100", B"11011101", B"11100101", B"00000010", B"00010001",
B"00010110", B"00101001", B"00110001", B"00010011", B"11100111",
B"11101001", B"00011011", B"00100001", B"11101010", B"11010000",
B"11011000", B"00100101", B"11011010", B"00101001", B"11010100",
B"11101010", B"00011111", B"00100101", B"11010111", B"00100100",
B"11100000", B"11101000", B"11100010", B"00010110", B"00101011",
B"11011101", B"11010100", B"00110000", B"00010010", B"00011001",
B"11100100", B"00101101", B"11101110", B"00011011", B"11000101",
B"11100011", B"00100000", B"11100010", B"00011110", B"11010111",
B"11100010", B"00101011", B"00011011", B"00001100", B"11101011",
B"11110100", B"00101101", B"00100100", B"11100101", B"11100011",
B"00110100", B"00011000", B"11011111", B"00101000", B"00011011",
B"11100010", B"11011001", B"00101001", B"00011111", B"00100000",
B"00101010", B"11100010", B"00100000", B"11010001", B"00010110",
B"11100101", B"11000111", B"11011001", B"11011000", B"00011000",
B"00011101", B"11010101", B"00101110", B"11011100", B"00100010",
B"11010111", B"00011111", B"11011111", B"11011000", B"11110010",
B"00011111", B"00100100", B"11100100", B"11001011", B"11101101",
B"11010110", B"00011110", B"00011101", B"00011011", B"00101100",
B"00001110", B"11100100", B"00011100", B"11110101", B"11011101",
B"11110111", B"00100101", B"00100010", B"11100100", B"00011000",
B"00100010", B"00101010", B"11011101", B"00101111", B"11100100",
B"00100101", B"11011010", B"00100100", B"00101001", B"11101010",
B"11111011", B"11101000", B"11011000", B"11011011", B"00010101",
B"11100001", B"00100010", B"11100100", B"11100011", B"11011010",
B"00100100", B"00010001", B"11100111", B"00011011", B"11100000",
B"11011010", B"11010011", B"00010101", B"00101100", B"11101000",
B"00101100", B"11010000", B"11011100", B"11100001", B"11100111",
B"00100101", B"11010101", B"00100010", B"11011000", B"11101100",
B"11011110", B"11011110", B"00011011", B"00110101", B"00010101",
B"00101000", B"00010100", B"00101110", B"00010101", B"11101000",
B"00100011", B"11011101", B"00001110", B"11010111", B"00111111",
B"11101000", B"00100000", B"00010100", B"11100111", B"00101000",
B"00100001", B"11110011", B"11100000", B"11100100", B"11100001",
B"11001010", B"00110000", B"00011111", B"00100111", B"00101010",
B"11101000", B"00101110", B"00100100", B"00010101", B"11101000",
B"00010000", B"11011110", B"00011100", B"11101100", B"00011110",
B"00011101", B"00001100", B"11100100", B"00011100", B"11101000",
B"00010110", B"00010111", B"11011111", B"11011000", B"11010000",
B"11011100", B"00100010", B"11011000", B"00100111", B"00110011",
B"11010100", B"00001011", B"11011001", B"11010011", B"11010111",
B"00010110", B"00100110", B"00101010", B"00001010", B"11101011",
B"11011110", B"00101001", B"00010100", B"11101111", B"11100110",
B"00111001", B"00010110", B"00100111", B"11000001", B"00011101",
B"11011110", B"00100100", B"11010100", B"00010100", B"00010000",
B"00011100", B"00111111", B"00100110", B"00011100", B"01000010",
B"00011000", B"00010001", B"11100101", B"00101101", B"11001111",
B"11101011", B"11010111", B"00000110", B"00011100", B"11101010",
B"11011111", B"00100111", B"11010010", B"00011100", B"11100011",
B"11011010", B"00011111", B"11100011", B"11011111", B"11011100",
B"00010010", B"00001111", B"11110001", B"00101110", B"11010001",
B"00101111", B"00011101", B"00100111", B"11100011", B"00010000",
B"11100010", B"00001011", B"11010100", B"00011100", B"11011010",
B"11101011", B"00011000", B"11100010", B"11101111", B"11010101",
B"11010110", B"11010111", B"00010000", B"11010100", B"11001010",
B"11001101", B"00010100", B"00010000", B"11101100", B"00011111",
B"11011011", B"11100000", B"00101110", B"11100000", B"11100101",
B"11010110", B"11010101", B"00101000", B"11111100", B"00010010",
B"00011111", B"00100001", B"00101011", B"00100011", B"00011111",
B"11011100", B"11100010", B"00001110", B"00101111", B"00100010",
B"00011011", B"11101111", B"11111010", B"00010100", B"00011110",
B"00011010", B"11001101", B"00100110", B"11001100", B"00100101",
B"11010001", B"11101011", B"11011011", B"00011000", B"00011110",
B"00001101", B"00101101", B"11011101", B"11011010", B"11010100",
B"11110111", B"00011000", B"11101011", B"00101111", B"11100001",
B"11001010", B"00001101", B"11100011", B"11100000", B"11100000",
B"11110000", B"00110010", B"00010101", B"00011100", B"00110000",
B"00101000", B"11100111", B"11100001", B"11010010", B"11011100",
B"00100001", B"11011100", B"00110000", B"00100101", B"00100110",
B"00011000", B"11011011", B"00011010", B"11011111", B"00011111",
B"11100001", B"00101000", B"11100011", B"00100001", B"00011010",
B"11011100", B"00100100", B"00001011", B"11011010", B"00101100",
B"11101001", B"00110110", B"00101100", B"11100010", B"00110110",
B"00100010", B"11010000", B"11101000", B"11011101", B"00100100",
B"00001110", B"00010110", B"00010101", B"11101011", B"11100101",
B"11100111", B"11100111", B"00011011", B"00100011", B"00100000",
B"00100001", B"11010101", B"11011111", B"11110001", B"11100000",
B"00111000", B"11011010", B"00100100", B"11100011", B"11100000",
B"00011001", B"11101101", B"00101011", B"11001110", B"00100100",
B"11101001", B"11110100", B"00100010", B"00100111", B"11100001",
B"00100111", B"11101110", B"11011000", B"11101000", B"00101110",
B"00011111", B"11101011", B"11101011", B"00010110", B"11101111",
B"00011111", B"11110010", B"11010011", B"00101100", B"00001100",
B"11011010", B"00010101", B"11011010", B"11011101", B"11101001",
B"00011010", B"00111100", B"11110000", B"11001101", B"11001011",
B"00100110", B"11100010", B"00001110", B"11011001", B"11101011",
B"00011111", B"00000001", B"11101100", B"00001000", B"00011000",
B"11101100", B"00011101", B"00010111", B"11110101", B"00001110",
B"11100101", B"00010001", B"00101110", B"11101001", B"00101000",
B"00101001", B"11100111", B"00010100", B"00101101", B"11101100",
B"11001110", B"00010000", B"00001111", B"11110001", B"11100000",
B"11011000", B"11000111", B"11110100", B"11100110", B"00011010",
B"00101001", B"00100100", B"00010011", B"11011110", B"00011110",
B"11100001", B"00010011", B"11011001", B"11001001", B"00100111",
B"00100010", B"00100011", B"11100000", B"11101100", B"00100100",
B"11100111", B"11100000", B"11100011", B"11101001", B"11110001",
B"00000101", B"00011001", B"11100110", B"11011011", B"11100011",
B"11010110", B"11011100", B"11110110", B"10110000", B"11011000",
B"11011100", B"00011010", B"00101111", B"00101100", B"00011110",
B"00101101", B"11011011", B"11100111", B"00011110", B"11011011",
B"11010010", B"11101001", B"11011011", B"00011000", B"11010101",
B"11100111", B"00010001", B"11011000", B"11100011", B"11100110",
B"11011101", B"00011110", B"00011010", B"00101111", B"00100010",
B"00010100", B"00011011", B"00100100", B"00010010", B"11110011",
B"00011001", B"11100001", B"00101001", B"11110101", B"11010101",
B"00011001", B"00011111", B"00101100", B"11010110", B"11011111",
B"11011100", B"11100010", B"00100101", B"11011101", B"00101000",
B"00001110", B"11000010", B"11101011", B"11000110", B"11110001",
B"00011101", B"11011010", B"00100111", B"00011001", B"00100001",
B"11010010", B"11010110", B"00011011", B"00011111", B"11101000",
B"11101100", B"00011001", B"11011011", B"00011010", B"11001000",
B"11101000", B"11011011", B"00100100", B"00011000", B"11100110",
B"00100101", B"00011111", B"11011100", B"11100100", B"11001111",
B"11010100", B"11101100", B"00110010", B"00100100", B"00100010",
B"00100101", B"00000001", B"01000001", B"00011111", B"00100000",
B"00100000", B"11100000", B"11100011", B"11010010", B"11001110",
B"00011111", B"11001100", B"00101010", B"00100110", B"11001110",
B"11001111", B"00110110", B"11110010", B"11100010", B"11110011",
B"11011111", B"11101011", B"11100110", B"00100000", B"00010001",
B"00100001", B"00100100", B"11100111", B"11110110", B"00100001",
B"00101011", B"00110111", B"11101111", B"00101101", B"11011100",
B"00010011", B"11010011", B"11100011", B"11010001", B"00101001",
B"00011111", B"00011110", B"00101001", B"11100111", B"11000101",
B"11111000", B"00010111", B"11110010", B"00001000", B"11100010",
B"11000100", B"00110111", B"00110000", B"00101110", B"11110010",
B"00100100", B"11100110", B"11100010", B"11011001", B"00010111",
B"00101100", B"00100010", B"00101101", B"00100001", B"00010100",
B"00011011", B"00100010", B"00011001", B"00100001", B"00011000",
B"00110110", B"11111000", B"00110101", B"00010111", B"11100010",
B"11100001", B"00011001", B"11011100", B"11010010", B"11011000",
B"11010111", B"00010100", B"00110011", B"00100100", B"00110011",
B"00111001", B"00011011", B"11100000", B"11101111", B"00011101",
B"00011010", B"11100001", B"11101001", B"11011110", B"00100101",
B"00010111", B"11011011", B"11100001", B"11101000", B"11001111",
B"11010111", B"11011110", B"00110011", B"00111011", B"00100100",
B"11000110", B"00100010", B"11011011", B"00101011", B"00101010",
B"11001010", B"00010011", B"11100111", B"11011010", B"11100111",
B"00100010", B"00100011", B"00011001", B"11101101", B"11101000",
B"00001001", B"11011000", B"11110100", B"11010001", B"11010111",
B"11100100", B"00010101", B"00110001", B"11010111", B"11011001",
B"11101010", B"11010101", B"11100111", B"11010111", B"11110000",
B"11011010", B"00011000", B"00010100", B"11100101", B"00101101",
B"11011001", B"00100000", B"11110000", B"11101010", B"11100101",
B"11100000", B"00011010", B"11100100", B"00111101", B"11101010",
B"00011001", B"11011010", B"11010110", B"11111111", B"00010011",
B"00100000", B"11011101", B"11011010", B"00101101", B"00010100",
B"00011110", B"11011110", B"00101110", B"11010110", B"00011001",
B"00010110", B"00001010", B"00010001", B"11011110", B"00010000",
B"11010101", B"00011101", B"11101010", B"11001110", B"00011111",
B"00101000", B"11100010", B"11100111", B"11011001", B"11001011",
B"11100111", B"11101100", B"11100010", B"11011110", B"00101110",
B"00100101", B"11101011", B"00101010", B"11101100", B"11100001",
B"00100010", B"11011110", B"11100011", B"11011101", B"00011001",
B"00100001", B"11111000", B"00101010", B"11010010", B"00100111",
B"11011111", B"11101100", B"11011111", B"00110001", B"00101000",
B"00101001", B"00110010", B"00100111", B"11011110", B"00100101",
B"11100010", B"00011100", B"11011010", B"11110111", B"11011011",
B"11100010", B"11110100", B"00101110", B"00100001", B"00010010",
B"00101101", B"11010110", B"11011111", B"11100011", B"11010110",
B"00101010", B"00100011", B"00100100", B"00101011", B"00011101",
B"00101110", B"11011101", B"00011111", B"00010100", B"11010100",
B"11110010", B"00101100", B"11011101", B"11011111", B"00100000",
B"11001110", B"00100001", B"11011000", B"11110010", B"00010000",
B"00101010", B"00000110", B"11010000", B"00011010", B"00011101",
B"11110011", B"11011000", B"00101000", B"00010111", B"00010001",
B"11110001", B"00011011", B"00111101", B"11101001", B"11101010",
B"00011100", B"00000100", B"00101010", B"00011001", B"11011011",
B"00011011", B"11101100", B"00101110", B"11101110", B"00001100",
B"11011101", B"00100111", B"00000011", B"11011011", B"00010100",
B"00011000", B"11100111", B"00011100", B"11011011", B"00100000",
B"00010001", B"11010110", B"00110101", B"00100101", B"11100111",
B"11011001", B"11100110", B"00100010", B"11101000", B"00101001",
B"11100000", B"11011010", B"00101100", B"11100110", B"00010110",
B"00100010", B"11011101", B"11011001", B"11001110", B"11110010",
B"11100101", B"11011010", B"00101011", B"00111000", B"11001101",
B"11100001", B"11010011", B"11100010", B"11101100", B"11101100",
B"11111100", B"00010111", B"00100100", B"00110101", B"00011111",
B"11011011", B"11010111", B"11101101", B"00100010", B"00101000",
B"00010110", B"11100001", B"00011000", B"11011110", B"00011110",
B"11100010", B"00010101", B"00100011", B"11010001", B"11000111",
B"11001111", B"11111000", B"11010111", B"00100000", B"00001010",
B"11100100", B"00101000", B"00100001", B"11001111", B"11011110",
B"00011101", B"00011111", B"11011101", B"11101011", B"11101011",
B"11000110", B"00100001", B"11101010", B"00101111", B"11100101",
B"00101010", B"11010101", B"00010010", B"11001001", B"11010011",
B"00011110", B"00101010", B"00011110", B"00010101", B"11011100",
B"11100010", B"00100101", B"00100111", B"11101101", B"11010000",
B"00011111", B"00011100", B"11001001", B"00010100", B"00011111",
B"11011111", B"11110110", B"00011111", B"11100110", B"00010110",
B"11110011", B"11100010", B"11011001", B"00100011", B"00100011",
B"11100011", B"00101110", B"00010110", B"11011100", B"11010000",
B"00011100", B"00011011", B"11101010", B"11110100", B"00001000",
B"11100101", B"11010010", B"00001101", B"11011010", B"11011000",
B"11101010", B"11101011", B"11100010", B"00010000", B"11100001",
B"00011000", B"11100100", B"11010110", B"00100110", B"00011101",
B"00100000", B"11010101", B"11101000", B"11100011", B"11101110",
B"00100110", B"11010101", B"00100110", B"00010111", B"00011111",
B"11011111", B"00001011", B"00011110", B"11000111", B"11100100",
B"00101000", B"00100100", B"11101101", B"11101011", B"11010101",
B"11000101", B"00011001", B"11100100", B"00010101", B"00010101",
B"00100101", B"11011011", B"11011011", B"00110111", B"00100000",
B"11011010", B"11100001", B"00100011", B"00110010", B"11101010",
B"11011101", B"00100001", B"00001011", B"11100000", B"11100111",
B"00001110", B"11100001", B"00111010", B"00111010", B"11100101",
B"00011011", B"00011110", B"11101001", B"11010000", B"11011000",
B"11010011", B"00100110", B"00100000", B"11100111", B"00110100",
B"11001101", B"11101110", B"11010010", B"11111000", B"00100000",
B"00110111", B"11110100", B"00010100", B"11100100", B"11101000",
B"11101110", B"00101001", B"00010011", B"00100100", B"00011101",
B"11110011", B"11010111", B"00100011", B"00101100", B"00101001",
B"11011000", B"00100110", B"11101000", B"00101011", B"11100111",
B"00011000", B"00010100", B"11100011", B"11100001", B"00110001",
B"00100011", B"11010101", B"11100110", B"00011000", B"00100011",
B"00010110", B"00010000", B"00100011", B"00100111", B"00010011",
B"00100101", B"11010011", B"00100110", B"11010011", B"00010111",
B"11101111", B"11010111", B"00110101", B"00010111", B"11000000",
B"11100101", B"11011110", B"00100110", B"00011011", B"11011010",
B"00011011", B"11011011", B"00100000", B"00001001", B"11100011",
B"00100010", B"00010110", B"11001001", B"00000010", B"00011111",
B"11011111", B"00100011", B"00110001", B"11100101", B"11011100",
B"11001011", B"11101111", B"11100100", B"11010011", B"00100001",
B"00011111", B"00100101", B"11101101", B"00100000", B"11010011",
B"00001110", B"11011010", B"11101001", B"00100101", B"00100001",
B"00011100", B"00101111", B"11100001", B"11110110", B"11010010",
B"00010001", B"00101001", B"00100110", B"11011111", B"00011110",
B"11001110", B"00010111", B"00100110", B"00100010", B"11100011",
B"00100110", B"00100000", B"11110001", B"11011110", B"00010000",
B"00100010", B"00101001", B"00011100", B"11010111", B"00011110",
B"11100101", B"00011110", B"11011110", B"00001010", B"11010111",
B"11001100", B"00100001", B"11001101", B"11101010", B"11100111",
B"11011010", B"00100110", B"11011000", B"00100101", B"00011110",
B"11011101", B"00110111", B"00010010", B"11011111", B"11011001",
B"00100000", B"11101011", B"11011010", B"11010111", B"00101110",
B"00011001", B"11101000", B"11101111", B"00100010", B"00100011",
B"00101000", B"11010010", B"00100000", B"11101000", B"00010111",
B"11010100", B"11100110", B"11001100", B"11100001", B"00100111",
B"00101000", B"00011001", B"00011111", B"11011000", B"00100000",
B"00100101", B"11110001", B"11011111", B"11100010", B"11101011",
B"11101100", B"00011000", B"00100011", B"00110000", B"11110001",
B"00011100", B"11010100", B"00100001", B"11101100", B"11010010",
B"11101101", B"00010000", B"11001010", B"00100101", B"11011010",
B"11010111", B"00011111", B"00101010", B"00100101", B"00010101",
B"11101001", B"00110101", B"11100101", B"00011000", B"11111010",
B"00010101", B"00110010", B"00101110", B"00100101", B"00111001",
B"00101111", B"00100010", B"00011110", B"00100010", B"11101010",
B"00011001", B"11100010", B"11100110", B"11100011", B"00001111",
B"00010001", B"00011011", B"11011000", B"11100000", B"11100011",
B"11011100", B"00011110", B"11100011", B"00010101", B"11100101",
B"00011010", B"00101000", B"11111100", B"11101010", B"11010110",
B"11010011", B"11100101", B"11011000", B"00101100", B"11101011",
B"11100101", B"11100101", B"00101101", B"00110110", B"11010100",
B"11001101", B"00010100", B"00011100", B"00101001", B"11101010",
B"00011111", B"11010110", B"00101101", B"00011101", B"11100110",
B"11011000", B"11011101", B"11100101", B"00101100", B"11010110",
B"00010100", B"11100100", B"00010110", B"00011011", B"11011000",
B"11011001", B"11010000", B"11010001", B"11011010", B"11011111",
B"00100110", B"00011001", B"00100111", B"11100101", B"00011111",
B"11010010", B"00100000", B"00011101", B"00010000", B"11101000",
B"11100000", B"00011010", B"00100010", B"11011000", B"11011101",
B"11011000", B"11100011", B"00010000", B"00011011", B"00011111",
B"00010111", B"11011011", B"11101001", B"11010101", B"00101101",
B"00011010", B"00100001", B"11001111", B"00011110", B"11110001",
B"00101010", B"11100100", B"11010101", B"11100010", B"11010010",
B"00011110", B"00101001", B"00100111", B"00111111", B"11101100",
B"00010100", B"11100100", B"00101110", B"11010111", B"11011010",
B"00001110", B"00100000", B"00100000", B"11100000", B"11101001",
B"11110010", B"11110010", B"00100111", B"11100110", B"00100010",
B"00110010", B"00110100", B"00010010", B"11101111", B"00011010",
B"11011111", B"00010011", B"11011100", B"00010101", B"11101000",
B"00010101", B"11101000", B"11101100", B"11100101", B"00001101",
B"00101100", B"11101001", B"00100011", B"00010111", B"11010100",
B"11100000", B"11100001", B"11011101", B"11100000", B"11110011",
B"11010000", B"11100001", B"11011100", B"00100101", B"00001101",
B"00101010", B"00011100", B"11010110", B"11110100", B"00100100",
B"11011100", B"00100110", B"11100101", B"11100011", B"00100001",
B"11100110", B"00000110", B"11100000", B"00101111", B"11011011",
B"11011000", B"00100110", B"00101111", B"00011100", B"11011011",
B"00010001", B"00100010", B"11111010", B"00100011", B"00011101",
B"11100001", B"00011111", B"00100011", B"11011001", B"00001101",
B"00011010", B"11010011", B"11101100", B"00010000", B"00010111",
B"11010011", B"00011101", B"11001111", B"11101001", B"11011000",
B"00100101", B"00011111", B"11011001", B"00100110", B"11100111",
B"00010101", B"11011110", B"11110010", B"00010110", B"00100000",
B"11110010", B"00100010", B"11100101", B"11100000", B"11111000",
B"00011001", B"00111100", B"11101101", B"00110000", B"11100000",
B"00011100", B"00100101", B"11110100", B"00010000", B"00101101",
B"11011111", B"11010111", B"11111101", B"00011001", B"00101001",
B"11010111", B"00100110", B"11011011", B"00110001", B"00010110",
B"11101110", B"11101100", B"00100100", B"11100000", B"11010110",
B"11100000", B"11011100", B"11010001", B"00010010", B"00100110",
B"11100011", B"11100001", B"11001101", B"11100001", B"11010011",
B"11100011", B"11001000", B"00010100", B"00010110", B"00011011",
B"00011101", B"11011011", B"11100000", B"11110001", B"00011111",
B"00100111", B"00010110", B"11000110", B"00101001", B"11100101",
B"00010010", B"11001001", B"11010000", B"00100000", B"00000110",
B"00000100", B"00100011", B"11011001", B"11011100", B"00011111",
B"00110110", B"11011000", B"11011001", B"00100100", B"00110001",
B"11101001", B"11000110", B"11100010", B"00101110", B"00011100",
B"11010101", B"11100010", B"11101101", B"11001110", B"11001100",
B"00111001", B"00100101", B"11010111", B"11011110", B"00011101",
B"00011010", B"11110000", B"11100111", B"11110011", B"11011011",
B"00101001", B"11011101", B"00101001", B"11100011", B"11100011",
B"00101011", B"11011111", B"11010101", B"00010101", B"00011001",
B"00100001", B"00110010", B"11011101", B"11011010", B"00011001",
B"00011110", B"00101010", B"00100100", B"00011011", B"00011010",
B"00011010", B"00011110", B"11000101", B"00100010", B"11001111",
B"00110110", B"11001110", B"11110001", B"00011011", B"00001110",
B"11011101", B"00100001", B"00110001", B"00100011", B"11011010",
B"00101011", B"11010101", B"00100000", B"11100000", B"00011111",
B"00101101", B"11011001", B"11011110", B"11011000", B"11100001",
B"11100110", B"00001011", B"11010001", B"11010100", B"11111011",
B"11011110", B"00100111", B"11011111", B"00100001", B"11010010",
B"00100110", B"00100100", B"11100001", B"11011100", B"11101100",
B"11000111", B"11010001", B"11100010", B"11101111", B"00010011",
B"00011101", B"00101111", B"00110100", B"11101010", B"11101000",
B"00110001", B"00011100", B"00010001", B"00011111", B"00010110",
B"00011011", B"00010001", B"00010000", B"00110000", B"11011011",
B"00011101", B"11011001", B"11001100", B"11100010", B"00101100",
B"00101011", B"00001011", B"11100000", B"00101101", B"11011001",
B"11010011", B"11100100", B"00010110", B"00100100", B"00010101",
B"00010000", B"11001111", B"00011010", B"00011111", B"11010010",
B"11100001", B"00101110", B"11010011", B"00011000", B"11010011",
B"11010000", B"11011111", B"00001110", B"01000000", B"11101000",
B"00010110", B"11110001", B"00110001", B"11011010", B"11001010",
B"11010000", B"00011011", B"00011001", B"11011011", B"11101110",
B"00100001", B"00101110", B"00011100", B"00110001", B"11100011",
B"11100111", B"00011110", B"11101001", B"00010110", B"11100001",
B"11010110", B"11001111", B"00011100", B"00100101", B"11100010",
B"00101000", B"00010110", B"11110010", B"11011001", B"11101111",
B"11001110", B"11010110", B"11011110", B"00101010", B"11010101",
B"00100010", B"11010111", B"11001111", B"00011101", B"00100000",
B"11101100", B"00101100", B"00011010", B"00011011", B"11110010",
B"00100001", B"11011010", B"00100100", B"11010011", B"11010110",
B"00101110", B"00011000", B"00011110", B"00100101", B"11001011",
B"11001110", B"11011010", B"00010011", B"00100101", B"01000001",
B"11100110", B"00100000", B"11011001", B"00101101", B"11100010",
B"11100011", B"00100010", B"11101000", B"00100101", B"11010110",
B"11011011", B"00101100", B"11001100", B"11101010", B"00100010",
B"11100000", B"00000110", B"11110111", B"11101110", B"00110111",
B"00101001", B"00100111", B"00110001", B"11100001", B"00100000",
B"11100100", B"00011110", B"11011100", B"00100001", B"10111101",
B"11100011", B"00011011", B"11001011", B"11010000", B"11100000",
B"11011111", B"00101111", B"11101101", B"11010110", B"00100010",
B"11010110", B"11011011", B"11110101", B"11101000", B"11111000",
B"00010000", B"11101101", B"11011010", B"11011011", B"00101001",
B"00011001", B"11100001", B"11101010", B"00101011", B"11001100",
B"00101000", B"11100111", B"11101000", B"00100011", B"00010011",
B"00101101", B"00011111", B"11011110", B"00100011", B"00101111",
B"10111101", B"11011111", B"00010010", B"11011101", B"11100010",
B"00011111", B"00011111", B"00100011", B"00111000", B"11011011",
B"11010010", B"00101000", B"11001111", B"11110111", B"11110000",
B"11100010", B"00100110", B"11011111", B"00100010", B"00011011",
B"00001101", B"11111010", B"00011011", B"00010111", B"11011001",
B"11100000", B"00010001", B"00010000", B"11101011", B"11100001",
B"00011111", B"11101000", B"11100000", B"11010011", B"11011110",
B"00011110", B"00011100", B"00001100", B"11011010", B"00100001",
B"11100001", B"00011111", B"11010110", B"00110101", B"00100010",
B"11100111", B"00100110", B"00011011", B"11011011", B"11101001",
B"00011111", B"11101001", B"11011011", B"11100010", B"11010000",
B"00010001", B"00101011", B"00011001", B"00100011", B"00101001",
B"11011110", B"11100100", B"00110000", B"11100100", B"11010100",
B"11010101", B"11000110", B"00011111", B"11011100", B"00011111",
B"11010110", B"11100110", B"11010110", B"00100001", B"00100001",
B"11100111", B"11001100", B"11100100", B"11011100", B"00100101",
B"00100011", B"00011000", B"00011010", B"00101011", B"00100110",
B"11010011", B"11110010", B"00100110", B"00011000", B"11011110",
B"11101011", B"11100010", B"11100001", B"11100100", B"00001000",
B"00001010", B"11100100", B"00011110", B"11010101", B"00101111",
B"00110000", B"00101110", B"00011101", B"00001110", B"00011100",
B"00010111", B"00001111", B"11000100", B"00110001", B"11101101",
B"00011011", B"11110110", B"11100001", B"00010101", B"00001100",
B"00010111", B"11101010", B"11100110", B"00011001", B"11001010",
B"11011101", B"11011001", B"11001110", B"11010011", B"11011100",
B"00101010", B"11110011", B"00101010", B"11010010", B"11110110",
B"00001110", B"00010101", B"00100000", B"11011001", B"11111110",
B"00101010", B"00010100", B"11100001", B"11010010", B"11101110",
B"00100110", B"11101011", B"00110000", B"11011000", B"11100001",
B"00011101", B"00100101", B"00001011", B"11011011", B"11101011",
B"11011000", B"11101000", B"00100011", B"11101001", B"00011101",
B"00011010", B"00011111", B"00101111", B"00101101", B"00011000",
B"00001110", B"00010110", B"00100011", B"00100011", B"11100000",
B"11101101", B"00101111", B"11001111", B"11011101", B"11100001",
B"11101011", B"00101011", B"00010111", B"11011100", B"00100000",
B"00011001", B"11101111", B"11101010", B"00010011", B"00101110",
B"00100100", B"00100000", B"11100010", B"00010001", B"11100011",
B"00011111", B"11011111", B"00011000", B"11011101", B"00110000",
B"00100011", B"11011111", B"00100011", B"00100100", B"11010100",
B"11011100", B"00001111", B"11100011", B"11100010", B"11011101",
B"00100001", B"00100100", B"11011000", B"00100010", B"00011001",
B"11101100", B"11110010", B"00011101", B"00101000", B"11111010",
B"11000110", B"00010111", B"11010100", B"11010011", B"11101011",
B"11100100", B"00011001", B"11010010", B"00101101", B"00011110",
B"11110000", B"00100100", B"00100111", B"11100011", B"00011100",
B"00100001", B"11100001", B"11011110", B"00011000", B"11000100",
B"00011110", B"11011010", B"11010010", B"00110101", B"00100100",
B"00011001", B"11100111", B"11010101", B"11011000", B"11011110",
B"00011100", B"11100100", B"00001111", B"11000111", B"11011010",
B"11100110", B"00100100", B"00100010", B"11100011", B"00011111",
B"11100010", B"11101110", B"11011111", B"00011111", B"00101110",
B"00110000", B"00101001", B"11100001", B"11110011", B"11001101",
B"11010110", B"11010100", B"00101011", B"00100011", B"11110001",
B"00101101", B"11011101", B"11011111", B"11111000", B"00100101",
B"11100000", B"00011000", B"11100001", B"11101100", B"00100111",
B"00011110", B"00110011", B"11010111", B"11011001", B"00100010",
B"00011110", B"11001001", B"11011100", B"00101010", B"11001111",
B"11100000", B"11110000", B"11010010", B"00011111", B"11010001",
B"00011110", B"00100101", B"00100001", B"11011111", B"00110110",
B"00010101", B"11100010", B"11001101", B"00101111", B"00111100",
B"00100010", B"00011101", B"00100100", B"00010101", B"00011010",
B"00011000", B"00111011", B"11000110", B"11100110", B"11011111",
B"11001100", B"00011000", B"00001010", B"00011101", B"00001101",
B"00100010", B"00011001", B"11101101", B"00100100", B"00011111",
B"11100001", B"11111011", B"00010011", B"11101111", B"11010100",
B"00101001", B"00100110", B"00100110", B"00001100", B"11100010",
B"11011100", B"00011010", B"11011010", B"11101010", B"00101010",
B"11101001", B"11010111", B"11101111", B"11100010", B"00111100",
B"11111011", B"11101100", B"00011101", B"11111011", B"11101101",
B"11101111", B"11100101", B"00011010", B"00100100", B"00100110",
B"00101001", B"00011110", B"00011110", B"00010001", B"00010011",
B"00011001", B"00110001", B"00010111", B"00101110", B"00011100",
B"00011111", B"00101001", B"00010100", B"11001011", B"00110001",
B"11110110", B"00010011", B"11010101", B"11100010", B"00011101",
B"00101100", B"11000110", B"11011010", B"00011000", B"11100111",
B"00010000", B"11011010", B"11100011", B"00011100", B"00101001",
B"11010110", B"00110001", B"00101101", B"11111010", B"00100011",
B"00101110", B"11100111", B"00001010", B"11011100", B"11100100",
B"11110011", B"11110011", B"00001110", B"11100101", B"00011110",
B"00100001", B"00100011", B"00101101", B"11011101", B"00101010",
B"11011010", B"00001100", B"11101011", B"11101110", B"00011001",
B"11011110", B"00011010", B"11100111", B"11100100", B"00100100",
B"00011110", B"00100100", B"00011111", B"00101010", B"00011000",
B"00101001", B"00011001", B"00101000", B"00100100", B"00011100",
B"00100100", B"11100011", B"11100011", B"00100111", B"00011001",
B"11100101", B"11101011", B"11100000", B"00100010", B"11000111",
B"11101101", B"11100111", B"00001011", B"00011000", B"11010100",
B"11100011", B"00100000", B"11010110", B"00101000", B"11011111",
B"11100101", B"00110101", B"00011110", B"11011000", B"11010101",
B"00110001", B"00011000", B"00011100", B"00010010", B"11101011",
B"11010010", B"11011111", B"00010000", B"00101000", B"00011011",
B"11001110", B"00101011", B"11101111", B"00011010", B"00010101",
B"11111001", B"00100101", B"11010100", B"11011101", B"11100101",
B"00010101", B"00011111", B"11010110", B"11001100", B"11011100",
B"00101101", B"00100000", B"11011011", B"00010110", B"11001101",
B"11011110", B"11100010", B"00010111", B"11010101", B"00001010",
B"11011010", B"11011111", B"00011001", B"11100010", B"00100001",
B"00100001", B"11100001", B"11011000", B"11011011", B"11010110",
B"11100010", B"11011010", B"11101001", B"11101001", B"00011111",
B"00100011", B"11011000", B"00110100", B"11010111", B"11011101",
B"11011101", B"11101010", B"11011101", B"00100010", B"00001001",
B"00101010", B"00010100", B"11011101", B"11101010", B"11010011",
B"00100101", B"00100100", B"11011010", B"00101100", B"11001110",
B"00101111", B"11001111", B"11001110", B"11101010", B"11100011",
B"00100101", B"11001100", B"00100110", B"11010101", B"11100000",
B"00011110", B"11011000", B"00011010", B"11100000", B"11100010",
B"00111000", B"11100010", B"00101011", B"11110000", B"00100010",
B"11011101", B"11011100", B"00011110", B"00110010", B"11010000",
B"00011101", B"00011110", B"11101001", B"11100000", B"11101000",
B"11011110", B"11011010", B"11011101", B"00110000", B"11011010",
B"00011001", B"11111000", B"11011111", B"00011001", B"00011001",
B"11100100", B"11100101", B"11011110", B"11101000", B"00110011",
B"00100111", B"00010010", B"00000001", B"00100101", B"11011011",
B"11001010", B"11101010", B"11010110", B"00011110", B"11010101",
B"00100101", B"00100111", B"11010111", B"11101010", B"00011000",
B"11001101", B"11101111", B"11100111", B"11011101", B"11011110",
B"00100000", B"00001001", B"00010010", B"11100101", B"00011010",
B"11010101", B"00011111", B"11100101", B"00101101", B"11100101",
B"00100111", B"11011111", B"11111101", B"00101000", B"00011111",
B"00101010", B"11110110", B"00010100", B"11001111", B"11100101",
B"11011001", B"00101001", B"00101111", B"11100111", B"11011010",
B"11100101", B"00011101", B"00011011", B"11100100", B"00011111",
B"11010101", B"11010110", B"00001001", B"11011001", B"00100110",
B"11011000", B"11011010", B"00110000", B"00100000", B"00100111",
B"00100011", B"00010111", B"00110000", B"00101000", B"00011001",
B"00100111", B"00011110", B"00011000", B"11100111", B"11100011",
B"11100010", B"11101011", B"00101101", B"11011101", B"00101000",
B"00100101", B"11011101", B"11111011", B"00011000", B"11011011",
B"11011111", B"11100101", B"11100101", B"11011000", B"11011100",
B"11100001", B"11010110", B"00100111", B"00011111", B"00010010",
B"00100000", B"11011110", B"00101001", B"00011100", B"00001110",
B"11001100", B"00110111", B"11101110", B"00011001", B"11010101",
B"00101001", B"00010001", B"11100110", B"11100110", B"11101010",
B"11001101", B"11100000", B"00100001", B"11100111", B"11110000",
B"00100000", B"11011000", B"11011010", B"11010110", B"11001111",
B"00010011", B"11010111", B"00010010", B"11010010", B"11100100",
B"11100111", B"00001000", B"00100010", B"00100001", B"00011010",
B"11101001", B"00010010", B"00110000", B"11010101", B"11010001",
B"00011010", B"11011101", B"00101110", B"11000011", B"11110101",
B"11010101", B"00101001", B"00011101", B"11011010", B"11101001",
B"11011001", B"11001100", B"11110011", B"00011010", B"00100011",
B"00010110", B"00011001", B"00100000", B"00100011", B"00101010",
B"00011000", B"00011010", B"00110001", B"00100110", B"00010001",
B"11011011", B"11000110", B"00101110", B"00110101", B"11110111",
B"00101000", B"11101110", B"11100011", B"00100111", B"11100111",
B"00010110", B"11100001", B"11011011", B"11101011", B"00100101",
B"00010101", B"00101001", B"11001111", B"00011111", B"11011100",
B"11101011", B"11101110", B"00100111", B"00100000", B"11100010",
B"11101100", B"00100001", B"11000101", B"00010111", B"11100100",
B"11011011", B"11111101", B"00011101", B"11011010", B"11010001",
B"11110010", B"11111001", B"00010100", B"11110000", B"00001001",
B"00100001", B"11011000", B"11011010", B"11011110", B"11010100",
B"00100111", B"11010110", B"00011101", B"00011000", B"11100000",
B"00110100", B"11001011", B"11010111", B"11011111", B"00110010",
B"00101001", B"00010100", B"00011100", B"11110010", B"11011000",
B"00011101", B"00100110", B"11011100", B"11010000", B"00101110",
B"11011010", B"00100001", B"11100110", B"11010010", B"11100110",
B"00011010", B"00001001", B"00100111", B"00100001", B"11010000",
B"11101001", B"00010010", B"00101101", B"11110001", B"11100101",
B"00101010", B"00100000", B"11011110", B"11011011", B"00101011",
B"00011010", B"11010010", B"11011111", B"11110011", B"00011111",
B"00010100", B"00001010", B"11110000", B"00010101", B"11110101",
B"00010011", B"11011110", B"11011000", B"00100010", B"11101100",
B"00001000", B"11110100", B"11100010", B"00101000", B"11010001",
B"00011100", B"11101000", B"11111011", B"11100101", B"00101101",
B"00101001", B"11100100", B"11101111", B"00011110", B"00101010",
B"00100001", B"11100111", B"00000110", B"11100000", B"00011100",
B"00100111", B"11011110", B"11110010", B"11101000", B"11011101",
B"00011111", B"11011000", B"00101101", B"11110001", B"00101001",
B"11100111", B"00100000", B"11111000", B"11100010", B"00011111",
B"00011011", B"11011011", B"11100110", B"00010011", B"00011001",
B"00101010", B"00101011", B"11100110", B"11010010", B"11100101",
B"11000111", B"11100000", B"00100100", B"00011110", B"11100100",
B"00111110", B"11110100", B"00101011", B"11011010", B"00010111",
B"00011010", B"11101001", B"00001000", B"00100011", B"11001110",
B"00011110", B"00001100", B"11100100", B"11011111", B"00011101",
B"00101100", B"11101011", B"11100111", B"11011000", B"11011100",
B"11101001", B"00100011", B"00101000", B"11101010", B"00011010",
B"11011100", B"11010110", B"00011110", B"00101010", B"11001111",
B"11100110", B"11100110", B"11110111", B"11001110", B"11100001",
B"00011111", B"11101110", B"11011110", B"11101011", B"00101111",
B"00100000", B"11010111", B"00001110", B"11011110", B"00011000",
B"00111001", B"11100101", B"00110001", B"00100001", B"11101111",
B"11101100", B"00101000", B"11100001", B"00100011", B"11100110",
B"11011010", B"00001111", B"00010100", B"11100110", B"00001111",
B"11110001", B"00100101", B"11101001", B"11011111", B"00010011",
B"00101111", B"00011100", B"11011001", B"00010111", B"11110011",
B"11101010", B"11010011", B"00011111", B"00100000", B"11010110",
B"00100111", B"11110001", B"11100100", B"11011011", B"00100100",
B"00001010", B"11100010", B"00101101", B"11010111", B"00101000",
B"11010110", B"11011101", B"11100001", B"00100000", B"00100010",
B"11011111", B"00010101", B"11011101", B"11010100", B"11011110",
B"00100011", B"00101001", B"11111100", B"00101010", B"11011011",
B"00011100", B"00010110", B"11100010", B"00101110", B"00010110",
B"11011011", B"00010110", B"11101110", B"11100101", B"00100001",
B"11100010", B"11100000", B"11110011", B"11001111", B"00101011",
B"11010000", B"11100110", B"00001111", B"10110111", B"11110000",
B"11101110", B"11011001", B"11010111", B"00011110", B"00001100",
B"00100011", B"11011111", B"00100101", B"11010110", B"00011000",
B"00010101", B"00011101", B"11101111", B"00011111", B"11111101",
B"11101100", B"11010101", B"00010101", B"11010010", B"11010010",
B"11011100", B"11001001", B"00011000", B"00100010", B"00110001",
B"00010100", B"00010101", B"00100011", B"11011111", B"00010111",
B"00010011", B"11011100", B"11101000", B"00000101", B"11011011",
B"11010101", B"11100011", B"11011001", B"00100001", B"00100101",
B"00110010", B"00101001", B"11011011", B"00110100", B"11011110",
B"11101111", B"11010011", B"00101000", B"00100010", B"11001111",
B"11010101", B"00011001", B"00011111", B"00100111", B"11101110",
B"00100110", B"11011001", B"00010011", B"00100001", B"00100010",
B"00100010", B"00100111", B"00011000", B"00110001", B"00101100",
B"00010010", B"00100001", B"11100010", B"00010101", B"00100000",
B"11101011", B"00010010", B"00010110", B"11101001", B"00101011",
B"11100101", B"11100100", B"00011000", B"11101011", B"11101111",
B"11001100", B"11010111", B"00011111", B"00100101", B"00110100",
B"11011011", B"00011101", B"11010111", B"00010001", B"11010110",
B"00101111", B"00011101", B"11011101", B"00011110", B"00011100",
B"11101110", B"11101111", B"00100010", B"00101111", B"00001100",
B"00001101", B"11110100", B"00000101", B"11100011", B"00011001",
B"11100111", B"00100110", B"11101001", B"11010100", B"00010011",
B"11101100", B"11100101", B"11001111", B"11010011", B"00101101",
B"11011000", B"00100110", B"00110101", B"11100101", B"00001110",
B"00011011", B"11011110", B"11101001", B"11011110", B"11011000",
B"11001100", B"00100100", B"00011100", B"00101011", B"00100000",
B"00100110", B"11011101", B"11011100", B"00100010", B"11110100",
B"11100000", B"11100010", B"11010111", B"00011100", B"00010110",
B"00101010", B"11011000", B"00010101", B"11011101", B"00110000",
B"11011101", B"00011111", B"00100110", B"11011110", B"00100001",
B"00010100", B"11011011", B"11100111", B"00110000", B"11010110",
B"00111001", B"00011100", B"11101100", B"11001101", B"11100011",
B"11110001", B"11001101", B"00001101", B"00100010", B"11100110",
B"11001100", B"00110010", B"00100111", B"11101001", B"11010111",
B"00101001", B"00011010", B"11110000", B"11100010", B"00101110",
B"00111001", B"11010100", B"11011100", B"00011101", B"11100011",
B"00100001", B"00110011", B"11011000", B"00111110", B"00011001",
B"11010110", B"00011100", B"11010100", B"11010000", B"11000000",
B"11110111", B"00011111", B"11100110", B"00011100", B"00101110",
B"11011010", B"00100001", B"00101100", B"11010001", B"00111011",
B"00111010", B"11100100", B"11100001", B"11110011", B"11011111",
B"11101011", B"00011110", B"00011100", B"00110101", B"00100101",
B"00010001", B"11011010", B"00010011", B"00011000", B"11110000",
B"00100110", B"00011001", B"11011110", B"00110000", B"11110000",
B"00100010", B"11001100", B"11010000", B"11010100", B"00000001",
B"00011110", B"00101101", B"11011111", B"00101001", B"00101010",
B"11011011", B"00100001", B"00011111", B"11111100", B"11111011",
B"00110001", B"00010101", B"00100011", B"11101010", B"00101111",
B"11101100", B"00100111", B"00100010", B"00011011", B"11101011",
B"11010010", B"00010000", B"00011001", B"11100101", B"11011001",
B"11011010", B"00100001", B"00011011", B"00010101", B"11101110",
B"00000111", B"11010100", B"00010011", B"00101000", B"00011000",
B"11101101", B"11100000", B"00100001", B"00101101", B"11110001",
B"11010010", B"00101110", B"11011100", B"11100101", B"00011010",
B"11100010", B"11101111", B"11100001", B"11101001", B"11100001",
B"00110111", B"00100111", B"11100101", B"11100100", B"11011110",
B"11110000", B"11011000", B"00001101", B"11011000", B"00110110",
B"11000111", B"11011101", B"10111110", B"00010001", B"00001101",
B"11100011", B"11111111", B"00001011", B"11101010", B"11011110",
B"11011010", B"11011110", B"11101100", B"11111110", B"00000101",
B"00011100", B"00110010", B"00010110", B"00101010", B"11100011",
B"11110110", B"11010001", B"00100000", B"11010011", B"11101001",
B"11011100", B"00010111", B"00101101", B"11011001", B"00101101",
B"00010101", B"11101001", B"00011000", B"00001101", B"11011010",
B"11100000", B"00011111", B"00011010", B"00101101", B"00011110",
B"00100011", B"00100111", B"00101011", B"00100110", B"00011011",
B"00001111", B"00001100", B"11100100", B"00011101", B"00101111",
B"11101000", B"11100000", B"00011000", B"00010111", B"00011101",
B"11011011", B"00100101", B"00011100", B"11010010", B"11011111",
B"00011011", B"11101011", B"11100101", B"11011100", B"00011100",
B"00100101", B"11110010", B"00100000", B"11010001", B"00110100",
B"11110000", B"00010010", B"00010101", B"11100110", B"00110111",
B"00101010", B"11001000", B"00010110", B"00011111", B"11100010",
B"00100101", B"00010000", B"11011110", B"11100011", B"00010001",
B"00011011", B"11010110", B"00011000", B"00100011", B"11101100",
B"00100100", B"00010111", B"11101010", B"00011110", B"11100000",
B"11001000", B"00100001", B"11100101", B"11001111", B"11100111",
B"11010100", B"11101000", B"00100001", B"00010011", B"11101101",
B"11011110", B"11011110", B"11101001", B"11101100", B"11001111",
B"11100011", B"00011011", B"11100100", B"00101000", B"11010001",
B"11110000", B"00110001", B"11011110", B"00100000", B"11010111",
B"00010010", B"11100010", B"11010101", B"00011010", B"00100101",
B"11110001", B"11010111", B"11001000", B"11101001", B"00100001",
B"00110010", B"00010101", B"00010101", B"11100100", B"11101100",
B"00101100", B"11100001", B"00001111", B"11011101", B"11010011",
B"00101001", B"00100010", B"11100000", B"11010000", B"00010011",
B"11101101", B"11001111", B"11100001", B"11110011", B"00010010",
B"11010110", B"00010001", B"00010111", B"11000001", B"00100000",
B"00100111", B"11011010", B"00001111", B"11011110", B"11011001",
B"11100100", B"11101001", B"00100100", B"11100010", B"00011010",
B"00010101", B"11101001", B"11011111", B"11100110", B"11001101",
B"00011000", B"11101001", B"00001110", B"11100111", B"00101011",
B"00010000", B"00011111", B"11100001", B"00010011", B"11100000",
B"00100011", B"00101101", B"11100001", B"11001011", B"11110010",
B"11010111", B"00100100", B"11101010", B"00001110", B"11100101",
B"00100101", B"00010110", B"00011011", B"11011000", B"00011101",
B"11010111", B"00010111", B"00010110", B"00101101", B"11010100",
B"00010100", B"00010001", B"11010010", B"11001100", B"00110000",
B"00010101", B"11011101", B"11001111", B"00110110", B"11101110",
B"11010110", B"11010100", B"11011001", B"11110100", B"00101011",
B"00100001", B"00110011", B"11101011", B"00101000", B"11100010",
B"00010111", B"11101010", B"00101010", B"00011101", B"11101001",
B"11011110", B"11100001", B"11101011", B"11100110", B"00011111",
B"00010011", B"11100101", B"11100010", B"00010100", B"00010101",
B"11001101", B"11010101", B"11100001", B"11010111", B"00100101",
B"00101100", B"00100110", B"00101001", B"11010101", B"11100010",
B"00010001", B"00010100", B"11011111", B"00100110", B"00101111",
B"11100001", B"11010110", B"00110101", B"11111011", B"00100111",
B"11100101", B"00010100", B"00011100", B"11010110", B"11100000",
B"00111001", B"11110101", B"11100011", B"11010100", B"11011100",
B"00110000", B"00011101", B"00001111", B"00011111", B"00011000",
B"00011010", B"11101011", B"11011001", B"00100111", B"00100110",
B"11011100", B"11100001", B"00011100", B"00100110", B"00010101",
B"00011101", B"00011101", B"00101110", B"00000110", B"00010110",
B"11011100", B"11100000", B"11101000", B"11100001", B"00100010",
B"00101011", B"00010101", B"00010011", B"11010101", B"11010011",
B"00010110", B"00011100", B"00001111", B"00011111", B"11001001",
B"11101001", B"00010100", B"00011000", B"00110010", B"11100100",
B"00010010", B"11101000", B"00100011", B"11100000", B"11100001",
B"00010111", B"00110101", B"00100110", B"11101011", B"00011100",
B"11100100", B"00000010", B"00011011", B"00000110", B"00010101",
B"11011100", B"00011101", B"11011011", B"00100010", B"11100011",
B"11010001", B"00011001", B"00101001", B"00011100", B"11100001",
B"00101100", B"11100011", B"00101100", B"00010100", B"11011111",
B"11011010", B"00101001", B"11011101", B"11100010", B"11100100",
B"11111111", B"11100000", B"00101101", B"11010111", B"00100001",
B"11011000", B"11101000", B"00011100", B"00101110", B"11111110",
B"11001011", B"11011010", B"11101010", B"00011101", B"00010111",
B"00011010", B"00011111", B"00010001", B"11110010", B"00011010",
B"00011101", B"11101011", B"00100001", B"00100001", B"11100010",
B"00100011", B"11001010", B"11010010", B"11011011", B"11011100",
B"00101100", B"11101011", B"00011100", B"00101000", B"00011100",
B"11100111", B"00101011", B"00011010", B"11100110", B"11100100",
B"00110100", B"00100001", B"11110001", B"11010110", B"11011011",
B"11101010", B"00100011", B"11100010", B"00010001", B"11011101",
B"01000001", B"00101001", B"11110001", B"11100000", B"11101001",
B"11110000", B"11011010", B"00101000", B"11000010", B"00001110",
B"00011011", B"11011110", B"00111100", B"00110000", B"11000110",
B"00100001", B"00001111", B"00001010", B"11101100", B"00011010",
B"11101101", B"00011011", B"11101001", B"11101010", B"00011101",
B"00100000", B"00011110", B"11100010", B"00101100", B"11100110",
B"00111011", B"00011110", B"11100110", B"00011011", B"00011001",
B"11100011", B"00101101", B"00100101", B"11011001", B"11101100",
B"11010001", B"11011100", B"00101100", B"00111001", B"11100000",
B"00100101", B"11011011", B"00100001", B"00011111", B"11100001",
B"00100100", B"00100101", B"11011001", B"11000110", B"00101110",
B"11111000", B"11011110", B"11011011", B"00011001", B"00011001",
B"11100011", B"00011100", B"11011101", B"00100011", B"11101010",
B"11101100", B"00100010", B"11001111", B"11101011", B"11110000",
B"11011011", B"11110000", B"00100000", B"00010110", B"00010010",
B"11110000", B"00011100", B"11100010", B"00100110", B"00010110",
B"11011101", B"11101100", B"00010101", B"11110101", B"11011000",
B"11010011", B"11010110", B"00011001", B"11011001", B"11111100",
B"11011111", B"11011011", B"00001100", B"11011101", B"00011010",
B"00010110", B"11100110", B"11101100", B"00011011", B"11100011",
B"11011011", B"11100111", B"11010100", B"00101001", B"00101011",
B"00011001", B"11101110", B"00011100", B"11100110", B"00001101",
B"11101001", B"00001110", B"11011110", B"11100110", B"00100101",
B"11001101", B"11100001", B"11101010", B"11000000", B"00100111",
B"00011111", B"00100011", B"11011010", B"00010110", B"11011000",
B"00011011", B"11100011", B"11011101", B"11100001", B"00011111",
B"11100111", B"00100100", B"11101010", B"11011101", B"00101011",
B"11101101", B"00100000", B"00010001", B"00101110", B"11110000",
B"00101010", B"11110010", B"00110011", B"11110100", B"11110100",
B"11101000", B"11100100", B"00011110", B"00101000", B"00001000",
B"00101111", B"11011100", B"00101011", B"00100011", B"11010001",
B"11001001", B"11100111", B"11011101", B"11101111", B"00110000",
B"00100000", B"11011011", B"11100011", B"00100001", B"00011100",
B"11011000", B"11101011", B"00011101", B"00101110", B"11010111",
B"11100100", B"00101001", B"00100101", B"11100101", B"11100111",
B"11011000", B"00100101", B"11101000", B"11100110", B"11011101",
B"00100010", B"00011001", B"11100011", B"11100110", B"11010010",
B"11010111", B"11011010", B"00101011", B"00011110", B"00011010",
B"00011010", B"11110100", B"11101000", B"00011000", B"00110001",
B"00100000", B"00001101", B"11101100", B"11101000", B"11011001",
B"00011001", B"00011010", B"11100111", B"11011110", B"11100111",
B"11010100", B"11010110", B"11100000", B"11000111", B"00011010",
B"11101011", B"00011011", B"11011111", B"11010111", B"00101110",
B"11100111", B"11101001", B"11100110", B"11110101", B"00010000",
B"00101001", B"00001101", B"00011110", B"00100000", B"00100001",
B"11100010", B"00101111", B"00010000", B"11011101", B"11101011",
B"00101011", B"11100110", B"11101001", B"00100000", B"11100010",
B"00011001", B"11100110", B"11010101", B"00011001", B"00011111",
B"00011010", B"11001010", B"00010001", B"00101111", B"11000010",
B"11011010", B"00101000", B"11011101", B"00011110", B"11100101",
B"00101011", B"11010100", B"11111000", B"00111001", B"00101010",
B"00101100", B"11101011", B"00101110", B"00010110", B"11010111",
B"00100100", B"00011101", B"11100000", B"11010111", B"00011011",
B"00100101", B"00101000", B"11001101", B"00100111", B"11010000",
B"00010000", B"00011001", B"00100001", B"00001110", B"00011111",
B"00110000", B"00110000", B"00011001", B"00001101", B"00100010",
B"00010110", B"00010101", B"00100110", B"00100010", B"00100001",
B"00100000", B"00010010", B"11100001", B"11101101", B"00011101",
B"00010101", B"00011010", B"00101011", B"11010011", B"11001101",
B"11101100", B"00100101", B"11100100", B"11000110", B"11000111",
B"00100110", B"00011000", B"11010011", B"00101000", B"11011101",
B"00011100", B"00010100", B"11000111", B"00100000", B"00011011",
B"11010011", B"00100010", B"00010101", B"11011010", B"11011011",
B"00101100", B"00011001", B"11101111", B"11010001", B"00100001",
B"11100010", B"00100101", B"00011011", B"11011010", B"00100110",
B"00011100", B"11011010", B"11110011", B"00000100", B"00011100",
B"11100001", B"11011001", B"11110110", B"11101011", B"11011100",
B"11101110", B"00011101", B"00110000", B"11010110", B"11011000",
B"11011100", B"11101110", B"11011011", B"00100010", B"00011111",
B"11011000", B"11011111", B"00011100", B"00001101", B"11110101",
B"11000100", B"11011010", B"00011111", B"00100011", B"11100011",
B"11011110", B"11011001", B"11101110", B"11010001", B"00010110",
B"11100111", B"11100011", B"00101011", B"11110001", B"11001110",
B"11001100", B"11100101", B"11100000", B"11100010", B"11011111",
B"11100111", B"00100111", B"00100000", B"00100110", B"00011010",
B"11101001", B"00101110", B"11011101", B"11101010", B"11101000",
B"00100001", B"00101100", B"11100000", B"00100110", B"00011110",
B"00110001", B"11101000", B"00101110", B"11110000", B"00100001",
B"11001011", B"11011000", B"00110000", B"11100011", B"11011110",
B"11011010", B"00100000", B"00011011", B"11100001", B"00010101",
B"00101001", B"00101010", B"00101011", B"00100010", B"00100101",
B"00100001", B"00100101", B"00011011", B"11010010", B"11011010",
B"00001110", B"11100101", B"11100000", B"11100010", B"11100101",
B"00011001", B"11101000", B"00101001", B"11000111", B"11011000",
B"11110000", B"00010110", B"00100111", B"00011100", B"11100001",
B"11010000", B"11100011", B"11100101", B"00100011", B"11100010",
B"00011101", B"00001110", B"11101101", B"00100011", B"11110010",
B"11101000", B"11100000", B"00101110", B"00100101", B"11011001",
B"11100100", B"00010001", B"11010000", B"00100010", B"11011010",
B"11100000", B"00011101", B"00011011", B"00011110", B"11100000",
B"11101001", B"00011000", B"00101111", B"11100111", B"11100001",
B"00101101", B"11011000", B"11100110", B"00010110", B"11101111",
B"11010100", B"11011110", B"11011100", B"11100100", B"11010011",
B"00011001", B"00100101", B"00100100", B"00010111", B"11100110",
B"11011010", B"11010111", B"11111101", B"00011000", B"11010100",
B"11011100", B"11101100", B"11100111", B"11110111", B"11011110",
B"11011011", B"11100010", B"00100110", B"00011101", B"11010010",
B"00010110", B"11010101", B"11100111", B"11010010", B"11101010",
B"11110001", B"00100011", B"00011010", B"00100010", B"00001110",
B"11101001", B"11011010", B"00100110", B"11100111", B"00010011",
B"11110000", B"11011101", B"00011011", B"00101100", B"11100001",
B"11100011", B"00100100", B"11011110", B"11110010", B"11101001",
B"11100111", B"11100111", B"00010101", B"11100100", B"00010010",
B"11011101", B"11011011", B"00110110", B"00011001", B"00010100",
B"11101110", B"00101011", B"11010111", B"11100101", B"11101101",
B"00011111", B"00011110", B"11011100", B"00010111", B"00010010",
B"00011111", B"11110010", B"00100011", B"11011111", B"00011011",
B"11101110", B"00001111", B"11101111", B"00100001", B"11010011",
B"11100000", B"00101111", B"00100110", B"00011101", B"00011101",
B"00011011", B"00101011", B"00011110", B"00010000", B"00110011",
B"00001011", B"11011001", B"00101101", B"11010110", B"11011111",
B"11100111", B"00101110", B"00100100", B"11011100", B"11011011",
B"11100011", B"00010010", B"00011110", B"00011010", B"00011111",
B"11010110", B"11011101", B"11100111", B"00001111", B"00011000",
B"00100100", B"11101110", B"00101001", B"11010111", B"00101101",
B"11100110", B"11010110", B"11101100", B"11011000", B"00110000",
B"00011101", B"00100011", B"00011100", B"00110011", B"11011011",
B"00101000", B"11011011", B"11011100", B"11100010", B"00100111",
B"00010110", B"00011111", B"11101100", B"11010110", B"11100011",
B"11101111", B"00011001", B"11001100", B"00110010", B"11100011",
B"00011010", B"11101000", B"11010111", B"11110000", B"00100100",
B"00100110", B"11100000", B"00010000", B"11100110", B"00011000",
B"11101011", B"11010111", B"11101010", B"00100110", B"00011111",
B"11001001", B"11101110", B"00010111", B"11011110", B"00101101",
B"11010110", B"11011110", B"00011110", B"11100001", B"11010011",
B"11100001", B"00011010", B"00010100", B"11100010", B"00100100",
B"11100100", B"01000000", B"11101110", B"00100101", B"11100010",
B"11011011", B"11011110", B"00011000", B"00101101", B"11011001",
B"00100011", B"00001110", B"00011010", B"11101001", B"00011010",
B"11100001", B"00010011", B"00100010", B"00100101", B"11011111",
B"11011110", B"00100110", B"00101001", B"11110111", B"11011000",
B"11010110", B"11011101", B"00110100", B"11011100", B"00110000",
B"11100001", B"11010011", B"00110011", B"11011111", B"11111111",
B"11100001", B"00100000", B"00101010", B"11110001", B"00101001",
B"11100100", B"00101010", B"11011010", B"11000110", B"00001101",
B"11011111", B"11011111", B"11010001", B"11011011", B"11010100",
B"11011111", B"00010001", B"00100001", B"00101101", B"00100111",
B"11100101", B"11011010", B"11011111", B"11100010", B"11010010",
B"00101110", B"00001111", B"11011111", B"00100010", B"11100001",
B"00100001", B"00100010", B"00101011", B"00100001", B"00011011",
B"00011000", B"00100000", B"00011110", B"11101010", B"00100110",
B"11101110", B"11100100", B"11100110", B"00100011", B"00011011",
B"11100000", B"11100010", B"00100011", B"11010110", B"11110100",
B"11010011", B"00011110", B"00011001", B"11011011", B"00010001",
B"00100001", B"00101100", B"00100011", B"00011000", B"00000101",
B"00011000", B"00011110", B"00100111", B"00011101", B"00010111",
B"11100000", B"00010100", B"11011111", B"00100000", B"11100100",
B"00110001", B"00101000", B"11010001", B"11011001", B"00011111",
B"00100100", B"11011011", B"11100011", B"11010111", B"11101110",
B"00010011", B"11100100", B"00011100", B"11101010", B"11010101",
B"00011100", B"00011110", B"00110000", B"11101111", B"11011101",
B"00001110", B"00101100", B"11100111", B"11111010", B"11101110",
B"11100011", B"11100010", B"11100000", B"00100000", B"00101101",
B"00011111", B"00101100", B"11100000", B"00011110", B"00110000",
B"00010100", B"11100110", B"00100010", B"11011001", B"00011001",
B"11101001", B"11010110", B"00100010", B"11011001", B"00101000",
B"11011010", B"11100010", B"00101111", B"11011100", B"00011101",
B"00010010", B"11010101", B"11100110", B"11100110", B"11101100",
B"11010111", B"11011110", B"11101000", B"11011111", B"00001010",
B"00101111", B"11011110", B"00011111", B"11101000", B"11010000",
B"11100111", B"00101010", B"00100010", B"00101101", B"00100111",
B"11010000", B"11010010", B"00101010", B"11101011", B"00011110",
B"00001110", B"11100100", B"00110011", B"00100110", B"11101100",
B"00011011", B"11011101", B"11100011", B"11100010", B"11011011",
B"00010010", B"11011011", B"00011100", B"00101000", B"00011100",
B"11100110", B"00101100", B"00011100", B"11101011", B"11101111",
B"00001110", B"00011010", B"00100001", B"11100011", B"00011000",
B"00011011", B"11101101", B"11100101", B"00010011", B"11101011",
B"00100011", B"00101111", B"11011001", B"11011101", B"11011001",
B"11010111", B"11011000", B"00101001", B"11011111", B"11011100",
B"11100000", B"11011110", B"00011000", B"11010010", B"00100001",
B"11100000", B"11011111", B"00011100", B"11011100", B"00111100",
B"11101100", B"11100100", B"00011001", B"00100100", B"11001111",
B"00101000", B"11100011", B"11100001", B"11010011", B"00000111",
B"00100100", B"00100011", B"11110101", B"00100100", B"00010011",
B"11100101", B"00101111", B"00101010", B"11100111", B"00100010",
B"00101110", B"11011010", B"00100001", B"00101001", B"11010111",
B"11001110", B"00100010", B"11011010", B"00011011", B"00011101",
B"00011101", B"11101000", B"00101011", B"11011011", B"00000010",
B"11111100", B"00010010", B"00010010", B"00100110", B"00011110",
B"00100110", B"00011000", B"00011000", B"11100010", B"00010010",
B"00011111", B"11100001", B"11011001", B"11010111", B"11110001",
B"11010010", B"11100111", B"00101011", B"11101101", B"11100001",
B"11011000", B"00100000", B"00001111", B"11011011", B"00100001",
B"11101000", B"11100000", B"00101110", B"11001111", B"11100111",
B"11001100", B"11010101", B"11100100", B"11011111", B"11010110",
B"00100111", B"00010100", B"11010001", B"00011110", B"11101010",
B"00100001", B"11100100", B"00101000", B"00101000", B"11100101",
B"00100011", B"00011001", B"11011001", B"00110000", B"00010111",
B"11011000", B"11100011", B"00110001", B"00011001", B"11100110",
B"11110111", B"11100100", B"11101001", B"00011101", B"00100100",
B"00100100", B"00101001", B"11100110", B"11010001", B"00100110",
B"00010100", B"00010110", B"11100111", B"00100101", B"11011101",
B"00001111", B"11100011", B"00011011", B"11011101", B"11011111",
B"11110010", B"11101011", B"00101100", B"11011100", B"00001001",
B"00100100", B"00101000", B"00011000", B"00111001", B"00101000",
B"00111000", B"00101110", B"00010001", B"11100010", B"00010111",
B"00111001", B"11100110", B"11100010", B"11001111", B"11100010",
B"11101001", B"11011100", B"11010101", B"00101011", B"11011101",
B"00101011", B"11001110", B"11001010", B"00101011", B"00001001",
B"00100101", B"00100110", B"00010101", B"00111011", B"00100001",
B"00010110", B"00100000", B"11110000", B"11100010", B"11101100",
B"11010111", B"00101001", B"00100110", B"00100010", B"00101010",
B"00011011", B"00011010", B"11010111", B"11101010", B"00001101",
B"00100100", B"11011011", B"11100110", B"11011011", B"00100101",
B"00100100", B"00101001", B"11101111", B"00110011", B"11011000",
B"00000001", B"11100010", B"00011000", B"11100001", B"11010111",
B"11100010", B"00101001", B"00101011", B"11100010", B"00100001",
B"00010011", B"00110010", B"11011111", B"00110000", B"11100000",
B"00100110", B"11101111", B"00100111", B"11101111", B"00100010",
B"11011101", B"11101010", B"11010110", B"00100110", B"00001010",
B"00100110", B"00100111", B"00100000", B"11101101", B"00000110",
B"11010000", B"00101000", B"11011001", B"11101110", B"11101111",
B"11011011", B"00110111", B"00110010", B"11101101", B"00101110",
B"11000011", B"11100100", B"11011010", B"00010011", B"00010100",
B"00100001", B"00101111", B"11011011", B"11101010", B"00100100",
B"11000011", B"00101110", B"11010101", B"11101000", B"11100000",
B"00101010", B"00010010", B"11001101", B"11011010", B"11101110",
B"00101000", B"00100011", B"11110000", B"00011101", B"11010111",
B"00010100", B"11110110", B"11100101", B"00101101", B"11010111",
B"11101010", B"11010101", B"11100100", B"00101110", B"00100110",
B"11100000", B"11100001", B"00100001", B"00100101", B"11011111",
B"11111010", B"00001011", B"11101000", B"00100000", B"00010010",
B"11101101", B"00011010", B"00110101", B"11010011", B"00010111",
B"11101100", B"00110100", B"11100101", B"11011101", B"11011110",
B"00001010", B"00101111", B"00000001", B"11011010", B"11011001",
B"11010011", B"00000111", B"00011100", B"00100000", B"00010011",
B"00001101", B"11101111", B"11100100", B"00010111", B"11101000",
B"11011111", B"11111111", B"11100110", B"00100111", B"11100011",
B"00100011", B"11011100", B"11011111", B"11011110", B"00010000",
B"00001111", B"00101011", B"00011111", B"11011010", B"10111100",
B"00011111", B"00001110", B"11101110", B"11101111", B"11011000",
B"00010000", B"11011101", B"11011101", B"11101010", B"00001010",
B"00100110", B"11010110", B"00011001", B"11011111", B"00011001",
B"00100111", B"11110101", B"00101110", B"00100110", B"11010110",
B"11101100", B"11011101", B"11110100", B"00100101", B"00110010",
B"11100111", B"00101100", B"11100111", B"00011010", B"11111000",
B"11101100", B"00011111", B"11011010", B"11011111", B"11011100",
B"11101101", B"11010101", B"11100101", B"00011110", B"00011110",
B"00010011", B"00011010", B"11100100", B"11011001", B"11010100",
B"00100101", B"11101000", B"00010100", B"11000100", B"11101111",
B"00001011", B"00100000", B"00011100", B"11100001", B"11011000",
B"11001011", B"11011010", B"00101001", B"11011011", B"00101011",
B"11011100", B"11011101", B"11010111", B"11010111", B"00110100",
B"00011000", B"00001111", B"00011000", B"11100011", B"11101011",
B"00100111", B"00100111", B"00100001", B"00110000", B"11001100",
B"11100000", B"00100100", B"00100100", B"11100100", B"11101101",
B"00011011", B"00011100", B"11011010", B"11001101", B"11010011",
B"00101011", B"11100001", B"11110010", B"11001001", B"00101011",
B"00111011", B"11100010", B"11010111", B"11010110", B"00100001",
B"11110000", B"00011100", B"11100010", B"11010000", B"00100100",
B"11011001", B"11100011", B"00110010", B"11011010", B"00011111",
B"11100010", B"11100110", B"00010110", B"11011001", B"00010100",
B"11001100", B"11110010", B"11010111", B"00001110", B"00011111",
B"11011100", B"11100100", B"00011110", B"11110010", B"11110001",
B"11010111", B"00100111", B"00100011", B"11101001", B"11011110",
B"11011011", B"00100000", B"00010011", B"00110000", B"00101011",
B"11010111", B"11011011", B"00101010", B"11110100", B"00101110",
B"11010100", B"11011010", B"11010110", B"00011011", B"00110010",
B"00101000", B"00001100", B"00010011", B"11011100", B"00100101",
B"11010111", B"00100001", B"11101010", B"11110010", B"00010000",
B"00010010", B"00010110", B"11000110", B"00011010", B"11100101",
B"00100111", B"11111000", B"11100100", B"00011100", B"11010010",
B"00011010", B"11101001", B"11100110", B"00011100", B"11010100",
B"11100011", B"00110001", B"00100011", B"00011100", B"00100000",
B"11011010", B"11111001", B"11010111", B"11011111", B"00011110",
B"00110111", B"00100010", B"00100110", B"11011101", B"11011101",
B"00011011", B"00100101", B"00010001", B"11011110", B"00100100",
B"11100010", B"00010001", B"11110111", B"11111011", B"11100011",
B"00110000", B"11101011", B"00010100", B"11001001", B"11100010",
B"00001010", B"11010110", B"11100010", B"11101000", B"00111000",
B"00100000", B"11011101", B"00011011", B"11100110", B"00001010",
B"11110000", B"00010101", B"11010111", B"11011001", B"11011001",
B"00100000", B"00110001", B"00100011", B"11010111", B"11100100",
B"00100110", B"11100001", B"11101010", B"11110101", B"11100010",
B"00100011", B"00100110", B"10111111", B"00100110", B"00100111",
B"11010100", B"11101101", B"00101000", B"11001100", B"00100010",
B"00101101", B"00011001", B"11100000", B"00011110", B"11010110",
B"00101111", B"11011000", B"11110000", B"00011110", B"00011101",
B"00100010", B"00100101", B"11100101", B"11011011", B"11100111",
B"11100010", B"00100011", B"11001111", B"00001110", B"11011001",
B"11011000", B"00101111", B"00001110", B"11101110", B"00011001",
B"11010010", B"11011100", B"11100000", B"00100010", B"00011100",
B"11101011", B"00010011", B"00100011", B"11011100", B"11101000",
B"11101000", B"11100001", B"11100101", B"00001111", B"11110011",
B"11100100", B"00100111", B"11101010", B"11011111", B"11010000",
B"11100110", B"11100000", B"00100111", B"00010001", B"00100010",
B"11100001", B"00011111", B"11100101", B"00100100", B"11100001",
B"00101100", B"11010110", B"11010001", B"11100000", B"00011000",
B"00101010", B"11011101", B"00011001", B"11011101", B"00000111",
B"00101100", B"11100001", B"00110010", B"00101000", B"11100110",
B"00011110", B"00000110", B"00110010", B"11011111", B"00001101",
B"11001001", B"00101000", B"11110000", B"00010100", B"11100111",
B"00001011", B"00011001", B"11101001", B"00101100", B"00101001",
B"11100011", B"11010010", B"11100111", B"11111011", B"11011001",
B"00101110", B"00101011", B"00010110", B"00110010", B"11000001",
B"11011011", B"11100010", B"00100001", B"00100100", B"11100101",
B"00110001", B"11100110", B"11011010", B"00010100", B"00101000",
B"00100101", B"11100110", B"00011111", B"11110001", B"00100110",
B"11100100", B"00101010", B"00110101", B"00010110", B"11010001",
B"00001111", B"11100010", B"00101110", B"00100010", B"00011011",
B"00110111", B"00101111", B"00001100", B"00100100", B"00011011",
B"00011001", B"00100001", B"00001100", B"00011100", B"11011001",
B"00100101", B"11000110", B"00010001", B"11011100", B"11010010",
B"11100001", B"00010011", B"00101010", B"00011011", B"00100001",
B"10111111", B"11001111", B"11110001", B"11101011", B"00011111",
B"00011011", B"00100011", B"00001111", B"11010101", B"11011000",
B"00011010", B"11100001", B"00101000", B"11010000", B"11101101",
B"11011100", B"00011101", B"00100010", B"11100111", B"00100101",
B"00100010", B"11100011", B"11100100", B"11001111", B"11111011",
B"11011101", B"11010001", B"00100100", B"00001100", B"11100010",
B"11010001", B"11101100", B"11100110", B"11010111", B"11011100",
B"11101011", B"00110001", B"11101010", B"11111100", B"11011001",
B"11011100", B"00110111", B"00101001", B"00101010", B"00010010",
B"00111010", B"00100000", B"00100000", B"00100000", B"00011110",
B"00111001", B"00010110", B"11010011", B"00100111", B"00101101",
B"11011011", B"11010001", B"00101010", B"11100101", B"00001111",
B"00100010", B"11010101", B"11011111", B"11100111", B"11010001",
B"11100001", B"00010001", B"00101111", B"00010010", B"11010001",
B"00001001", B"11101010", B"00011110", B"11001100", B"11101101",
B"00100110", B"00010101", B"11101011", B"11011011", B"11001100",
B"11011100", B"11110000", B"00011011", B"11011100", B"11011110",
B"11100110", B"11011011", B"00100011", B"11001011", B"00001011",
B"11010100", B"11010001", B"11011111", B"11011111", B"00011110",
B"00011111", B"00011111", B"00001110", B"11011010", B"11101011",
B"00010111", B"11011011", B"00100110", B"11100101", B"11011010",
B"00011111", B"00101001", B"00011101", B"00101110", B"11100100",
B"00011000", B"11100010", B"00001011", B"11010110", B"00011101",
B"00101000", B"11010011", B"00011011", B"00011101", B"11100111",
B"11011001", B"00100000", B"00011000", B"00101111", B"11010100",
B"11100010", B"00010100", B"00101010", B"11100110", B"11010100",
B"00011101", B"00100010", B"11101000", B"00011010", B"00100101",
B"11101000", B"11101011", B"00011011", B"00101010", B"00100000",
B"00011011", B"11011010", B"00100110", B"11011101", B"00101001",
B"11100000", B"00100000", B"11100111", B"11010111", B"00001010",
B"11101010", B"11100001", B"11100011", B"11101011", B"11101011",
B"11101011", B"11110000", B"11100101", B"00011001", B"00011011",
B"00011111", B"00101011", B"00101010", B"00011000", B"11101101",
B"00001111", B"00100101", B"11101100", B"11110010", B"00001110",
B"00011111", B"00100101", B"00101100", B"11011000", B"00001100",
B"11011000", B"00001111", B"11100000", B"11011111", B"00101010",
B"00010011", B"00100011", B"11100101", B"00100101", B"11110010",
B"00011010", B"11100100", B"00101001", B"11011111", B"11001111",
B"11011000", B"00011011", B"00000110", B"11011100", B"11101101",
B"00100010", B"11011110", B"00100010", B"11100100", B"11100010",
B"00101000", B"00101001", B"00100011", B"11101100", B"00100011",
B"00110000", B"11001000", B"00110010", B"00010000", B"11110001",
B"11100011", B"00011101", B"00011110", B"11011101", B"11100100",
B"11110001", B"11011101", B"11011101", B"00100011", B"11010001",
B"00111001", B"00101000", B"11100001", B"00011000", B"00100010",
B"11100000", B"11011001", B"11101101", B"11100100", B"00100000",
B"00100101", B"11101000", B"00100001", B"11100101", B"11011000",
B"00101011", B"11100100", B"00010111", B"11010101", B"11100101",
B"00110100", B"00010000", B"11000101", B"00001111", B"00011000",
B"00110011", B"11101111", B"00100000", B"11110100", B"00101100",
B"11101010", B"11100000", B"00101000", B"00011100", B"00010100",
B"00010111", B"11010001", B"11110010", B"00011000", B"00101000",
B"11100011", B"00101111", B"00101111", B"11100010", B"11110001",
B"00101011", B"11111100", B"11100011", B"00100110", B"00110001",
B"00001010", B"00010001", B"11010010", B"11100101", B"00100110",
B"11011000", B"00011010", B"11101001", B"11100001", B"11100100",
B"00010010", B"00101000", B"11011101", B"11011010", B"00100111",
B"00100100", B"00010101", B"00100011", B"11101000", B"11001110",
B"11011001", B"11010011", B"11110001", B"11100110", B"00011111",
B"00110010", B"00101011", B"00011000", B"00100001", B"11011011",
B"00101111", B"00011000", B"11010001", B"00011000", B"00100111",
B"11010100", B"11010111", B"00111101", B"11011100", B"11101001",
B"11101101", B"00100100", B"00010110", B"11101001", B"00011110",
B"00011110", B"00100101", B"00001111", B"00100001", B"00011111",
B"00001010", B"00100010", B"00011000", B"11011010", B"00011011",
B"11011101", B"11101000", B"11010011", B"00011011", B"00101110",
B"00100011", B"11010000", B"11011000", B"11010011", B"11011011",
B"00110111", B"11010101", B"00100110", B"00011110", B"00010011",
B"00100000", B"11011111", B"00110000", B"11010101", B"00110001",
B"11110000", B"00101001", B"11011001", B"11100011", B"00110001",
B"11011100", B"11110000", B"11011111", B"11011100", B"00001111",
B"11101000", B"00100001", B"11011000", B"11100000", B"11100101",
B"00010110", B"00101100", B"00101001", B"11010001", B"11100010",
B"00100010", B"11101110", B"11011011", B"11011000", B"11011101",
B"11011011", B"00011001", B"11010100", B"11110100", B"11100010",
B"00101001", B"00101000", B"11100101", B"11011100", B"11100011",
B"11010111", B"11110011", B"00010010", B"00100000", B"00010010",
B"00101110", B"11100101", B"11010110", B"00010011", B"11110100",
B"00100100", B"11101110", B"11100000", B"00011011", B"00011100",
B"11101011", B"11101011", B"11100001", B"11110011", B"00100000",
B"11111100", B"00100111", B"11111011", B"00011111", B"11100110",
B"00001111", B"11101000", B"11011011", B"00101111", B"00111100",
B"00100110", B"11101000", B"11011010", B"00011100", B"11100010",
B"11101110", B"11010010", B"11100011", B"00011001", B"00011101",
B"11010001", B"11100011", B"00001110", B"00100000", B"11101001",
B"11001101", B"00010101", B"00110001", B"00011100", B"00010000",
B"00101100", B"00010111", B"00100011", B"00010110", B"00010101",
B"00100111", B"00100111", B"11101111", B"00010001", B"11101001",
B"00010101", B"11010010", B"00100000", B"11101001", B"00100100",
B"11011111", B"11011001", B"11100001", B"00101110", B"00011010",
B"11100101", B"00001101", B"01000000", B"11100101", B"11011010",
B"11011111", B"11100101", B"11011011", B"11001100", B"00011110",
B"00100010", B"11100011", B"11101100", B"11011010", B"11011001",
B"11101001", B"11101000", B"00100000", B"00101011", B"11011011",
B"11110001", B"10111001", B"11100000", B"11100000", B"00100000",
B"00011110", B"00011100", B"11100111", B"00010100", B"11101010",
B"00010100", B"11011101", B"11110111", B"11101001", B"00011101",
B"00011010", B"00011001", B"00010011", B"11101000", B"11100111",
B"11100111", B"00101010", B"11010110", B"00011110", B"11100110",
B"11100110", B"00110001", B"00011110", B"00110110", B"11101001",
B"11011100", B"00110010", B"11011000", B"11001111", B"11100010",
B"11100010", B"00100001", B"00010111", B"11010111", B"11100101",
B"00011001", B"00110101", B"11011000", B"11001110", B"11001101",
B"11011101", B"11100000", B"11001111", B"00101000", B"00010101",
B"00010011", B"00011101", B"00010111", B"00011101", B"11011011",
B"00010111", B"00110000", B"11101111", B"11010101", B"00100000",
B"11010010", B"00011111", B"00100010", B"11010011", B"11100110",
B"11100100", B"11101001", B"11011101", B"11010100", B"11100100",
B"11011011", B"00001110", B"00100000", B"11100001", B"00101110",
B"11011011", B"11010001", B"11100110", B"11011001", B"11011111",
B"00100010", B"00011011", B"00100101", B"00100011", B"00101000",
B"00100000", B"00101110", B"00011001", B"00100100", B"00100110",
B"00110111", B"00010100", B"11100001", B"00010011", B"11100000",
B"11011000", B"11011001", B"00011000", B"00110001", B"11100001",
B"00010011", B"11010100", B"11110000", B"00011000", B"11010110",
B"11011111", B"11010110", B"11100010", B"11100010", B"00101010",
B"00100011", B"11011000", B"00000010", B"11010101", B"11011001",
B"11000011", B"11011101", B"11100000", B"00110011", B"11101010",
B"00100110", B"11100001", B"11010010", B"00101110", B"00010011",
B"00010011", B"11101001", B"11011001", B"00011000", B"00100001",
B"11011010", B"11110010", B"00010111", B"00011110", B"11100100",
B"00011001", B"00101001", B"11101000", B"11110010", B"00011001",
B"11011001", B"11000000", B"00101001", B"00010101", B"00010011",
B"00100010", B"11101001", B"11101000", B"11101111", B"11011010",
B"00011100", B"11011111", B"00101100", B"11011101", B"11010111",
B"00100101", B"11101000", B"00111001", B"00100100", B"00010111",
B"11100101", B"00100100", B"11011011", B"00011001", B"00101011",
B"00010100", B"00101010", B"11100100", B"00101000", B"11101100",
B"00011111", B"11100110", B"00011100", B"00011011", B"11101010",
B"11011010", B"00110110", B"00011001", B"11101101", B"11100101",
B"00100010", B"11100101", B"00100011", B"11010100", B"11100010",
B"11011010", B"00100110", B"00100001", B"00010101", B"11110100",
B"11100100", B"00101101", B"11010110", B"11011111", B"11101000",
B"11111100", B"11100001", B"00011111", B"00010101", B"11010001",
B"11100001", B"11101101", B"11100011", B"11001111", B"00011000",
B"11011110", B"11101001", B"11011100", B"11010011", B"00001001",
B"11011100", B"00010111", B"11011101", B"00100110", B"11100110",
B"00010100", B"11101010", B"11011010", B"00010101", B"00100110",
B"00011110", B"00101011", B"11011101", B"00011011", B"00011111",
B"11011110", B"11100010", B"00100001", B"11011101", B"00100010",
B"00010110", B"00100100", B"11000011", B"00101100", B"11100000",
B"00011110", B"00101110", B"11010110", B"00011011", B"00011110",
B"11101001", B"00001010", B"00101101", B"11100011", B"11011101",
B"11011000", B"11100011", B"11100100", B"00110000", B"00011011",
B"00100001", B"00101001", B"00100010", B"00100111", B"11100111",
B"00100110", B"00010110", B"11101011", B"11010111", B"00010011",
B"00100000", B"00100001", B"00011101", B"00100110", B"00001101",
B"01000100", B"00001100", B"00011011", B"00011111", B"00011110",
B"11100100", B"11100100", B"00110001", B"00011101", B"11101010",
B"11101101", B"11110000", B"00101101", B"11011010", B"00010100",
B"11101100", B"11011000", B"00011111", B"00010100", B"11110100",
B"00101000", B"11011000", B"00100000", B"11011101", B"11011001",
B"00011100", B"00100101", B"11100001", B"11100001", B"00010011",
B"11010101", B"00100101", B"11010011", B"11100110", B"00010100",
B"00010111", B"11010011", B"11010100", B"11101101", B"11011001",
B"00100101", B"11100100", B"00101111", B"11011100", B"00011001",
B"11100000", B"11110000", B"11010101", B"00100010", B"00110100",
B"11010100", B"00100001", B"00100000", B"11100001", B"11100110",
B"00011001", B"00011011", B"11100100", B"11011100", B"00101001",
B"11100100", B"00111011", B"00001111", B"11010011", B"00100110",
B"00100100", B"11011000", B"11101010", B"11110000", B"11011110",
B"11011000", B"00011110", B"00101100", B"00100011", B"00011000",
B"11010100", B"11100101", B"11100100", B"00100100", B"00011010",
B"11110010", B"00011110", B"11100000", B"00010000", B"11111100",
B"11011010", B"11100010", B"11100111", B"00100010", B"11100000",
B"00101001", B"00101100", B"11011001", B"11011011", B"11101101",
B"11101010", B"00100001", B"11010111", B"00100010", B"00100101",
B"00001101", B"11100011", B"00010010", B"00001011", B"11100001",
B"11101001", B"00110011", B"00100111", B"00010110", B"11011100",
B"11010011", B"00011100", B"00110011", B"11010101", B"11011101",
B"00010011", B"11101000", B"11011110", B"11011010", B"11100111",
B"00011101", B"11100101", B"00000101", B"11100011", B"10111100",
B"00101101", B"00110000", B"00010110", B"00101010", B"11100011",
B"11110101", B"00101110", B"00100101", B"11011101", B"00100101",
B"00011001", B"11010100", B"11011011", B"00101110", B"00100110",
B"11110001", B"00101001", B"11010111", B"11010010", B"11001100",
B"00011111", B"00100100", B"11100010", B"00001100", B"11011101",
B"00011000", B"11110101", B"11110001", B"00011111", B"00011010",
B"00100000", B"11001011", B"11011110", B"00010110", B"11010111",
B"11010110", B"11100100", B"11010111", B"11011100", B"11100010",
B"11011110", B"11010100", B"00010000", B"00010101", B"00100100",
B"00011110", B"11011001", B"11101100", B"00100011", B"11011110",
B"00101000", B"11011000", B"11100101", B"00100111", B"00101000",
B"11100100", B"00011101", B"11100000", B"11110010", B"11001111",
B"00100010", B"00010101", B"11101110", B"11011011", B"00101100",
B"00011111", B"00100101", B"00011110", B"11011010", B"11011110",
B"11011001", B"00011111", B"11011111", B"11001011", B"11100111",
B"00011100", B"00001111", B"11001110", B"11011000", B"00011101",
B"00001010", B"11011010", B"11010111", B"00101000", B"00101001",
B"11100010", B"11101100", B"00011010", B"11100110", B"11010010",
B"11011011", B"00100011", B"00110101", B"11100101", B"11100000",
B"11011001", B"11110010", B"00101111", B"00011011", B"11001111",
B"00010100", B"11101011", B"00011111", B"11100000", B"00100000",
B"00100011", B"11110111", B"00010110", B"00100001", B"11011001",
B"00100001", B"11100010", B"11101000", B"11000110", B"11100110",
B"00101100", B"11010111", B"00000111", B"00100101", B"00100111",
B"11101001", B"00110011", B"00010111", B"11010001", B"11100010",
B"00011111", B"11010011", B"11100010", B"00100010", B"00010100",
B"00100001", B"00011001", B"11010110", B"11011010", B"11101110",
B"11100001", B"00011100", B"11100110", B"00011101", B"11100011",
B"11100011", B"00010011", B"11011000", B"00101100", B"00000101",
B"00100011", B"11011101", B"00101100", B"11100001", B"00010010",
B"00100000", B"00101110", B"00011011", B"00100110", B"00010100",
B"00100011", B"00011000", B"00100001", B"00101100", B"11101000",
B"11010101", B"00011111", B"11011010", B"11100111", B"11100010",
B"11001001", B"11011110", B"00010011", B"11101000", B"11101110",
B"11011100", B"00000001", B"00010011", B"11101000", B"00011001",
B"00011111", B"11011000", B"11101011", B"00011111", B"00001100",
B"11000011", B"11111001", B"00100011", B"00011011", B"00101000",
B"11011100", B"00011000", B"11011101", B"00110010", B"11011001",
B"11010011", B"11101000", B"11110001", B"11010111", B"00101010",
B"00010000", B"00100001", B"00101111", B"00011011", B"00100010",
B"11100010", B"00100011", B"00101110", B"11101101", B"11100100",
B"00011000", B"11100111", B"11101010", B"00011001", B"11101110",
B"00110010", B"11111111", B"11101010", B"00111000", B"00100000",
B"00001110", B"00010111", B"11101000", B"00011111", B"11001000",
B"00011111", B"11011001", B"00010010", B"11001111", B"00110001",
B"11001111", B"11100101", B"11011010", B"00101111", B"00011111",
B"00011110", B"11101010", B"11010111", B"11111001", B"10111110",
B"00100111", B"11101000", B"00010000", B"11011111", B"00010110",
B"00010111", B"00100000", B"11010010", B"00010101", B"11100001",
B"00001101", B"11001001", B"00100011", B"11100010", B"00010011",
B"11000100", B"11010010", B"00100100", B"00110001", B"00010000",
B"01000010", B"00010110", B"00001101", B"00100110", B"00110000",
B"00001110", B"00100000", B"11101101", B"11110011", B"11100000",
B"00100010", B"01000001", B"11011001", B"00011000", B"11011000",
B"11011110", B"11011001", B"11101110", B"11010101", B"00100001",
B"00100010", B"00101100", B"00011111", B"11011001", B"11100111",
B"11100101", B"11101011", B"00110001", B"00011001", B"00101100",
B"00011001", B"11001010", B"11011001", B"11011100", B"11100000",
B"00010101", B"00110010", B"00011100", B"00101010", B"11010110",
B"00011011", B"11010011", B"11011111", B"11100101", B"00010100",
B"00010100", B"11100001", B"11001111", B"11011011", B"11100010",
B"00010000", B"00100101", B"11101000", B"00100010", B"11011101",
B"11110110", B"00011100", B"11100001", B"11110000", B"11100100",
B"00011101", B"00000110", B"11101111", B"11011000", B"11100111",
B"11110000", B"11100101", B"00000111", B"00011101", B"00010101",
B"00010111", B"00001111", B"00100110", B"00011011", B"11100101",
B"00011001", B"11100000", B"00011101", B"11100000", B"11100101",
B"00111000", B"00011001", B"11101001", B"11011111", B"11100011",
B"11010111", B"11010111", B"00011111", B"11110110", B"00011011",
B"11101101", B"11101100", B"11011001", B"00100111", B"00100100",
B"00101111", B"00011100", B"11100101", B"11010010", B"00101111",
B"00010001", B"11101011", B"11000111", B"00010000", B"00010110",
B"00011011", B"11011011", B"00101110", B"11011011", B"00010100",
B"11011101", B"00101010", B"11011111", B"11101100", B"00011111",
B"11011010", B"11011100", B"11011111", B"11100100", B"11100101",
B"00100001", B"00000001", B"11101101", B"11011111", B"11110010",
B"11101100", B"11100111", B"00101001", B"00101101", B"11010001",
B"00001010", B"00011001", B"11011000", B"11001101", B"00010010",
B"00100000", B"11011101", B"11011110", B"11010100", B"11100011",
B"00011010", B"11100010", B"00101100", B"11001100", B"11100010",
B"11101111", B"11001111", B"00100101", B"00100111", B"00011101",
B"00011101", B"11011011", B"11010011", B"00100010", B"11011101",
B"00100001", B"11110110", B"11011110", B"00100010", B"11100101",
B"11101011", B"11011010", B"00101011", B"00101000", B"11100001",
B"00100100", B"11001111", B"00011001", B"11011100", B"11010111",
B"00001110", B"11111000", B"11011000", B"11101100", B"11010010",
B"11100011", B"00100111", B"11011100", B"00010100", B"11101000",
B"11100010", B"00100111", B"00101000", B"11011100", B"11010011",
B"11101011", B"00011000", B"00110110", B"11101011", B"00110011",
B"11100100", B"11101101", B"11101001", B"00011001", B"00100001",
B"00010100", B"00101010", B"11100101", B"11100111", B"11011010",
B"11100000", B"00100011", B"00011010", B"00001101", B"00001100",
B"11010000", B"11100010", B"11100111", B"11100010", B"11001111",
B"00100110", B"00100001", B"11101100", B"00011000", B"11110010",
B"11110000", B"11101001", B"11100100", B"11010101", B"00011111",
B"00010110", B"00011111", B"00100000", B"00010101", B"00100100",
B"00101111", B"11011001", B"00001110", B"11011010", B"00101001",
B"11101010", B"11101000", B"00100100", B"11011000", B"00100000",
B"11011011", B"11011101", B"00101111", B"00111010", B"00100111",
B"11100011", B"11110101", B"11010110", B"11100001", B"00011000",
B"11001111", B"00010011", B"00100101", B"11100010", B"11001111",
B"11101001", B"11000101", B"00011011", B"11010011", B"00011101",
B"11011010", B"00100111", B"11011011", B"11100011", B"11100111",
B"00101111", B"00001011", B"11001111", B"11100010", B"00011110",
B"00011100", B"00010000", B"11100011", B"00011111", B"11010111",
B"00011011", B"11101010", B"00100010", B"11001101", B"11011110",
B"11100111", B"00101000", B"00010111", B"11011111", B"00101101",
B"00011011", B"00101011", B"00101110", B"00100000", B"00100011",
B"00110100", B"00010001", B"00011110", B"00001111", B"11101100",
B"11010000", B"00100101", B"00010101", B"11011011", B"11101010",
B"00010001", B"11011111", B"00010111", B"00010110", B"11001100",
B"00010011", B"00110010", B"11011001", B"11010100", B"00100111",
B"00101010", B"11100111", B"11011101", B"11110100", B"11100010",
B"11101000", B"00100100", B"11011111", B"11100001", B"00011110",
B"11100100", B"11100000", B"11010101", B"11100111", B"00101000",
B"00011011", B"11101001", B"00101111", B"00110011", B"00001001",
B"11010111", B"00011101", B"11010101", B"00110101", B"00010100",
B"00100101", B"11010001", B"00100000", B"11011111", B"00101001",
B"00101100", B"00010010", B"00011111", B"11111001", B"00100011",
B"11101001", B"00010010", B"11001100", B"11100010", B"11101010",
B"00100111", B"11010001", B"00100011", B"11010000", B"11010100",
B"00100111", B"11100111", B"11011001", B"00110000", B"11010010",
B"00011000", B"11100100", B"11100101", B"00100100", B"11001110",
B"00100101", B"11011101", B"01000000", B"11100010", B"11100110",
B"00100001", B"00011001", B"00101010", B"11111110", B"00100100",
B"11100101", B"00011010", B"11011101", B"00101110", B"11011101",
B"11100101", B"11100100", B"00100000", B"00100101", B"00101100",
B"00101101", B"11001011", B"11011100", B"00101100", B"00100100",
B"00010111", B"00010100", B"00100010", B"00110000", B"00000000",
B"00011100", B"11100010", B"11001111", B"00101110", B"11011110",
B"00101011", B"11001011", B"11111000", B"00100001", B"00011000",
B"00011111", B"11101000", B"00100000", B"00110110", B"11000010",
B"11101101", B"00011011", B"11110000", B"11010101", B"11110010",
B"11100001", B"00101111", B"00100100", B"00101101", B"00110001",
B"11010010", B"00100100", B"00010100", B"11100011", B"11010111",
B"11100111", B"11001101", B"11010000", B"11010001", B"00000100",
B"00011100", B"00101101", B"11001111", B"00010011", B"11011010",
B"00010011", B"00100101", B"11101001", B"00011010", B"00111001",
B"11101100", B"00101011", B"00011001", B"11101011", B"11011000",
B"11100110", B"11011001", B"11001111", B"00101101", B"00100001",
B"00001010", B"00011111", B"00100101", B"11011100", B"11101000",
B"11010111", B"11011101", B"00100000", B"11101101", B"00011101",
B"11011001", B"00100111", B"11101001", B"11100100", B"11100110",
B"00011001", B"00100010", B"11100110", B"00101001", B"11011010",
B"11011111", B"00011001", B"11001011", B"11010110", B"11010001",
B"11011101", B"00011101", B"00110011", B"00101000", B"00110010",
B"00110001", B"00010100", B"00011011", B"00100110", B"00101101",
B"00110010", B"00010000", B"11110010", B"00101011", B"11010100",
B"00010000", B"11011010", B"00101101", B"00010101", B"11010101",
B"00110010", B"00100000", B"11100001", B"11011111", B"00110101",
B"11011111", B"11101101", B"00011001", B"11100000", B"00010110",
B"11010001", B"11000101", B"00100111", B"00010010", B"11100010",
B"11110100", B"11111011", B"11100110", B"11010111", B"11011110",
B"11101000", B"00011011", B"11010100", B"11110010", B"00010000",
B"11011101", B"11100011", B"11100101", B"11100000", B"00011100",
B"11100010", B"00011110", B"11100111", B"11100100", B"11011100",
B"00110111", B"00011100", B"11111011", B"11100110", B"11010000",
B"11010100", B"00100000", B"00100000", B"00101111", B"00100001",
B"00100111", B"00011010", B"11011101", B"11100100", B"00010000",
B"00110000", B"11111001", B"11101010", B"11011111", B"11011100",
B"11010110", B"00100100", B"00011000", B"11100000", B"00110111",
B"11100110", B"00011011", B"11011011", B"00100001", B"11011101",
B"11011000", B"11100101", B"00101010", B"00011001", B"11101010",
B"00011000", B"11101010", B"00101101", B"11100001", B"11100100",
B"00100100", B"00010111", B"11011001", B"11111111", B"00001110",
B"00100001", B"00111011", B"00101111", B"11100000", B"11100000",
B"00001011", B"11010110", B"00011001", B"11010010", B"11011010",
B"11101011", B"00001010", B"00011111", B"11011110", B"11100001",
B"00110001", B"00011011", B"00100111", B"00101001", B"11100101",
B"11011111", B"00010111", B"11010011", B"11100001", B"00100010",
B"11011101", B"11011001", B"11010001", B"11010100", B"00001100",
B"00011010", B"11101001", B"00010011", B"00011111", B"11101001",
B"11010111", B"00001100", B"00101101", B"00101111", B"00100111",
B"11101000", B"00101001", B"11010110", B"00101110", B"11010010",
B"00001110", B"00101010", B"00101101", B"00011010", B"00100101",
B"00010010", B"00100111", B"00100101", B"11011000", B"11100100",
B"01000111", B"11011111", B"00001011", B"11010000", B"11001100",
B"00010100", B"11101010", B"00100111", B"00101001", B"11100100",
B"11011100", B"11001111", B"11001101", B"11101001", B"00101110",
B"00001100", B"00100111", B"11101100", B"00011000", B"11011011",
B"00100000", B"11100111", B"00101000", B"11011111", B"00111010",
B"00110101", B"11110100", B"00100010", B"00001110", B"11001010",
B"11100011", B"11101101", B"11100110", B"00010011", B"00010111",
B"11010111", B"00011110", B"11001111", B"11001010", B"00101001",
B"00101000", B"00011100", B"11000101", B"00011101", B"11100100",
B"00010111", B"00011001", B"11101010", B"11100001", B"00100011",
B"11100010", B"11100101", B"11101001", B"11010010", B"11010001",
B"11011110", B"00100100", B"00010110", B"00100001", B"00011100",
B"11010101", B"11011011", B"11010101", B"00100001", B"00101010",
B"00010110", B"11011110", B"00101111", B"11011111", B"00010011",
B"11110010", B"11100010", B"00011011", B"00011101", B"00011111",
B"00100010", B"11010101", B"11011111", B"00101111", B"11101001",
B"11100100", B"11100100", B"11100100", B"00101101", B"11101010",
B"00011101", B"11101101", B"00101001", B"00101011", B"11011011",
B"11101000", B"11101010", B"11011101", B"11011011", B"11010101",
B"00011000", B"00111000", B"11100110", B"11001011", B"11010110",
B"11101001", B"11011100", B"00011001", B"11100001", B"11010000",
B"00100001", B"11110101", B"11111000", B"11011100", B"11011010",
B"11100011", B"00010110", B"11100011", B"00010000", B"11101001",
B"11100100", B"00101000", B"00011001", B"00011011", B"00011111",
B"00011111", B"11011101", B"00100011", B"11001011", B"00100000",
B"11100010", B"00010011", B"11100011", B"11010011", B"00010110",
B"11100000", B"11101010", B"11011010", B"11101111", B"00110011",
B"00010001", B"00011000", B"11100111", B"00100001", B"11010100",
B"00101010", B"11100010", B"00100010", B"11010011", B"00101100",
B"11101101", B"11100110", B"11010110", B"00001110", B"00101101",
B"11011011", B"00011011", B"00100010", B"00110100", B"11000010",
B"00100001", B"11100001", B"00001100", B"00010100", B"11010100",
B"00011011", B"11100101", B"11011100", B"11011101", B"00011000",
B"00110110", B"00101010", B"11011110", B"00100111", B"11110101",
B"11011110", B"11101010", B"00101101", B"00100110", B"00010011",
B"11100111", B"11000111", B"11101110", B"11101001", B"00010100",
B"11101001", B"00011001", B"00100010", B"00100000", B"00100011",
B"00101000", B"00101010", B"00101101", B"00010010", B"00010111",
B"00101100", B"11101010", B"11101110", B"11011101", B"11010010",
B"00100101", B"11100110", B"00010110", B"11101100", B"11011111",
B"00110010", B"11010001", B"00011001", B"11011011", B"11100001",
B"00100101", B"00011001", B"00011101", B"11101000", B"00100011",
B"00100011", B"11101100", B"11110000", B"00100101", B"00101000",
B"00011000", B"00101000", B"11100010", B"00101101", B"11100100",
B"00000101", B"11100001", B"00010111", B"11010101", B"00100101",
B"00101110", B"11100000", B"00100100", B"00011001", B"11011111",
B"00100010", B"00011001", B"11001110", B"11011010", B"00100011",
B"00001111", B"11101101", B"11101000", B"11001110", B"11101011",
B"11010011", B"00010001", B"00011001", B"11100010", B"00111110",
B"11111010", B"00100000", B"11100101", B"00010110", B"00100010",
B"11011011", B"00100000", B"00101101", B"11011101", B"11011101",
B"11101001", B"11101000", B"00100100", B"00100110", B"11110000",
B"00010000", B"11001101", B"11100111", B"00100001", B"00101100",
B"00010010", B"11011101", B"00100100", B"11101101", B"00010110",
B"00011101", B"11101111", B"11011110", B"00110001", B"11010001",
B"11101111", B"11010010", B"11101000", B"11011100", B"00101000",
B"00101010", B"11011010", B"11110010", B"11100000", B"11100101",
B"11101010", B"11001110", B"11100000", B"00011010", B"11011100",
B"00000110", B"11010010", B"11001101", B"00001100", B"00101000",
B"11011010", B"00000111", B"00101010", B"11100100", B"00011100",
B"00010000", B"11101101", B"00100000", B"11100111", B"11010100",
B"11010101", B"11100001", B"00100011", B"11100000", B"00101000",
B"11101010", B"00100110", B"00001001", B"00100111", B"11100110",
B"00110111", B"11100110", B"00011100", B"11001011", B"00011010",
B"11011011", B"11011010", B"11001100", B"00011111", B"00010111",
B"11100001", B"00010100", B"00011100", B"11010011", B"00101011",
B"00100110", B"11101000", B"11011111", B"00110000", B"00011001",
B"11100111", B"11110100", B"11100001", B"11010101", B"00010101",
B"11000100", B"00111011", B"00100010", B"00100100", B"11100110",
B"00010100", B"00011110", B"11100100", B"11010100", B"00100110",
B"11101010", B"00100110", B"00101001", B"00011000", B"11100010",
B"00100111", B"11100111", B"00010110", B"00010101", B"11011111",
B"11101000", B"00100000", B"11001100", B"11101011", B"11101100",
B"11010111", B"00101000", B"00100110", B"00011000", B"00101001",
B"00101111", B"00100110", B"00101000", B"00011011", B"11010001",
B"00001011", B"00100001", B"00100000", B"11010101", B"00011110",
B"11110101", B"00101110", B"00001011", B"11100001", B"01000001",
B"11111010", B"11100000", B"11010001", B"00011100", B"00110101",
B"11101000", B"11011010", B"00011111", B"11010100", B"00011111",
B"11011100", B"11001001", B"00101110", B"00110001", B"00010011",
B"11101011", B"00101000", B"00101011", B"11100111", B"11101110",
B"00011000", B"11100001", B"11110010", B"00010111", B"00010100",
B"00101101", B"00001111", B"11100000", B"11111001", B"11011111",
B"00011110", B"11011001", B"00100101", B"11010010", B"11000011",
B"00101010", B"00010101", B"00011011", B"00100111", B"00101001",
B"00110010", B"00011010", B"00010111", B"00011000", B"00100011",
B"11010110", B"11011101", B"11010011", B"11011111", B"00011100",
B"00011000", B"11111110", B"00001111", B"11011000", B"00101111",
B"00110100", B"11011010", B"11110010", B"11010001", B"11011110",
B"11001111", B"00100010", B"00100001", B"00011100", B"00100110",
B"00011101", B"00101100", B"00011001", B"00100110", B"11100000",
B"00011000", B"00010110", B"11011110", B"11101010", B"11101111",
B"11111111", B"11101111", B"00100011", B"11101110", B"00011000",
B"11100001", B"11010111", B"11011010", B"00010001", B"00101101",
B"00010101", B"11100110", B"11011111", B"11001101", B"11011011",
B"00101011", B"11101010", B"00001110", B"11011110", B"00010111",
B"00101110", B"00100011", B"11011110", B"00001101", B"11110000",
B"00010011", B"11100011", B"11110010", B"11111101", B"00011101",
B"00100111", B"00010100", B"11011011", B"11000110", B"00100110",
B"00010111", B"11101011", B"00110110", B"00010100", B"11100001",
B"11010001", B"00100001", B"00010011", B"00010111", B"00011000",
B"11001111", B"00100101", B"11000011", B"00100000", B"11010100",
B"00010111", B"00010110", B"11011001", B"00011100", B"00101100",
B"11011000", B"11011110", B"00010011", B"11001100", B"00101001",
B"11100010", B"11110011", B"11101011", B"00100111", B"00010110",
B"11001000", B"11100000", B"00100110", B"00100001", B"00100010",
B"11101100", B"00001100", B"11001000", B"00010001", B"00010111",
B"00011110", B"11100110", B"00010110", B"00100101", B"11100000",
B"11111010", B"00011101", B"00110001", B"00011101", B"00010001",
B"00011100", B"00100010", B"00011010", B"00011101", B"00101001",
B"00100101", B"11011000", B"00101001", B"00110001", B"11110010",
B"00010100", B"00100111", B"11100001", B"00010001", B"00011010",
B"11011001", B"00011101", B"00100101", B"11110010", B"11100111",
B"00010111", B"00011010", B"00101111", B"00010110", B"11100010",
B"00101100", B"11011110", B"00100000", B"11110101", B"00010010",
B"11011110", B"00101001", B"00100110", B"11011011", B"00011100",
B"00011001", B"11101100", B"11100011", B"00011101", B"11101111",
B"11011101", B"11100011", B"00101101", B"00011010", B"11011101",
B"00100001", B"00010111", B"00101010", B"11100101", B"00101110",
B"11010111", B"00010110", B"11010111", B"11011011", B"11110000",
B"00100011", B"00110000", B"00010101", B"00011010", B"11011110",
B"11100100", B"11100101", B"11001110", B"00100110", B"00010101",
B"00100011", B"00010011", B"11100010", B"11011011", B"00001111",
B"11100011", B"11011000", B"00100111", B"11011000", B"11010101",
B"11011111", B"11010100", B"11010110", B"00100010", B"00001001",
B"11001110", B"11101000", B"11100010", B"11011100", B"11100110",
B"00100011", B"11011010", B"00101010", B"00010110", B"11101000",
B"00100010", B"00101101", B"11010111", B"00100110", B"11100101",
B"00011010", B"11100100", B"11010000", B"11100101", B"00100001",
B"00010010", B"11110001", B"11011010", B"11100110", B"00100000",
B"00001100", B"11110011", B"00101001", B"11010100", B"11011111",
B"00101000", B"11010110", B"00001111", B"11101110", B"11100000",
B"00010101", B"00100011", B"00100001", B"00100001", B"00100010",
B"11011110", B"00100011", B"11010111", B"00100011", B"11011101",
B"00010010", B"11011100", B"11011010", B"00100011", B"11011001",
B"11011010", B"11010011", B"11101010", B"00001100", B"11001111",
B"00010100", B"00001010", B"11101111", B"00011011", B"00101110",
B"11101101", B"11101101", B"11011100", B"11100001", B"00011011",
B"00001001", B"11010101", B"00100110", B"11011111", B"00010000",
B"11100010", B"11100101", B"11101111", B"11101001", B"00011010",
B"11011111", B"00010001", B"11100011", B"11011110", B"11001101",
B"11011001", B"00110101", B"00111000", B"00100011", B"00100111",
B"11101000", B"11011110", B"11101010", B"00001001", B"00011111",
B"11101000", B"00101011", B"11100010", B"00101101", B"00010000",
B"00011010", B"11100010", B"00011011", B"11100110", B"00100100",
B"11011011", B"11100010", B"11011111", B"00010010", B"00101011",
B"00000000", B"00100001", B"11010111", B"11010111", B"00011100",
B"11011010", B"00011101", B"11100111", B"11010111", B"11001000",
B"00011100", B"00101110", B"00100101", B"00010101", B"00101001",
B"00110001", B"00010001", B"00011101", B"00100100", B"00110001",
B"11100001", B"11010110", B"00100011", B"00100011", B"00010010",
B"00110011", B"11110010", B"11100010", B"00011111", B"00100101",
B"11011100", B"11100110", B"00011100", B"00100110", B"11100110",
B"11101100", B"11101100", B"00001110", B"00001101", B"11010010",
B"11010100", B"11101011", B"11101010", B"11100000", B"00100101",
B"11010011", B"00011011", B"11101000", B"11011110", B"11101100",
B"00100110", B"00011011", B"00010100", B"11101011", B"00101101",
B"11011110", B"11011010", B"11100101", B"00001010", B"00101100",
B"11100111", B"11011010", B"00100000", B"00100001", B"00101001",
B"00110000", B"11110000", B"11010001", B"00101011", B"00101000",
B"00011100", B"00111001", B"00100111", B"00011110", B"00100010",
B"00011001", B"01000000", B"11001110", B"00011010", B"00101010",
B"11011000", B"00010001", B"00010101", B"11100101", B"11100110",
B"00011011", B"11100100", B"11100100", B"11010110", B"00101001",
B"00100010", B"11100000", B"11011111", B"00010100", B"11100101",
B"11100101", B"11101010", B"00011110", B"00011100", B"11110100",
B"00110101", B"00111000", B"00001011", B"11010111", B"00100010",
B"11011011", B"00011011", B"11100010", B"11011101", B"00100101",
B"11110001", B"11011110", B"11011111", B"00100000", B"00101011",
B"11011110", B"11100001", B"00100001", B"00101100", B"11100111",
B"11100101", B"11100100", B"11100000", B"11100000", B"11001011",
B"11100000", B"11010000", B"11001111", B"00011111", B"00100100",
B"00101101", B"00011010", B"00100010", B"11100000", B"00001100",
B"00011110", B"11101110", B"00100100", B"00011111", B"11101001",
B"11101001", B"00011110", B"00011011", B"11100011", B"11110010",
B"11100000", B"11011110", B"11100110", B"00011100", B"00011100",
B"00000001", B"11100010", B"00010001", B"00100010", B"11100001",
B"11100000", B"00110011", B"00001111", B"00101010", B"00010100",
B"00100011", B"00011111", B"00010011", B"00011111", B"11010010",
B"00011110", B"11100000", B"00011111", B"11011101", B"11111011",
B"00010101", B"00100000", B"11100110", B"00101000", B"00101001",
B"00011001", B"11010010", B"00100110", B"11011110", B"00011101",
B"00011010", B"11011001", B"11000110", B"00101010", B"11101100",
B"11011101", B"11011101", B"11011111", B"11010010", B"00011101",
B"00111100", B"00101101", B"11011110", B"00100110", B"11101011",
B"00011010", B"00101110", B"00100011", B"00001010", B"00101000",
B"00110000", B"00100001", B"00010111", B"00100110", B"11011011",
B"11101110", B"00010101", B"11010100", B"00100011", B"11011000",
B"11011111", B"00100011", B"00100011", B"11100100", B"00011111",
B"00100100", B"11100011", B"00100011", B"00001110", B"11011011",
B"11101010", B"11100000", B"00101101", B"00101111", B"00100110",
B"00011100", B"11101100", B"11010000", B"11100001", B"11011011",
B"11101010", B"00011001", B"00101101", B"11010111", B"00011110",
B"11110101", B"00100000", B"00100110", B"00011011", B"11001110",
B"00010000", B"11101011", B"00011100", B"11101001", B"00101001",
B"11101100", B"11101001", B"11010111", B"11100101", B"00100110",
B"11011110", B"00000110", B"00011000", B"00100100", B"00011001",
B"11100011", B"00100001", B"11001100", B"00110001", B"11010110",
B"00100001", B"11001001", B"00100010", B"11100111", B"11100011",
B"11100101", B"00010111", B"00010111", B"00100000", B"00011001",
B"00100010", B"11010001", B"00100110", B"11101011", B"00100010",
B"11011010", B"00011001", B"11101100", B"00100110", B"11101001",
B"11101101", B"11100000", B"00010111", B"00000110", B"11011101",
B"00110000", B"00101111", B"00100000", B"11100111", B"00011101",
B"11110101", B"00100001", B"11010010", B"11110110", B"11010100",
B"11011001", B"00100110", B"00011011", B"00100101", B"00100110",
B"11100100", B"00011110", B"00110000", B"00100000", B"11100101",
B"00100011", B"11010011", B"00111100", B"00010110", B"11100111",
B"11101100", B"11101011", B"11011110", B"00100000", B"11100000",
B"00100011", B"11110000", B"11100001", B"00011101", B"00100001",
B"00011100", B"00010101", B"11010110", B"11011110", B"00010000",
B"11011000", B"11011101", B"11010011", B"11011101", B"00100000",
B"11101011", B"00011111", B"11011000", B"00100111", B"11011101",
B"00011101", B"11100111", B"11010100", B"00100100", B"00001100",
B"00011110", B"00011110", B"11110010", B"11101000", B"00101111",
B"00010010", B"11011000", B"11100010", B"00001000", B"00010010",
B"11011101", B"00100000", B"00001111", B"11011101", B"11100010",
B"00101011", B"11111100", B"11110000", B"00100110", B"11101111",
B"00100000", B"11100000", B"11100001", B"00011101", B"00110110",
B"00011000", B"11110000", B"11011111", B"00010110", B"00011111",
B"11110001", B"11101100", B"11110001", B"00100100", B"11100011",
B"11011000", B"11011010", B"00101111", B"00100101", B"11010000",
B"00100000", B"11100000", B"00010011", B"00101110", B"11100011",
B"00100111", B"00111100", B"11011010", B"11100001", B"11011001",
B"11110001", B"11100111", B"00100001", B"00100101", B"00101111",
B"00100100", B"00000010", B"11101000", B"00101111", B"11000010",
B"00011011", B"11001010", B"11010010", B"00110011", B"11010101",
B"11101111", B"00010010", B"00001111", B"00011100", B"00011011",
B"11100001", B"11100010", B"11100000", B"00110100", B"00011001",
B"00100101", B"11110101", B"00101000", B"11011101", B"00100101",
B"00100010", B"11011001", B"00001001", B"00100101", B"11011101",
B"00100011", B"00010111", B"11100110", B"11100011", B"00110001",
B"00101000", B"11110001", B"11011000", B"11100100", B"11011111",
B"11010110", B"00011111", B"00010011", B"11101111", B"11100101",
B"00011101", B"00011010", B"11011011", B"11100000", B"11011001",
B"11011100", B"11010101", B"00011011", B"00011100", B"11100100",
B"00011010", B"11101101", B"00100001", B"11000111", B"00100010",
B"11011111", B"11011010", B"11011100", B"00010010", B"00011101",
B"00011110", B"11100101", B"00010000", B"11010010", B"11100010",
B"11110101", B"00110101", B"00010010", B"11001011", B"11000101",
B"00100001", B"00011101", B"00101111", B"00011011", B"11011100",
B"11111001", B"11011100", B"11001101", B"11011111", B"11100010",
B"00101000", B"00101101", B"00101010", B"00110110", B"11110001",
B"11100000", B"00011111", B"00011110", B"00011101", B"00100100",
B"11001101", B"11101010", B"11100000", B"11101010", B"00011110",
B"00100000", B"00011100", B"00100000", B"11100110", B"11010011",
B"00100010", B"00010111", B"00011001", B"11010100", B"00011101",
B"11011110", B"00000100", B"11010110", B"11101011", B"11100110",
B"11101000", B"00011111", B"00010011", B"11101001", B"00110000",
B"11101000", B"11100110", B"00100111", B"00010011", B"00001110",
B"11011010", B"00011110", B"11100101", B"00100001", B"00010010",
B"11010110", B"00010010", B"11101110", B"11100010", B"11100100",
B"00100100", B"00101000", B"11101010", B"11011110", B"11101100",
B"11010001", B"00100010", B"00010100", B"00110010", B"00011000",
B"00101101", B"11101111", B"00100001", B"11100101", B"11100001",
B"11011100", B"00011011", B"00011001", B"11011010", B"00100001",
B"11011101", B"11010010", B"11010001", B"00011001", B"00101100",
B"11001100", B"11100011", B"11010110", B"00011101", B"11011111",
B"00100100", B"11100101", B"11001011", B"00011010", B"11011111",
B"00100010", B"00010010", B"11100010", B"11011000", B"11100000",
B"11100010", B"11101000", B"11011100", B"00011110", B"11011111",
B"11000011", B"11011100", B"00100000", B"00100000", B"11100110",
B"11100011", B"11100101", B"11011000", B"11100111", B"00010101",
B"00101001", B"00111000", B"00100000", B"00011010", B"00100010",
B"00011100", B"11100100", B"00100111", B"11010011", B"00011000",
B"11101110", B"00010100", B"11000111", B"00101100", B"11011010",
B"11011111", B"11101000", B"00101011", B"00011010", B"00011000",
B"00110011", B"00011000", B"11010101", B"00100011", B"11110100",
B"00100011", B"11001100", B"11101110", B"00001011", B"00011001",
B"00100011", B"11010111", B"00001101", B"11100100", B"00011001",
B"11100000", B"11110010", B"00101110", B"00100101", B"00010111",
B"00110000", B"11011110", B"11101010", B"00110000", B"11010000",
B"11101000", B"00110101", B"11010011", B"11101110", B"11100111",
B"11010110", B"11011101", B"00100100", B"11011101", B"00110110",
B"11100011", B"11100011", B"00110001", B"00100011", B"11010011",
B"00100010", B"00010110", B"00011101", B"11010011", B"00100001",
B"11111011", B"00011001", B"00001011", B"00100010", B"11011011",
B"11110000", B"00011001", B"00101110", B"11011011", B"11100000",
B"11010101", B"11101011", B"11011010", B"11100100", B"00100100",
B"00010000", B"00100100", B"00101001", B"00101011", B"00011100",
B"11010000", B"11100010", B"00101001", B"00010110", B"11100011",
B"11100010", B"11101100", B"11011010", B"00011011", B"00101010",
B"00011000", B"00100111", B"11011101", B"11001111", B"11100000",
B"11011101", B"00011100", B"11011011", B"00010110", B"11011001",
B"11011011", B"00100110", B"11100110", B"11011010", B"00101010",
B"11100101", B"00110010", B"11100110", B"11011010", B"00011100",
B"11000111", B"00011101", B"11001110", B"11100000", B"11100010",
B"00100010", B"00101101", B"11101011", B"00000100", B"00100010",
B"00010101", B"00100000", B"00010111", B"00001100", B"00100010",
B"00100110", B"00110010", B"00101100", B"11101000", B"11100100",
B"00101010", B"00101010", B"11110100", B"11011110", B"11011101",
B"00011110", B"00100011", B"00011110", B"11011110", B"00011111",
B"11100010", B"00110010", B"00011111", B"00100001", B"00010101",
B"11110010", B"00100001", B"11100001", B"00010110", B"11101000",
B"00001101", B"00100101", B"11010111", B"11101001", B"00101011",
B"00101000", B"11010110", B"11101011", B"11100101", B"00100011",
B"11011001", B"00100101", B"11100111", B"11100101", B"00011000",
B"00100001", B"11010100", B"00101101", B"00101111", B"00101110",
B"11100001", B"00110001", B"11101110", B"00000110", B"01000010",
B"00100101", B"00011100", B"00011000", B"00010111", B"00100011",
B"00010011", B"00101110", B"00101011", B"00100100", B"00001110",
B"00101100", B"00100110", B"00011000", B"00100100", B"00011110",
B"00010110", B"11010001", B"11100010", B"11011010", B"11011101",
B"00001100", B"11100111", B"00011001", B"11100001", B"11100110",
B"00001110", B"11100000", B"00011001", B"11100000", B"11100100",
B"00101110", B"11010000", B"11011100", B"00100101", B"00100101",
B"00110001", B"00110001", B"11011100", B"11001010", B"11001000",
B"11011011", B"11100001", B"00011000", B"00100101", B"11100010",
B"00010111", B"11101011", B"11101001", B"11011100", B"11100110",
B"11100110", B"00011111", B"00100101", B"00011110", B"00101110",
B"00010101", B"00100001", B"11100001", B"00101010", B"00101000",
B"11011011", B"11100100", B"00110010", B"00110001", B"11010010",
B"00000101", B"00010000", B"11010000", B"00010011", B"00100110",
B"11101010", B"11010101", B"11100101", B"00100111", B"11010111",
B"00101010", B"11011100", B"11010000", B"00100100", B"11011111",
B"00110001", B"00010100", B"00011110", B"11100011", B"00010101",
B"11100010", B"00010111", B"11101111", B"00010001", B"11011010",
B"00011001", B"11100100", B"11011100", B"00010111", B"00100010",
B"11011010", B"00010100", B"11101111", B"11100000", B"11101100",
B"00101100", B"00110000", B"11011101", B"11101000", B"00101000",
B"00101110", B"11100010", B"11100010", B"11100101", B"11101011",
B"11010111", B"00101001", B"00100000", B"11100111", B"00011011",
B"00110110", B"11011001", B"11100000", B"00011101", B"11010011",
B"11011101", B"11011111", B"11011101", B"00100100", B"00011111",
B"00100101", B"00010111", B"11101010", B"00011100", B"11011100",
B"11101000", B"11100111", B"00101011", B"00100101", B"11101101",
B"00011110", B"11100101", B"11011100", B"11011111", B"11100101",
B"00100110", B"11001010", B"00010000", B"11101110", B"00110000",
B"11011001", B"00011010", B"11011111", B"11100011", B"00011100",
B"00110110", B"11100001", B"00011101", B"00100000", B"11100110",
B"11011111", B"11001011", B"11100000", B"11010000", B"11011100",
B"11101001", B"11100110", B"00100110", B"00011110", B"11011010",
B"00010101", B"11010100", B"11100011", B"11011001", B"11100101",
B"00100110", B"00101101", B"11011011", B"00011111", B"11101001",
B"00100010", B"11010011", B"11011110", B"00001111", B"11011100",
B"11010011", B"11010100", B"11000101", B"11101101", B"11011010",
B"00100110", B"11011101", B"00011011", B"11100111", B"11100011",
B"00011010", B"11101011", B"00011100", B"00010111", B"11010000",
B"11101101", B"11101110", B"11100010", B"11011010", B"00101000",
B"11011010", B"00100001", B"11100011", B"11010000", B"11011111",
B"00110000", B"00100110", B"00010101", B"11011001", B"00010111",
B"11011010", B"11010110", B"11101101", B"00100101", B"00101000",
B"11101001", B"11100001", B"00011001", B"11100111", B"00100100",
B"11011110", B"11010110", B"00100110", B"11111001", B"00011000",
B"00011100", B"11101010", B"11110000", B"11011000", B"11010111",
B"11100001", B"00110010", B"11100100", B"00011100", B"11100101",
B"11101011", B"11101100", B"00010111", B"00010011", B"00011110",
B"00010001", B"11101110", B"11010101", B"00100110", B"00101010",
B"11011010", B"11101011", B"11101110", B"00010011", B"00010101",
B"11011001", B"11100011", B"00010001", B"11100111", B"11100110",
B"00100011", B"11101001", B"00101011", B"11101101", B"11100000",
B"11100101", B"00100100", B"00010101", B"00010101", B"11001010",
B"11010000", B"00010110", B"11101001", B"11100110", B"11101101",
B"11100101", B"11101010", B"11011001", B"11010101", B"11101000",
B"00011101", B"00011111", B"00011110", B"00010101", B"11101001",
B"11011110", B"00100100", B"11110000", B"00100001", B"11100111",
B"11010101", B"00101110", B"00010110", B"11110010", B"00010100",
B"00001100", B"11100000", B"00001101", B"00011100", B"11011010",
B"11110000", B"11011111", B"11011100", B"11001000", B"00001100",
B"00101100", B"00101001", B"00111111", B"11101010", B"00100011",
B"11101001", B"11100101", B"11010110", B"00011111", B"00100001",
B"11101011", B"11100000", B"00101011", B"00100001", B"11011001",
B"11010110", B"11101010", B"11101111", B"11010101", B"11101111",
B"00101001", B"00101000", B"10111110", B"11100111", B"11110111",
B"11100010", B"11100111", B"00011001", B"11011001", B"11111100",
B"11101110", B"11010011", B"00101000", B"11100001", B"00001011",
B"11011011", B"00100111", B"11111001", B"00100000", B"11010011",
B"11001000", B"00100011", B"00110011", B"00011111", B"11101011",
B"00101010", B"11100001", B"11100101", B"11011111", B"00100011",
B"00100100", B"00011110", B"00101010", B"11001010", B"00010011",
B"00100100", B"11100110", B"11101101", B"00011100", B"11011000",
B"00010001", B"00001101", B"00100010", B"11101001", B"00011110",
B"11011111", B"00000111", B"11010111", B"00100010", B"11011000",
B"11010100", B"11100010", B"00100101", B"00100000", B"11101000",
B"00100100", B"11100100", B"00011110", B"00010101", B"11010111",
B"00101011", B"00001100", B"11100001", B"00100000", B"11001011",
B"11011111", B"11100101", B"11011101", B"00110000", B"11011001",
B"00001100", B"00011000", B"00100111", B"11101111", B"11100110",
B"00001001", B"00101000", B"11011101", B"11010101", B"11100110",
B"11011110", B"00001110", B"11010011", B"00101011", B"11011011",
B"11011001", B"00001100", B"11010101", B"11111000", B"11011000",
B"00001001", B"00100100", B"11111011", B"00101001", B"11110011",
B"00100101", B"00011110", B"00011001", B"11011110", B"00001110",
B"11011010", B"00100100", B"11100111", B"00010100", B"11001010",
B"00010100", B"11100010", B"11100100", B"11000011", B"00101010",
B"00100001", B"00010100", B"00011101", B"11011010", B"11010111",
B"00110011", B"00100010", B"11001010", B"11101001", B"11100100",
B"00000001", B"00100101", B"00011101", B"11011010", B"00010101",
B"11101010", B"00010110", B"11101011", B"11011010", B"00011100",
B"11101011", B"00011000", B"11101001", B"11010110", B"00011110",
B"00010100", B"00100010", B"00101101", B"11100100", B"00010110",
B"11100001", B"00101000", B"11011000", B"00100011", B"00100100",
B"00010000", B"00100110", B"00100000", B"00011110", B"00100000",
B"00101001", B"00101111", B"11010101", B"11100000", B"11010110",
B"11100010", B"00100011", B"11011100", B"00100100", B"11100010",
B"00010010", B"11101010", B"00001110", B"11100011", B"11100011",
B"00110110", B"00001101", B"11100001", B"00100110", B"00011111",
B"00011011", B"11010111", B"00100100", B"11100001", B"00010100",
B"00011010", B"00100101", B"11010011", B"00100010", B"01000000",
B"11100111", B"11100010", B"00011100", B"00011110", B"00100110",
B"00100100", B"00010111", B"00100111", B"00110010", B"00100111",
B"00001011", B"00101001", B"00001111", B"11010101", B"11001101",
B"00100100", B"00100111", B"11010110", B"11110000", B"00010000",
B"11100011", B"00011010", B"00011010", B"11100111", B"00101001",
B"00011001", B"11010100", B"00010001", B"11100011", B"00101011",
B"00011111", B"11011011", B"00100110", B"00100001", B"11100000",
B"00110011", B"00100101", B"00101111", B"00100010", B"00001000",
B"00101001", B"00011010", B"00011110", B"00101101", B"11001111",
B"11100110", B"11010100", B"11100000", B"00101100", B"11011111",
B"00100100", B"11101001", B"00011010", B"00010010", B"00100111",
B"11100111", B"00010100", B"11101101", B"00010011", B"00011101",
B"11100000", B"00011100", B"11100011", B"11010110", B"11011101",
B"00011010", B"00010111", B"11010011", B"00101100", B"00011110",
B"11011111", B"11100010", B"11100101", B"11011010", B"11100111",
B"11101101", B"11101000", B"11011010", B"11100110", B"00101111",
B"00010101", B"00010011", B"00011111", B"11010100", B"11100010",
B"11101111", B"00101000", B"00100001", B"11100011", B"00010110",
B"11010101", B"00010101", B"00001110", B"11100000", B"00101110",
B"00010000", B"11011001", B"11010111", B"00101111", B"00011111",
B"00010111", B"11011101", B"00011100", B"00011101", B"11001011",
B"11101010", B"00111101", B"00101011", B"00010001", B"00011011",
B"00011011", B"00100000", B"00110101", B"00010101", B"00011101",
B"11001100", B"11100110", B"00101101", B"00100110", B"00100001",
B"00100101", B"11010110", B"11100000", B"00100010", B"00101011",
B"11010010", B"00011001", B"00101000", B"11100010", B"11001111",
B"00001010", B"00101000", B"11001101", B"11001111", B"11010101",
B"11011111", B"00100011", B"11100010", B"00101001", B"00110110",
B"00110111", B"00100001", B"00100110", B"00111100", B"00010111",
B"00001100", B"00011000", B"11011110", B"00001001", B"11101000",
B"11100101", B"11100111", B"00010101", B"00011111", B"11010110",
B"11100011", B"11011100", B"11010101", B"00101010", B"00011110",
B"11101001", B"00011111", B"11100111", B"11011010", B"11010100",
B"00100100", B"11101110", B"00100011", B"11111100", B"11101010",
B"00101100", B"11100110", B"11011101", B"11010000", B"00010010",
B"00001101", B"11010000", B"00100101", B"11011010", B"00100101",
B"00011011", B"00101010", B"00011111", B"00101001", B"00011100",
B"00011001", B"00101010", B"00101110", B"00010101", B"11101111",
B"00101011", B"00100001", B"11101001", B"11010110", B"00011101",
B"11001101", B"00011100", B"00011111", B"11010110", B"11110010",
B"11111010", B"11010001", B"11011100", B"00100110", B"00011100",
B"11100100", B"11100001", B"00000111", B"00100101", B"11100000",
B"11100011", B"11110000", B"11101001", B"11011010", B"11011111",
B"00011101", B"00110101", B"00011010", B"00011001", B"11101000",
B"11101010", B"00010011", B"00011010", B"00100011", B"00100001",
B"11100110", B"11011110", B"00100011", B"00110001", B"00100000",
B"00101011", B"00001010", B"00100001", B"00011111", B"00101100",
B"00100011", B"11100011", B"00001100", B"11011011", B"11001111",
B"11100010", B"00101001", B"00000101", B"11100110", B"11100000",
B"00110010", B"11010100", B"00001011", B"11100011", B"11001101",
B"00111000", B"00000101", B"00110001", B"00011110", B"11011000",
B"00010111", B"11001110", B"00011101", B"11101010", B"11100010",
B"11010000", B"11110001", B"00011101", B"00100101", B"11100000",
B"00011011", B"11010011", B"00101111", B"00101110", B"00100000",
B"11101010", B"00100001", B"11011111", B"00100010", B"11011001",
B"11011000", B"11100000", B"00010110", B"11100111", B"00101000",
B"11110000", B"11100110", B"00010000", B"00010010", B"00011110",
B"00100011", B"00001101", B"00101101", B"00001110", B"00010001",
B"00011101", B"00011110", B"00100110", B"11011100", B"00011110",
B"00010010", B"11010110", B"11100000", B"00001010", B"00101000",
B"11010110", B"11011000", B"00011100", B"11011010", B"11100000",
B"11100011", B"11010110", B"00001100", B"00010111", B"00001100",
B"00010010", B"00001110", B"00100000", B"00001000", B"00011101",
B"00100110", B"00100010", B"11011000", B"00010111", B"00001001",
B"11100110", B"11011001", B"00101001", B"00011101", B"00010100",
B"11010101", B"11011101", B"00011011", B"00001000", B"11100110",
B"11101010", B"00011011", B"11101101", B"00110001", B"00011110",
B"11101111", B"00011110", B"00100100", B"11011001", B"11110010",
B"00011111", B"00101100", B"00011010", B"11101000", B"00101011",
B"11011100", B"00100011", B"11011000", B"00100011", B"11100000",
B"11100010", B"11100101", B"00000111", B"00011110", B"11010000",
B"00011011", B"00011011", B"00011101", B"11100110", B"00101101",
B"11101000", B"00101010", B"11011000", B"11101000", B"11010011",
B"00010111", B"11101101", B"00100011", B"11010000", B"11100101",
B"00100011", B"11000111", B"11010011", B"11010111", B"00110010",
B"00100100", B"11100110", B"00011100", B"11100110", B"11101001",
B"00111001", B"00100101", B"00101000", B"11011010", B"00011110",
B"11001010", B"00101011", B"00011101", B"00100110", B"00100110",
B"11010101", B"00110011", B"11011001", B"00010001", B"11010011",
B"00011111", B"00101011", B"11110000", B"11100110", B"00011110",
B"00010001", B"11101001", B"11101011", B"00111100", B"11101100",
B"00100100", B"00011100", B"11100001", B"00011110", B"00100000",
B"11100011", B"11010111", B"00011100", B"00110101", B"11110000",
B"11010010", B"11100111", B"11011011", B"11011101", B"00011110",
B"11100110", B"00011010", B"11100011", B"11011100", B"11011001",
B"00100011", B"00100000", B"11011111", B"00100111", B"00011010",
B"11100010", B"11100010", B"11011101", B"11001100", B"11100110",
B"00011011", B"00010110", B"11101011", B"00010000", B"00100110",
B"11101100", B"11011010", B"00011000", B"11101101", B"00011111",
B"11011100", B"00011011", B"11100111", B"11100010", B"00010100",
B"00110001", B"00100100", B"00110110", B"00001110", B"11011100",
B"00101100", B"11011011", B"00100111", B"11011110", B"00011000",
B"00100001", B"00101011", B"00100000", B"00100101", B"00110000",
B"00100100", B"00010100", B"11011001", B"00011111", B"11010110",
B"00011101", B"11111000", B"11110000", B"00100110", B"00100010",
B"11100101", B"11011011", B"11111001", B"00101110", B"00110110",
B"11100101", B"00101111", B"11100001", B"00101100", B"11011010",
B"11101010", B"11110000", B"11100110", B"00100000", B"11110110",
B"00011111", B"11101111", B"11011100", B"00010110", B"11100000",
B"00101011", B"11100001", B"11011110", B"00011100", B"11101110",
B"11101101", B"11100000", B"11011111", B"00001100", B"00011111",
B"00011011", B"00011010", B"11101101", B"11010110", B"11100001",
B"11001101", B"00010101", B"00000101", B"00001111", B"00111110",
B"00101100", B"11011111", B"00100000", B"00101000", B"11101111",
B"00100110", B"00100011", B"11110001", B"11111101", B"11101111",
B"11110010", B"11010011", B"00101100", B"00100100", B"00011000",
B"00100111", B"11011010", B"11011111", B"00110000", B"11010111",
B"00010111", B"11100010", B"11100110", B"00100001", B"11100101",
B"11110101", B"11101110", B"00011111", B"00101000", B"11011001",
B"00100111", B"11100001", B"00010011", B"11010110", B"00010010",
B"11011000", B"11011101", B"11011110", B"00010110", B"00100010",
B"00100011", B"11100101", B"11010000", B"11011100", B"11100100",
B"00011110", B"11100100", B"00011010", B"00100010", B"00011110",
B"11011000", B"11100001", B"00011000", B"00011000", B"11110101",
B"11101100", B"11011101", B"11011000", B"00100101", B"11001011",
B"00011010", B"11100011", B"11011111", B"00100111", B"11011101",
B"11110001", B"00100100", B"00101000", B"00100001", B"00110100",
B"11011001", B"11111010", B"11010010", B"00011011", B"11100100",
B"11011101", B"11101001", B"00010011", B"00011001", B"11101010",
B"11011110", B"11011000", B"11000111", B"00100101", B"00101110",
B"11001000", B"00100110", B"11110001", B"11100001", B"11110010",
B"11110010", B"00010010", B"00101100", B"11100000", B"00011011",
B"11100101", B"00101001", B"00011101", B"00011111", B"11011110",
B"00011110", B"11001001", B"00101001", B"11010000", B"00011111",
B"11011000", B"00110100", B"11011100", B"11011100", B"11011110",
B"00100010", B"00000011", B"11011101", B"11010101", B"11010001",
B"00011100", B"00110110", B"11010101", B"00100111", B"11100100",
B"11010110", B"00011100", B"00101011", B"00101010", B"11101001",
B"00011010", B"11100000", B"00011000", B"00011010", B"11011101",
B"11010100", B"11011111", B"11010111", B"00011010", B"11100000",
B"00101100", B"00011101", B"00010111", B"11010110", B"00011111",
B"00011101", B"11101011", B"11001101", B"00100110", B"00110001",
B"11101001", B"11011000", B"00101001", B"11011101", B"11010000",
B"11101000", B"11011101", B"00010011", B"11101011", B"11000011",
B"11111010", B"11101011", B"00101000", B"11010011", B"00011001",
B"11101010", B"00011111", B"11100010", B"11011101", B"11100100",
B"00010110", B"00101010", B"11011111", B"00010110", B"11010110",
B"00010010", B"00100110", B"11011110", B"00100110", B"00011110",
B"11101111", B"11011100", B"11100100", B"11110110", B"00011101",
B"00100110", B"11010100", B"00101000", B"11101111", B"00010110",
B"00011001", B"11011111", B"00010010", B"00011011", B"11100001",
B"11011010", B"00001111", B"00011111", B"00101000", B"00010100",
B"11010001", B"00011101", B"11100001", B"00100110", B"11011101",
B"11011001", B"11100011", B"11101010", B"00100000", B"00001101",
B"11010011", B"00101001", B"11011111", B"00011101", B"11100000",
B"11010001", B"00011111", B"11011011", B"11011000", B"11110110",
B"11110100", B"11100101", B"00101001", B"11010100", B"00010111",
B"11011000", B"11010100", B"00101000", B"00100010", B"00100110",
B"11100101", B"00110010", B"11111000", B"11011101", B"11110000",
B"00010101", B"00100110", B"11100111", B"00010001", B"00010010",
B"00101010", B"11100101", B"00011000", B"11100110", B"00010100",
B"00100100", B"11100101", B"11100010", B"00101000", B"11111000",
B"11011100", B"11100111", B"11110010", B"11101001", B"00010110",
B"11011011", B"00101000", B"11010110", B"11101101", B"00010001",
B"00101010", B"00101000", B"11100101", B"00100001", B"11101110",
B"11101101", B"11101100", B"00011100", B"00101000", B"11010101",
B"11100010", B"00100011", B"00011010", B"00011011", B"00101110",
B"11100000", B"11011001", B"00010010", B"00111011", B"00011010",
B"11101000", B"00011011", B"11011001", B"00011000", B"11101110",
B"11011001", B"00010001", B"00101101", B"11011110", B"11011000",
B"11011011", B"11010000", B"11100110", B"11100010", B"11010010",
B"11111000", B"00010010", B"00010110", B"11101000", B"00001001",
B"11101100", B"11010111", B"00101111", B"00011110", B"00010001",
B"11010011", B"00011001", B"11101010", B"00110010", B"11101111",
B"00100011", B"00010001", B"00101100", B"11101100", B"00110011",
B"11101011", B"00100111", B"11010100", B"11100110", B"11010100",
B"00101111", B"00011100", B"11101111", B"00101011", B"11001110",
B"00101100", B"11001011", B"00110111", B"11011110", B"11100011",
B"11101111", B"00010000", B"00100100", B"00101011", B"00000011",
B"00100111", B"00111110", B"00101110", B"00010010", B"00010011",
B"00011101", B"11100001", B"00101010", B"00101001", B"00011100",
B"11100111", B"00011111", B"11011111", B"00100100", B"11101010",
B"11100001", B"00011101", B"11100001", B"00010110", B"11101110",
B"11111110", B"00001010", B"11110000", B"11010010", B"00010010",
B"11011101", B"00011100", B"11011100", B"11110010", B"00101001",
B"00011010", B"11100101", B"00011010", B"11010000", B"11100000",
B"11011110", B"00010001", B"00100101", B"00010110", B"00011010",
B"00100101", B"11001010", B"00011010", B"11010001", B"00001011",
B"11100100", B"11010000", B"11100110", B"00110010", B"00010001",
B"00101000", B"00101011", B"11010001", B"11011011", B"11011100",
B"11001111", B"00001101", B"11011101", B"00101001", B"11110010",
B"11100111", B"00001101", B"11100111", B"11101000", B"11100011",
B"00010010", B"00110110", B"11010111", B"00111110", B"11010110",
B"00011110", B"11100111", B"00110101", B"00011111", B"11101101",
B"00100001", B"00101111", B"11101011", B"11101011", B"11010110",
B"00101001", B"11011101", B"00100100", B"11010110", B"11001100",
B"00100100", B"00010110", B"11001010", B"00011110", B"00100000",
B"11100010", B"00100101", B"00010111", B"11010110", B"11011110",
B"00011100", B"11011010", B"11010101", B"11010000", B"00011111",
B"00100110", B"11010100", B"00100101", B"00011110", B"11101101",
B"00101011", B"00001111", B"11011011", B"11100001", B"00100001",
B"11100000", B"11010000", B"11010100", B"00101110", B"00100100",
B"11011011", B"00010001", B"11011000", B"11100001", B"11110000",
B"00011101", B"00011111", B"00010000", B"00100001", B"11101011",
B"11101110", B"00110000", B"11110101", B"11101000", B"00010111",
B"11111110", B"11100001", B"11011001", B"11110000", B"11011010",
B"11110110", B"00100110", B"11011111", B"00100010", B"11100000",
B"11010110", B"00011001", B"00010111", B"00101010", B"00100111",
B"11110000", B"00011001", B"11011100", B"00011101", B"11101010",
B"00011110", B"11101111", B"11100010", B"11010011", B"11011010",
B"00101011", B"11100000", B"00101110", B"00010001", B"00011100",
B"00011001", B"11101101", B"00110101", B"11100011", B"00100111",
B"11100000", B"00101011", B"11100011", B"00101101", B"00101001",
B"11010100", B"00011010", B"00100100", B"11010100", B"00100101",
B"11100101", B"11100111", B"00100010", B"11101001", B"11011010",
B"11101111", B"11101001", B"00011110", B"11011010", B"00101100",
B"00011101", B"11011100", B"00100010", B"00011001", B"11101010",
B"00100001", B"11010001", B"11101101", B"00100110", B"11011011",
B"11011001", B"11010111", B"11011101", B"11011011", B"11101010",
B"11100101", B"00100011", B"00101011", B"11110011", B"00011011",
B"11100110", B"11010101", B"00101111", B"11011000", B"11001110",
B"11100011", B"00010111", B"00001111", B"11101010", B"11011010",
B"00100011", B"11010101", B"00010101", B"11010110", B"11011101",
B"00011001", B"00010101", B"00100101", B"11010111", B"00010011",
B"00010000", B"11001110", B"00011011", B"00011000", B"11011101",
B"11011100", B"00100111", B"11101010", B"11010111", B"11101010",
B"00101100", B"00110001", B"11011110", B"00011101", B"11011010",
B"11111011", B"00011110", B"11011011", B"11000101", B"11011100",
B"11101001", B"11101110", B"00011010", B"11001011", B"00011111",
B"11010111", B"11111011", B"00011100", B"00101010", B"00110110",
B"00011010", B"11011001", B"00010111", B"00011011", B"11011111",
B"11101101", B"00010110", B"11011100", B"11101010", B"00011001",
B"00110001", B"00011010", B"00011011", B"11100100", B"11100111",
B"11110001", B"11100001", B"11011101", B"00100001", B"00100010",
B"11101010", B"00101001", B"11010000", B"00001001", B"00100001",
B"11100011", B"11101010", B"00000101", B"00011011", B"11100111",
B"11010010", B"00100000", B"00011100", B"11101001", B"00011101",
B"00011001", B"11011001", B"11010011", B"00100110", B"11110110",
B"11001110", B"00101111", B"00011111", B"00011010", B"00100000",
B"11101100", B"11011100", B"00100110", B"11100011", B"11111100",
B"00100001", B"11101011", B"11011100", B"11010000", B"11100001",
B"00101001", B"00100000", B"11011101", B"11100001", B"00100000",
B"00001111", B"11010111", B"11010111", B"11101001", B"11101001",
B"11100111", B"00101100", B"00101001", B"11100100", B"00011111",
B"11100101", B"11100010", B"00101101", B"11100001", B"00011100",
B"11011110", B"11011010", B"00011100", B"00100010", B"00001100",
B"11100010", B"11111011", B"11100001", B"11010011", B"00110000",
B"11010011", B"00100010", B"00101100", B"11011011", B"11101011",
B"11101011", B"11011111", B"00010000", B"11110001", B"00100100",
B"00011001", B"00011011", B"11011000", B"00101110", B"00100110",
B"11101001", B"11100001", B"00001001", B"11010011", B"11100011",
B"00100010", B"11011101", B"00010011", B"11011111", B"11011100",
B"00010011", B"11011110", B"00110111", B"00011000", B"00010000",
B"11101100", B"00010100", B"11101101", B"00101011", B"11011100",
B"11110010", B"11010000", B"11011111", B"00110110", B"00001010",
B"00110010", B"00100100", B"11010100", B"00011010", B"11100101",
B"00011100", B"11010000", B"11110000", B"00011101", B"00011011",
B"11011000", B"11101111", B"00011111", B"11001110", B"00100100",
B"11010101", B"11011110", B"00011110", B"11011010", B"00101110",
B"00110111", B"11101110", B"11100000", B"11011111", B"11100101",
B"11100100", B"11100101", B"00001010", B"00101100", B"11100001",
B"00100010", B"11100010", B"11100000", B"00001001", B"00100101",
B"11100000", B"11100011", B"00010110", B"11010000", B"11001011",
B"11100100", B"11100100", B"11100110", B"00011011", B"11011111",
B"00101010", B"11010010", B"11101000", B"00010110", B"00000101",
B"00101011", B"00011100", B"11011101", B"11010111", B"00010000",
B"00100010", B"11100000", B"11101011", B"00100000", B"00011011",
B"11100001", B"00001100", B"00100101", B"11101001", B"11100011",
B"00011110", B"11010110", B"00100001", B"00011010", B"11010111",
B"11011011", B"11011101", B"11100010", B"11100010", B"00011111",
B"00010111", B"00010011", B"00011110", B"00100010", B"00011101",
B"00010111", B"00101100", B"00100110", B"11010011", B"00100000",
B"11110010", B"11100101", B"11100100", B"00011110", B"00101111",
B"00001000", B"11011001", B"00011110", B"00011100", B"11101000",
B"00100100", B"00010101", B"11101001", B"11001000", B"00011001",
B"11011000", B"00101000", B"11100011", B"11010110", B"00001110",
B"00010010", B"11101001", B"11011110", B"11101100", B"00100110",
B"00101110", B"11010010", B"00100001", B"11100101", B"11011110",
B"00101001", B"00010000", B"11111010", B"11101101", B"11100000",
B"11100010", B"11011011", B"00010101", B"11100010", B"11010001",
B"00010110", B"11011011", B"11101000", B"11011111", B"11101010",
B"11010100", B"00011011", B"11010010", B"11110000", B"11100001",
B"00101101", B"00010010", B"11011111", B"11100010", B"00110100",
B"00100001", B"00011011", B"11100110", B"00100101", B"11100100",
B"00101101", B"11011110", B"11001011", B"00110010", B"11100000",
B"00100100", B"11110011", B"11100101", B"00011000", B"11010111",
B"00011110", B"11011011", B"00101011", B"11011110", B"11011011",
B"00101111", B"00001000", B"00100000", B"00100000", B"11101000",
B"00101011", B"00011010", B"11010011", B"11011010", B"00101000",
B"11010110", B"11101011", B"11011011", B"11011001", B"00011011",
B"00011110", B"00011000", B"00101111", B"11011001", B"00100110",
B"00101101", B"00011101", B"11100001", B"00100101", B"11010111",
B"00100101", B"11101101", B"00100100", B"11010111", B"11010010",
B"11100011", B"00101110", B"00100010", B"11100010", B"00010100",
B"11001101", B"11001111", B"00100110", B"11100010", B"11011011",
B"11011000", B"11011010", B"11110101", B"00110001", B"00100010",
B"00100011", B"11110001", B"00100100", B"11011110", B"00101100",
B"11011111", B"00010001", B"11011101", B"00010011", B"11011101",
B"11011110", B"00101100", B"00100110", B"00110000", B"00001111",
B"11011010", B"00011101", B"00011100", B"11100001", B"11011011",
B"00101110", B"11011100", B"11011011", B"00100000", B"00011001",
B"00110010", B"00101011", B"11010100", B"11001100", B"00011000",
B"00100111", B"00011010", B"00010100", B"00011100", B"00011001",
B"00100100", B"00110111", B"11100100", B"00011101", B"00100011",
B"11011111", B"11011010", B"11101010", B"11100111", B"11100001",
B"00100110", B"11011101", B"11011110", B"00100011", B"11010111",
B"11010111", B"11011111", B"11011111", B"11101000", B"11100000",
B"11011011", B"11110000", B"00011010", B"00100010", B"00101101",
B"00011101", B"11010100", B"00010100", B"00101011", B"00100110",
B"11011010", B"00101000", B"11011101", B"00010110", B"00110000",
B"11011001", B"00101001", B"00011111", B"11010110", B"00010010",
B"00011000", B"11001100", B"11100011", B"11100000", B"11010001",
B"11110100", B"00101110", B"00100110", B"00100000", B"00001111",
B"11110000", B"11101111", B"11111111", B"11011101", B"00101001",
B"11011101", B"11000110", B"00010000", B"11101000", B"00101010",
B"11010000", B"11011100", B"11001000", B"00011110", B"00101101",
B"11101011", B"00010010", B"00000011", B"00010001", B"00011011",
B"00100101", B"00011000", B"00010101", B"00010010", B"11011011",
B"00011011", B"11001001", B"00010010", B"11110110", B"11100000",
B"00101101", B"00110001", B"00100001", B"00101100", B"00101110",
B"11100110", B"00100000", B"11011101", B"00100011", B"11100101",
B"00100111", B"00011001", B"11010000", B"11011001", B"00001011",
B"00011001", B"11011110", B"11011101", B"11111001", B"00100001",
B"11100011", B"11100001", B"11100110", B"11111101", B"00111010",
B"11100101", B"11101010", B"00011010", B"11100010", B"11110111",
B"11010111", B"00010100", B"00011111", B"11010110", B"00110011",
B"00011101", B"11011101", B"00011101", B"00011110", B"11011110",
B"11100111", B"00100001", B"00100110", B"11100100", B"11001111",
B"11111110", B"11011001", B"11101101", B"11101100", B"11100101",
B"11011100", B"00011111", B"11011010", B"00011101", B"11011011",
B"11110000", B"00010010", B"00011111", B"11011111", B"00000110",
B"00010101", B"00100001", B"11101000", B"00011111", B"11011000",
B"00011110", B"11100100", B"11011101", B"11010111", B"00100110",
B"00100101", B"11011111", B"00101101", B"11100100", B"00100100",
B"11101011", B"00101001", B"11101101", B"11100000", B"11101000",
B"00101110", B"00011111", B"11101001", B"00100110", B"11100111",
B"00001000", B"11010100", B"11100010", B"00101001", B"00100000",
B"11100100", B"11011000", B"00100010", B"00100101", B"00110100",
B"00100101", B"11100101", B"11011011", B"11011010", B"00011101",
B"11000111", B"00110011", B"11100010", B"11010000", B"00111010",
B"00001101", B"11011101", B"11100111", B"10111111", B"00010000",
B"00011110", B"11011100", B"00101111", B"11101010", B"11010110",
B"11010101", B"00011100", B"11011010", B"00100001", B"11100001",
B"11100011", B"00011111", B"00100100", B"00101000", B"00010100",
B"11001100", B"11111111", B"11100000", B"00101100", B"11011110",
B"00001100", B"11100101", B"00011111", B"11010000", B"11010111",
B"11011110", B"00100011", B"00010111", B"00011110", B"00100100",
B"00010000", B"11011001", B"00100110", B"11011100", B"00010010",
B"11101100", B"00101011", B"11101100", B"11100010", B"00011011",
B"11110010", B"11001011", B"11100100", B"11101111", B"11100011",
B"11011011", B"00010100", B"11011001", B"00110010", B"11100100",
B"11000101", B"00101010", B"00001001", B"11100000", B"11101001",
B"11100001", B"11101100", B"00010010", B"11101001", B"00101010",
B"11011110", B"11011001", B"00011011", B"00010111", B"00100000",
B"00100101", B"11100100", B"11100011", B"11101000", B"11100100",
B"11101100", B"11100001", B"00010011", B"00100111", B"00110111",
B"00101011", B"11011011", B"11011010", B"11101000", B"11001011",
B"00001001", B"00110001", B"00100000", B"00011011", B"00100001",
B"00100111", B"11100101", B"11011111", B"00001000", B"00100100",
B"11010000", B"11001011", B"00001011", B"11010100", B"11110100",
B"11010110", B"11100011", B"00101001", B"11001011", B"00100001",
B"00100001", B"00010001", B"00101010", B"00101111", B"00001100",
B"00001101", B"00011110", B"00100010", B"00101011", B"11011010",
B"11011010", B"00101011", B"11011011", B"11110101", B"11100111",
B"11100011", B"00100010", B"11011110", B"00101010", B"00011000",
B"11001100", B"00010011", B"00100101", B"11101111", B"11010001",
B"00011000", B"00001110", B"11010010", B"11011100", B"11101010",
B"11001011", B"11101010", B"11100101", B"00010011", B"00010011",
B"11100000", B"11011101", B"11010011", B"11100101", B"11010111",
B"00110101", B"11010100", B"00011110", B"00011100", B"11100000",
B"00011111", B"00100101", B"11011111", B"00100000", B"00010001",
B"11101101", B"00111101", B"00100110", B"11001110", B"11101111",
B"00100010", B"11001001", B"00101001", B"11010010", B"11100100",
B"11010011", B"00010011", B"00001010", B"11111101", B"00100111",
B"00111010", B"11001011", B"00100111", B"00100000", B"11100101",
B"11100101", B"00010001", B"11100110", B"11101001", B"00011010",
B"11101101", B"00010111", B"11010000", B"11100110", B"00011001",
B"00111001", B"00011001", B"00100011", B"00111001", B"00010110",
B"00011000", B"00011110", B"00100110", B"11110101", B"11110110",
B"11101010", B"00010000", B"00010011", B"11100001", B"00101011",
B"11101010", B"00010101", B"11100001", B"11110011", B"00100111",
B"11100110", B"00000000", B"11010010", B"11110001", B"11100111",
B"11010111", B"11011101", B"11010101", B"00101111", B"00110110",
B"00010011", B"00100010", B"11100101", B"11001110", B"00011101",
B"11011111", B"00100110", B"11010110", B"11010100", B"00101011",
B"00100000", B"00010011", B"11010110", B"11100111", B"00010101",
B"00010011", B"11010100", B"11010110", B"00011001", B"11011111",
B"11100011", B"11011100", B"11001100", B"00101010", B"11000111",
B"00001011", B"11010001", B"11101100", B"00100010", B"00011110",
B"00101001", B"00110011", B"11100100", B"11101001", B"11100010",
B"11100001", B"11101011", B"00010010", B"00110001", B"11100000",
B"00100101", B"11110001", B"11011111", B"00011101", B"11101000",
B"11100011", B"11001101", B"00010001", B"00011110", B"11101100",
B"11100110", B"11011100", B"00011000", B"11100010", B"00100101",
B"11001111", B"11100110", B"00010110", B"00100100", B"00100110",
B"00011100", B"11011010", B"00100111", B"11011111", B"00011100",
B"11001111", B"11000100", B"11011011", B"00011000", B"11101111",
B"00101001", B"11011011", B"11100001", B"00010100", B"00101100",
B"00101011", B"11011111", B"11010111", B"00100000", B"00100101",
B"11011000", B"11100001", B"00100001", B"00011111", B"11001100",
B"00101100", B"00000101", B"11101000", B"11101001", B"00100100",
B"11011110", B"00010001", B"11101001", B"11011111", B"11110010",
B"00011010", B"00101011", B"11010100", B"00100000", B"00011101",
B"11110100", B"11011110", B"00010010", B"00100010", B"11011000",
B"11010110", B"00101000", B"00101010", B"00110011", B"11001100",
B"00101110", B"11100111", B"00100010", B"11101010", B"11101000",
B"11010010", B"00100010", B"00001010", B"00011011", B"00010111",
B"11100110", B"11010000", B"00100010", B"00010011", B"00100000",
B"11011010", B"00011110", B"11011101", B"00100101", B"11011111",
B"11100100", B"00100001", B"11010111", B"11100010", B"11010011",
B"00011100", B"00100100", B"11001111", B"00100010", B"11110101",
B"11100000", B"11010111", B"11100010", B"00100000", B"11100011",
B"00100000", B"00011011", B"11011111", B"00101011", B"11101011",
B"11100010", B"11011111", B"00100111", B"00100001", B"11010001",
B"00011111", B"11011111", B"00011010", B"11010001", B"11001111",
B"00011110", B"00010110", B"11110110", B"00101101", B"11011001",
B"00001111", B"11001000", B"11110000", B"00101001", B"00011001",
B"00011100", B"00100110", B"00100011", B"11100000", B"00101110",
B"11101010", B"00100111", B"11101011", B"00101010", B"00011110",
B"11011000", B"11000001", B"00010110", B"00100001", B"11011110",
B"11001111", B"11011101", B"11100100", B"11100010", B"00100010",
B"00100110", B"11101000", B"00100100", B"11001110", B"00101111",
B"00101111", B"00011110", B"00001001", B"00110011", B"00100010",
B"00101111", B"00010100", B"11010100", B"11011110", B"00101101",
B"00100110", B"00100010", B"00100000", B"11101000", B"11101101",
B"00101000", B"11011011", B"11111100", B"11001111", B"11100000",
B"00100010", B"11101101", B"00100010", B"00011001", B"00011001",
B"00110011", B"11011111", B"00101001", B"11101000", B"00011010",
B"11011111", B"11101110", B"00101101", B"00011101", B"00100110",
B"11101001", B"00011101", B"11100000", B"00100111", B"00011100",
B"00011110", B"11100111", B"00110000", B"00011010", B"11111001",
B"11011111", B"00100000", B"01000100", B"00011010", B"00100110",
B"00100101", B"00100011", B"00100001", B"00011101", B"00100111",
B"11100110", B"11011001", B"11100011", B"11001000", B"00101101",
B"00011010", B"00100111", B"00011110", B"00101110", B"00101000",
B"11100111", B"00100110", B"00110001", B"11100000", B"11011111",
B"00100100", B"11101110", B"11100101", B"11011101", B"00100100",
B"00100111", B"11001111", B"00010001", B"11011110", B"00100001",
B"11011011", B"11100001", B"11011111", B"11101000", B"00011010",
B"11100001", B"00010101", B"11110100", B"11010101", B"00101111",
B"11101101", B"00101100", B"11101101", B"11100000", B"00101000",
B"00100111", B"00101111", B"11011100", B"11101101", B"00100001",
B"00101101", B"11000111", B"11101000", B"11110010", B"11100111",
B"00011111", B"11101100", B"00010100", B"11100011", B"11011111",
B"00010011", B"11001010", B"00100000", B"00011001", B"11101110",
B"11101101", B"11010111", B"11101001", B"11010011", B"00101100",
B"00011111", B"00100001", B"11000111", B"00100100", B"11100000",
B"00101110", B"11101111", B"00110011", B"11110011", B"11100110",
B"11010010", B"11100100", B"00010100", B"11100110", B"00010110",
B"11010111", B"00100110", B"11011101", B"11001101", B"11011110",
B"00100001", B"00011111", B"11100000", B"00101010", B"11110001",
B"00011101", B"11101110", B"11100110", B"11101101", B"00010000",
B"00110001", B"00100110", B"11101000", B"11100001", B"11010100",
B"11111100", B"00011010", B"11100111", B"00010101", B"00100001",
B"00011010", B"11011111", B"00011000", B"00011001", B"11000101",
B"11101001", B"00001111", B"00100100", B"11100001", B"00011000",
B"00011010", B"11100110", B"00011000", B"00010110", B"11011101",
B"00101010", B"00110001", B"11100000", B"11101110", B"00100000",
B"00001101", B"11010110", B"11011110", B"11100000", B"00011100",
B"11001111", B"11011100", B"11101000", B"00100100", B"00011101",
B"11100000", B"11010100", B"11011011", B"11110110", B"00100000",
B"00100111", B"11100101", B"00010010", B"11101101", B"11001111",
B"11001101", B"11011110", B"00101010", B"00010100", B"11100111",
B"00101001", B"11100100", B"11100001", B"11010110", B"11101101",
B"00000000", B"00011011", B"00010111", B"00010101", B"00010010",
B"11101010", B"11101100", B"00101101", B"11101001", B"00101011",
B"11100010", B"11010101", B"00100011", B"11011001", B"11010010",
B"11100100", B"00100110", B"00001111", B"11011001", B"00100111",
B"11100011", B"11101000", B"00010101", B"00100111", B"11010110",
B"11010001", B"11100100", B"11010010", B"11011010", B"11100010",
B"00011110", B"11100101", B"11110010", B"11001101", B"00100011",
B"00100101", B"11011011", B"00011010", B"11001101", B"00000101",
B"00010111", B"11101010", B"00101110", B"00100101", B"11101100",
B"00110011", B"00011010", B"11100000", B"11011010", B"00010011",
B"00001100", B"11100101", B"11110100", B"11101100", B"00011000",
B"00010010", B"11011000", B"11100001", B"11000110", B"11011111",
B"11100011", B"00101010", B"00100110", B"11101001", B"11011110",
B"00100011", B"00010100", B"11110011", B"11011111", B"11101110",
B"11101110", B"11010110", B"11101100", B"00101110", B"00011011",
B"00011111", B"00011101", B"00010010", B"11010100", B"00011001",
B"00011000", B"11011111", B"00100101", B"00100011", B"11100011",
B"11101000", B"00011110", B"00011011", B"00100110", B"11100000",
B"00100000", B"11100111", B"00010011", B"11011000", B"11101001",
B"11011101", B"00101011", B"00000111", B"11100011", B"00011111",
B"11011010", B"11011101", B"00111010", B"00100100", B"11010111",
B"11100100", B"11100010", B"11101111", B"11101101", B"11101011",
B"11001001", B"11011110", B"11011110", B"00110000", B"00101101",
B"00100111", B"00100011", B"11001111", B"11101111", B"11011111",
B"00100001", B"00100100", B"11011100", B"00101111", B"11100011",
B"11010111", B"11010110", B"00101011", B"00100000", B"00011110",
B"00010011", B"11100000", B"11011110", B"11100010", B"00011110",
B"11010101", B"11010001", B"11100100", B"00100011", B"00011100",
B"11110001", B"00011001", B"11011000", B"11011011", B"11011110",
B"11101001", B"00100100", B"11011101", B"00101111", B"11010101",
B"00100011", B"00010110", B"11011100", B"11101011", B"11011000",
B"11110001", B"11101011", B"00011010", B"00101111", B"00101001",
B"00101111", B"00011001", B"00011110", B"00010100", B"00100111",
B"00011000", B"11011001", B"00011111", B"00100111", B"11101100",
B"00010100", B"00010101", B"11011011", B"11101000", B"00010100",
B"11011011", B"00100000", B"11101100", B"11100100", B"00100101",
B"00100001", B"00100011", B"11100001", B"00010000", B"11011010",
B"11100000", B"11110101", B"00101010", B"00100100", B"00110100",
B"00011001", B"11100011", B"00101001", B"00001011", B"11100100",
B"11011011", B"11111100", B"11100000", B"00001101", B"00100001",
B"11010110", B"11100101", B"11010110", B"11101100", B"11011100",
B"00011111", B"11100000", B"11011100", B"00100001", B"11101110",
B"11110101", B"11010010", B"11100011", B"00011001", B"11011010",
B"11001111", B"11100001", B"11010000", B"00011011", B"11100111",
B"00100100", B"11101001", B"11100110", B"11101100", B"11010111",
B"00011001", B"00101110", B"00001101", B"00101100", B"00010100",
B"11000110", B"11011011", B"11101010", B"11101100", B"00101000",
B"11100101", B"00011010", B"00101010", B"11011110", B"11011011",
B"00011110", B"11010100", B"11011010", B"11010011", B"11111111",
B"11011111", B"00110000", B"00111001", B"00011111", B"11010100",
B"00011010", B"11101011", B"00101010", B"11101110", B"11011010",
B"00100100", B"11011110", B"00100000", B"11010010", B"11011011",
B"00011100", B"00101110", B"00010101", B"11010101", B"11100000",
B"00101000", B"00100000", B"11010011", B"11100000", B"00100110",
B"11100111", B"11101011", B"11010111", B"11011000", B"00010111",
B"11100001", B"00100111", B"11010010", B"11101101", B"00100111",
B"11101110", B"00100000", B"11010100", B"11101100", B"00011010",
B"11100000", B"00100111", B"11110000", B"11101110", B"11110000",
B"00010101", B"00001011", B"11001111", B"00001110", B"00110010",
B"00100101", B"00100000", B"00101011", B"00101010", B"00101000",
B"00011010", B"00100010", B"00101001", B"00011011", B"00011011",
B"00100010", B"00100001", B"00001010", B"00100000", B"11001100",
B"11110000", B"00011111", B"11100011", B"00101010", B"11011100",
B"11100000", B"00010100", B"00011110", B"11010011", B"00011111",
B"00100000", B"11011100", B"00011011", B"00011111", B"11100100",
B"11011001", B"11010110", B"11100101", B"00001110", B"00101001",
B"11101010", B"00100100", B"11010111", B"00001001", B"11100000",
B"11011010", B"00100110", B"11011100", B"11101010", B"11010110",
B"11100000", B"11100000", B"00011010", B"11100011", B"00101000",
B"11010110", B"11100111", B"00011000", B"00010011", B"00000010",
B"11101011", B"00100010", B"00110000", B"11100010", B"00100110",
B"00011111", B"11010100", B"11011110", B"11000000", B"11111000",
B"11110001", B"00011110", B"00100101", B"00100101", B"00100110",
B"11010100", B"00001110", B"11010011", B"00011001", B"11010001",
B"11100111", B"00100010", B"00100111", B"11010110", B"11011010",
B"00011100", B"11010100", B"00100000", B"11010000", B"11010101",
B"00011100", B"11100010", B"11100000", B"00001111", B"11101001",
B"00011111", B"11011101", B"11100011", B"00010010", B"00011011",
B"11100000", B"11100011", B"00111010", B"11011011", B"11100111",
B"11010000", B"11100100", B"11011010", B"11100111", B"00011100",
B"00100111", B"00100000", B"00110100", B"11101011", B"11001111"
 
);
 
signal input_counter : integer range 0 to 19999 := 0;
signal start_fifo : bit_vector (7 downto 0) := ( B"0100_0000" );
signal clk : bit;
 
begin
 
process (clk, clear)
begin
if (clear = '1') then
rxin <= (others => '0');
elsif (clk = '1' and clk'event) then
rxin <= input_bank(input_counter);
end if;
end process;
 
process (clk, clear)
begin
if (clear = '1') then
input_counter <= 0;
elsif (clk = '1' and clk'event) then
if (input_counter < 19999) then
input_counter <= input_counter + 1;
else
input_counter <= 0;
end if;
end if;
end process;
 
rom_pos <= input_counter;
 
process (clk, clear)
begin
if (clear = '1') then
start_fifo <= B"0100_0000";
elsif ( clk = '1' and clk'event) then
start_fifo <= start_fifo (6 downto 0) & start_fifo (7);
end if;
end process;
 
clk <= clock;
start <= start_fifo (7);
 
end test_bench;
/trunk/xilinx/input.vhdl
0,0 → 1,4109
-- $Id: input.vhdl,v 1.1 2006-01-16 03:40:22 arif_endro Exp $
-------------------------------------------------------------------------------
-- Title :
-- Project :
-------------------------------------------------------------------------------
-- File :
-- Author : "Arif E. Nugroho" <arif_endro@yahoo.com>
-- Created : 2005/12/18
-- Last update :
-- Simulators :
-- Synthesizers: ISE Xilinx 6.3i
-- Target :
-------------------------------------------------------------------------------
-- Description :
-------------------------------------------------------------------------------
-- Copyright (C) 2005 Arif E. Nugroho
-- This VHDL design file is an open design; you can redistribute it and/or
-- modify it and/or implement it after contacting the author
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
-- ASSOCIATED DISCLAIMER.
--
-------------------------------------------------------------------------------
--
-- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
 
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
 
entity input is
port (
clock : in bit;
clear : in bit;
start : out bit;
rom_pos : out integer;
rxin : out bit_vector (07 downto 00)
);
end input;
 
architecture test_bench of input is
 
type rom_bank is array ( 00000 to 19999 ) of bit_vector (7 downto 0);
 
constant input_bank : rom_bank :=
(
 
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"00100000", B"00100000", B"11100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"00100000", B"00100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"00100000",
B"00100000", B"11100000", B"11100000", B"11100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"00100000", B"00100000",
B"11100000", B"00100000", B"11100000", B"00100000", B"11100000",
B"11100000", B"00100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"11100000",
B"00100000", B"11100000", B"11100000", B"00100000", B"00100000",
B"11100000", B"11100000", B"00100000", B"11100000", B"11100000",
B"11100000", B"11100000", B"11100000", B"11100000", B"00100000",
B"00100000", B"00100000", B"00100000", B"11100000", B"11100000"
 
);
 
signal input_counter : integer range 0 to 19999 := 0;
signal start_fifo : bit_vector (7 downto 0) := ( B"0100_0000" );
signal clk : bit;
 
begin
 
process (clk, clear)
begin
if (clear = '1') then
rxin <= (others => '0');
elsif (clk = '1' and clk'event) then
rxin <= input_bank(input_counter);
end if;
end process;
 
process (clk, clear)
begin
if (clear = '1') then
input_counter <= 0;
elsif (clk = '1' and clk'event) then
if (input_counter < 19999) then
input_counter <= input_counter + 1;
else
input_counter <= 0;
end if;
end if;
end process;
 
rom_pos <= input_counter;
 
process (clk, clear)
begin
if (clear = '1') then
start_fifo <= B"0100_0000";
elsif ( clk = '1' and clk'event) then
start_fifo <= start_fifo (6 downto 0) & start_fifo (7);
end if;
end process;
 
clk <= clock;
start <= start_fifo (7);
 
end test_bench;
/trunk/xilinx/xilinx.do
0,0 → 1,134
# $Id: xilinx.do,v 1.1 2006-01-16 03:40:22 arif_endro Exp $
# -----------------------------------------------------------------------------
# Title :
# Project :
# -----------------------------------------------------------------------------
# File :
# Author : "Arif E. Nugroho" <arif_endro@yahoo.com>
# Created : 2005/12/18
# Last update :
# Simulators :
# Synthesizers:
# Target :
# -----------------------------------------------------------------------------
# Description :
# -----------------------------------------------------------------------------
# Copyright (C) 2005 Arif E. Nugroho
###############################################################################
##
## THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
## PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
## ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
## ASSOCIATED DISCLAIMER.
##
###############################################################################
##
## THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
## IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
## MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO
## EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
## SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
## PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
## OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
## WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
## OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
## ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
##
###############################################################################
 
# Quit Current simulations
quit -sim;
 
# Destroy output window
destroy .wave;
destroy .list;
 
# Create new work library
vlib work;
 
# Compile all source
vcom ../source/fulladder.vhdl;
vcom ../source/adder_08bit.vhdl;
vcom ../source/bit_comparator.vhdl;
vcom ../source/comparator_7bit.vhdl;
vcom ../source/twos_c_8bit.vhdl;
vcom ../source/ext_val.vhdl;
vcom ../source/ser2par8bit.vhdl;
vcom ../source/product_code.vhdl;
vcom input.vhdl;
vcom senddata.vhdl;
vcom analyze.vhdl;
vcom xilinx.vhdl;
 
# Simulate the test_bench and design
vsim xilinx
 
# Show the signal to wave window
add wave sim:/xilinx/clock
add wave sim:/xilinx/clear
add wave sim:/xilinx/start
add wave sim:/xilinx/rxin
add wave sim:/xilinx/rom_pos
add wave sim:/xilinx/y0d
add wave sim:/xilinx/y1d
add wave sim:/xilinx/y2d
add wave sim:/xilinx/y3d
add wave sim:/xilinx/senddata
add wave sim:/xilinx/match
add wave sim:/xilinx/bit_error
 
add wave -dec sim:/xilinx/my_product_code/y0
add wave -dec sim:/xilinx/my_product_code/y1
add wave -dec sim:/xilinx/my_product_code/y2
add wave -dec sim:/xilinx/my_product_code/y3
add wave -dec sim:/xilinx/my_product_code/r0
add wave -dec sim:/xilinx/my_product_code/r1
add wave -dec sim:/xilinx/my_product_code/c0
add wave -dec sim:/xilinx/my_product_code/c1
 
add wave -dec sim:/xilinx/my_product_code/y0e
add wave -dec sim:/xilinx/my_product_code/y1e
add wave -dec sim:/xilinx/my_product_code/y2e
add wave -dec sim:/xilinx/my_product_code/y3e
 
add wave -dec sim:/xilinx/my_product_code/row0/ext_r_o
add wave -dec sim:/xilinx/my_product_code/row1/ext_r_o
add wave -dec sim:/xilinx/my_product_code/row2/ext_r_o
add wave -dec sim:/xilinx/my_product_code/row3/ext_r_o
 
add wave -dec sim:/xilinx/my_product_code/col0/ext_r_o
add wave -dec sim:/xilinx/my_product_code/col1/ext_r_o
add wave -dec sim:/xilinx/my_product_code/col2/ext_r_o
add wave -dec sim:/xilinx/my_product_code/col3/ext_r_o
 
add wave -dec sim:/xilinx/my_product_code/sum_r_0/adder08_output
add wave -dec sim:/xilinx/my_product_code/sum_r_1/adder08_output
add wave -dec sim:/xilinx/my_product_code/sum_r_2/adder08_output
add wave -dec sim:/xilinx/my_product_code/sum_r_3/adder08_output
 
add wave -dec sim:/xilinx/my_product_code/sum_c_0/adder08_output
add wave -dec sim:/xilinx/my_product_code/sum_c_1/adder08_output
add wave -dec sim:/xilinx/my_product_code/sum_c_2/adder08_output
add wave -dec sim:/xilinx/my_product_code/sum_c_3/adder08_output
 
add wave -dec sim:/xilinx/my_product_code/sum_p_0/adder08_output
add wave -dec sim:/xilinx/my_product_code/sum_p_1/adder08_output
add wave -dec sim:/xilinx/my_product_code/sum_p_2/adder08_output
add wave -dec sim:/xilinx/my_product_code/sum_p_3/adder08_output
 
add wave -dec sim:/xilinx/my_product_code/ext_b_r_0
add wave -dec sim:/xilinx/my_product_code/ext_b_r_1
add wave -dec sim:/xilinx/my_product_code/ext_b_r_2
add wave -dec sim:/xilinx/my_product_code/ext_b_r_3
 
add wave -dec sim:/xilinx/my_product_code/ext_b_c_0
add wave -dec sim:/xilinx/my_product_code/ext_b_c_1
add wave -dec sim:/xilinx/my_product_code/ext_b_c_2
add wave -dec sim:/xilinx/my_product_code/ext_b_c_3
 
# Run the simulation
force -freeze sim:/xilinx/clock 1 0,0 {50ns} -r 100
 
# 20024 sample at 100ns => 2002400ns
# 20024 sample at 1ns => 20024ns
run 2001000ns

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.