OpenCores
URL https://opencores.org/ocsvn/xilinx_virtex_fp_library/xilinx_virtex_fp_library/trunk

Subversion Repositories xilinx_virtex_fp_library

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 8 to Rev 9
    Reverse comparison

Rev 8 → Rev 9

/xilinx_virtex_fp_library/trunk/SinglePathFPAdder/SinglePathFPAdder.v
18,7 → 18,7
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module SinglePathFPAdder #( parameter size_mantissa = 24, //1.M
module SinglePathFPAdder #( parameter size_mantissa = 24, //calculate the size containing the hiden bit 1.M
parameter size_exponent = 8,
parameter size_exception_field = 2,
parameter size_counter = 5, //log2(size_mantissa) + 1 = 5)
32,36 → 32,40
parameter double_size_counter = size_counter + 1,
parameter size = size_mantissa + size_exponent + size_exception_field)
(sub, a_number_i, b_number_i, resulted_number_o);
 
input sub;
input [size - 1 : 0] a_number_i;
input [size - 1 : 0] b_number_i;
output[size - 1 : 0] resulted_number_o;
( input sub,
input [size - 1 : 0] a_number_i,
input [size - 1 : 0] b_number_i,
output[size - 1 : 0] resulted_number_o);
wire [size_exception_field - 1 : 0] sp_case_a_number, sp_case_b_number;
wire [size_mantissa - 1 : 0] m_a_number, m_b_number;
wire [size_exponent - 1 : 0] e_a_number, e_b_number;
wire s_a_number, s_b_number;
wire [size_exception_field - 1 : 0] sp_case_a_number, sp_case_b_number;
wire [size_exponent : 0] a_greater_exponent, b_greater_exponent;
wire [size_exponent - 1 : 0] unadjusted_exponent;
wire [size_exponent - 1 : 0] exp_difference;
wire [size_exponent - 1 : 0] modify_exp_a, modify_exp_b;
wire [double_size_mantissa - 1 : 0] shifted_m_a, shifted_m_b;
wire [size_exponent : 0] exp_inter;
wire [size_mantissa - 1 : 0] shifted_m_b;
wire [size_mantissa - 1 : 0] initial_rounding_bits, inter_rounding_bits, final_rounding_bits;
wire eff_op;
wire [double_size_mantissa : 0] unnormalized_mantissa;
wire [double_size_counter-1: 0] lzs;
wire [size_mantissa-1: 0] unrounded_mantissa;
wire [size_counter - 1 : 0] lzs;
wire [size_mantissa + 1 : 0] adder_mantissa;
wire [size_mantissa + 1 : 0] rounded_mantissa;
wire [size_mantissa : 0] unnormalized_mantissa, unrounded_mantissa;
wire [size_mantissa-1: 0] resulted_mantissa;
wire [size_exponent-1: 0] resulted_exponent;
wire [size_exception_field - 1 : 0] resulted_exception_field;
wire [size_mantissa - 1 : 0] resulted_mantissa;
wire [size_exponent - 1 : 0] resulted_exponent;
wire resulted_sign;
wire [size_exception_field - 1 : 0] resulted_exception_field;
wire [size_mantissa + 1 : 0] dummy_bits;
wire dummy_bit;
wire zero_flag;
assign m_a_number = {1'b1, a_number_i[size_mantissa - 2 :0]};
assign m_b_number = {1'b1, b_number_i[size_mantissa - 2 :0]};
assign e_a_number = a_number_i[size_mantissa + size_exponent - 1 : size_mantissa - 1];
assign e_b_number = b_number_i[size_mantissa + size_exponent - 1 : size_mantissa - 1];
assign s_a_number = a_number_i[size - size_exception_field - 1];
68,78 → 72,76
assign s_b_number = b_number_i[size - size_exception_field - 1];
assign sp_case_a_number = a_number_i[size - 1 : size - size_exception_field];
assign sp_case_b_number = b_number_i[size - 1 : size - size_exception_field];
 
//find the greater exponent
assign a_greater_exponent = e_a_number - e_b_number;
assign b_greater_exponent = e_b_number - e_a_number;
//find the difference between exponents
assign exp_difference = (e_a_number > e_b_number)? (e_a_number - e_b_number) : (e_b_number - e_a_number);
 
assign {modify_exp_a, modify_exp_b} = (e_a_number > e_b_number)? {8'd0, exp_difference} : {exp_difference, 8'd0};
//shift the right mantissa
assign exp_difference = (a_greater_exponent[size_exponent])? b_greater_exponent[size_exponent - 1 : 0] : a_greater_exponent[size_exponent - 1 : 0];
assign exp_inter = (b_greater_exponent[size_exponent])? {1'b0, e_a_number} : {1'b0, e_b_number};
//set shifter always on m_b_number
assign {m_a_number, m_b_number} = (b_greater_exponent[size_exponent])?
{{1'b1, a_number_i[size_mantissa - 2 :0]}, {1'b1, b_number_i[size_mantissa - 2 :0]}} :
{{1'b1, b_number_i[size_mantissa - 2 :0]}, {1'b1, a_number_i[size_mantissa - 2 :0]}};
//shift m_b_number
shifter #( .INPUT_SIZE(size_mantissa),
.SHIFT_SIZE(size_exponent),
.OUTPUT_SIZE(double_size_mantissa),
.DIRECTION(1'b0), //0=right, 1=left
.PIPELINE(pipeline),
.POSITION(pipeline_pos))
m_a_shifter_instance( .a(m_a_number),//mantissa
.arith(1'b0),//logical shift
.shft(modify_exp_a),
.shifted_a(shifted_m_a));
shifter #( .INPUT_SIZE(size_mantissa),
.SHIFT_SIZE(size_exponent),
.OUTPUT_SIZE(double_size_mantissa),
.DIRECTION(1'b0), //0=right, 1=left
.PIPELINE(pipeline),
.POSITION(pipeline_pos))
.SHIFT_SIZE(size_exponent),
.OUTPUT_SIZE(double_size_mantissa),
.DIRECTION(1'b0), //0=right, 1=left
.PIPELINE(pipeline),
.POSITION(pipeline_pos))
m_b_shifter_instance( .a(m_b_number),//mantissa
.arith(1'b0),//logical shift
.shft(modify_exp_b),
.shifted_a(shifted_m_b));
.arith(1'b0),//logical shift
.shft(exp_difference),
.shifted_a({shifted_m_b, initial_rounding_bits}));
//istantiate effective_operation_component
effective_op effective_op_instance( .a_sign(s_a_number), .b_sign(s_b_number), .sub(sub), .eff_op(eff_op));
//compute unnormalized_mantissa
assign adder_mantissa = (eff_op)? ({1'b0, m_a_number} - {1'b0, shifted_m_b}) : ({1'b0, m_a_number} + {1'b0, shifted_m_b});
//compute unnormalized_mantissa
assign unnormalized_mantissa = (eff_op)? ((shifted_m_a > shifted_m_b)? (shifted_m_a - shifted_m_b) : (shifted_m_b - shifted_m_a)) :
shifted_m_a + shifted_m_b;
assign {unnormalized_mantissa, inter_rounding_bits} =
(adder_mantissa[size_mantissa + 1])? ({~adder_mantissa[size_mantissa : 0], ~initial_rounding_bits}) :
({adder_mantissa[size_mantissa : 0], initial_rounding_bits});
//compute leading_zeros over unnormalized mantissa
leading_zeros #( .SIZE_INT(double_size_mantissa + 1'b1), .SIZE_COUNTER(double_size_counter), .PIPELINE(pipeline))
leading_zeros_instance (.a(unnormalized_mantissa),
.ovf(1'b0),
leading_zeros #( .SIZE_INT(size_mantissa + 1), .SIZE_COUNTER(size_counter), .PIPELINE(pipeline))
leading_zeros_instance (.a(unnormalized_mantissa[size_mantissa : 0]),
.ovf(unnormalized_mantissa[size_mantissa]),
.lz(lzs));
//compute shifting over unnormalized_mantissa
shifter #( .INPUT_SIZE(double_size_mantissa + 1'b1),
.SHIFT_SIZE(double_size_counter),
.OUTPUT_SIZE(double_size_mantissa + 2'd2),
shifter #( .INPUT_SIZE(double_size_mantissa + 1),
.SHIFT_SIZE(size_counter),
.OUTPUT_SIZE(double_size_mantissa + 2),
.DIRECTION(1'b1), //0=right, 1=left
.PIPELINE(pipeline),
.POSITION(pipeline_pos))
shifter_instance( .a(unnormalized_mantissa),//mantissa
shifter_instance( .a({unnormalized_mantissa, inter_rounding_bits}),//mantissa
.arith(1'b0),//logical shift
.shft(lzs),
.shifted_a({unrounded_mantissa, dummy_bits}));
.shifted_a({unrounded_mantissa, final_rounding_bits, dummy_bit}));
//instantiate rounding_component
rounding #( .SIZE_MOST_S_MANTISSA(size_mantissa + 2),
.SIZE_LEAST_S_MANTISSA(size_mantissa))
rounding_instance( .unrounded_mantissa({1'b0, unrounded_mantissa}),
.dummy_bits(final_rounding_bits),
.rounded_mantissa(rounded_mantissa));
//
//assign g = dummy_bits[size_mantissa + 1];
//assign sticky = |(dummy_bits[size_mantissa : 0]);
//assign round_dec = g & (unrounded_mantissa[0] | sticky);
//adjust exponent in case of overflow
assign adjust_exponent = (rounded_mantissa[size_mantissa + 1])? 2'd2 : 2'd1;
//instantiate rounding_component
rounding #( .SIZE_MOST_S_MANTISSA(size_mantissa),
.SIZE_LEAST_S_MANTISSA(size_mantissa + 2'd2))
rounding_instance( .unrounded_mantissa(unrounded_mantissa),
.dummy_bits(dummy_bits),
.rounded_mantissa(resulted_mantissa));
//compute resulted_exponent
assign resulted_exponent = (e_a_number >= e_b_number)? (e_a_number - lzs + 1'b1) : (e_b_number - lzs + 1'b1);
assign unadjusted_exponent = exp_inter - lzs;
assign resulted_exponent = unadjusted_exponent + adjust_exponent;
//compute resulted_sign
assign resulted_sign = (eff_op)? ((shifted_m_a > shifted_m_b)? s_a_number : ~s_a_number) : s_a_number;
assign resulted_mantissa = (rounded_mantissa[size_mantissa + 1])? (rounded_mantissa[size_mantissa + 1 : 2]) : (rounded_mantissa[size_mantissa : 1]);
//compute exception_field
special_cases #( .size_exception_field(size_exception_field),
150,8 → 152,16
special_cases_instance( .sp_case_a_number(sp_case_a_number),
.sp_case_b_number(sp_case_b_number),
.sp_case_result_o(resulted_exception_field));
//generate final result
assign resulted_number_o = {resulted_exception_field, resulted_sign, resulted_exponent, resulted_mantissa[size_mantissa-2 : 0]};
//set zero_flag in case of equal numbers
assign zero_flag = ~(|(resulted_mantissa));
//compute resulted_sign
assign resulted_sign = (eff_op)?
(!a_greater_exponent[size_exponent]? (!b_greater_exponent[size_exponent]? ~adder_mantissa[size_mantissa+1] : s_a_number) : ~s_b_number) :
s_a_number;
assign resulted_number_o = (zero_flag)? {size{1'b0}} :
{resulted_exception_field, resulted_sign, resulted_exponent, resulted_mantissa[size_mantissa - 2 : 0]};
endmodule
/xilinx_virtex_fp_library/trunk/DualPathFPAdder/ClosePath.v
18,49 → 18,44
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module ClosePath #( parameter size_in_mantissa = 48, //1.M
module ClosePath #( parameter size_in_mantissa = 24, //1.M
parameter size_out_mantissa = 24,
parameter size_exponent = 8,
parameter pipeline = 0,
parameter pipeline_pos = 0, // 8 bits
parameter size_counter = 5, //log2(size_mantissa) + 1 = 5)
parameter double_size_counter = size_counter + 1,
parameter double_size_mantissa = size_in_mantissa + size_in_mantissa)
parameter size_counter = 5, //log2(size_in_mantissa) + 1 = 5)
parameter double_size_in_mantissa = size_in_mantissa + size_in_mantissa)
( input eff_op,
input [size_in_mantissa-1 :0] m_a_number,
input [size_in_mantissa-1 :0] m_b_number,
input [size_exponent - 1 : 0] e_a_number,
input [size_exponent - 1 : 0] e_b_number,
( input [size_in_mantissa : 0] unnormalized_mantissa,
input [size_in_mantissa - 1 : 0] inter_rounding_bits,
input [size_exponent : 0] exp_inter,
output[size_out_mantissa-1:0] resulted_m_o,
output[size_exponent - 1 : 0] resulted_e_o);
 
wire [size_in_mantissa:0] unnormalized_mantissa;
wire [double_size_counter-1: 0] lzs;
wire [size_out_mantissa + 1 : 0] dummy_bits;
wire [size_counter - 1 : 0] lzs;
wire [size_exponent- 1 : 0] unadjusted_exponent;
wire [size_in_mantissa + 1 : 0] dummy_bits;
//compute unnormalized_mantissa
assign unnormalized_mantissa = (eff_op)? ((m_a_number > m_b_number)? (m_a_number - m_b_number) : (m_b_number - m_a_number)) :
m_a_number + m_b_number;
//compute leading_zeros over unnormalized mantissa
leading_zeros #( .SIZE_INT(double_size_mantissa + 1'b1), .SIZE_COUNTER(double_size_counter), .PIPELINE(pipeline))
leading_zeros_instance (.a(unnormalized_mantissa),
.ovf(1'b0),
leading_zeros #( .SIZE_INT(size_in_mantissa + 1), .SIZE_COUNTER(size_counter), .PIPELINE(pipeline))
leading_zeros_instance (.a(unnormalized_mantissa[size_in_mantissa : 0]),
.ovf(unnormalized_mantissa[size_in_mantissa]),
.lz(lzs));
//compute shifting over unnormalized_mantissa
shifter #( .INPUT_SIZE(size_in_mantissa + 1'b1),
.SHIFT_SIZE(double_size_counter),
.OUTPUT_SIZE(size_in_mantissa + 2'd2),
shifter #( .INPUT_SIZE(double_size_in_mantissa + 1),
.SHIFT_SIZE(size_counter),
.OUTPUT_SIZE(double_size_in_mantissa + 2),
.DIRECTION(1'b1), //0=right, 1=left
.PIPELINE(pipeline),
.POSITION(pipeline_pos))
shifter_instance( .a(unnormalized_mantissa),//mantissa
shifter_instance( .a({unnormalized_mantissa, inter_rounding_bits}),//mantissa
.arith(1'b0),//logical shift
.shft(lzs),
.shifted_a({resulted_m_o, dummy_bits}));
assign resulted_e_o = (e_a_number > e_b_number)? (e_a_number - lzs + 1) : (e_b_number - lzs + 1);
assign unadjusted_exponent = exp_inter - lzs;
assign resulted_e_o = unadjusted_exponent + 1'b1;
endmodule
/xilinx_virtex_fp_library/trunk/DualPathFPAdder/FarPath.v
19,43 → 19,36
//
//////////////////////////////////////////////////////////////////////////////////
module FarPath #( parameter size_in_mantissa = 24, //1.M
parameter size_out_mantissa = 24,
parameter size_exponent = 8,
parameter pipeline = 0,
parameter pipeline_pos = 0, // 8 bits
parameter size_counter = 5, //log2(size_mantissa) + 1 = 5)
parameter double_size_counter = size_counter + 1,
parameter double_size_mantissa = size_in_mantissa + size_in_mantissa)
( input eff_op,
input [size_in_mantissa-1 :0] m_a_number,
input [size_in_mantissa-1 :0] m_b_number,
input [size_exponent - 1 : 0] e_a_number,
input [size_exponent - 1 : 0] e_b_number,
output[size_out_mantissa-1:0] resulted_m_o,
output[size_exponent - 1 : 0] resulted_e_o);
parameter size_out_mantissa = 24,
parameter size_exponent = 8,
parameter pipeline = 0,
parameter pipeline_pos = 0, // 8 bits
parameter size_counter = 5, //log2(size_in_mantissa) + 1 = 5)
parameter double_size_in_mantissa = size_in_mantissa + size_in_mantissa)
( input [size_in_mantissa : 0] unnormalized_mantissa,
input [size_in_mantissa - 1 : 0] inter_rounding_bits,
input [size_exponent : 0] exp_inter,
output[size_out_mantissa- 1 : 0] resulted_m_o,
output[size_exponent - 1 : 0] resulted_e_o);
 
wire [double_size_mantissa:0] unnormalized_mantissa;
wire [7:0] adjust_mantissa;
wire [double_size_mantissa:0] normalized_mantissa;
wire [size_exponent- 1 : 0] adjust_mantissa;
wire [size_exponent- 1 : 0] unadjusted_exponent;
wire [double_size_in_mantissa:0] normalized_mantissa;
wire dummy_bit;
assign adjust_mantissa = unnormalized_mantissa[size_in_mantissa]? 2'd0 :
unnormalized_mantissa[size_in_mantissa-1]? 2'd1 : 2'd2;
//compute unnormalized_mantissa
assign unnormalized_mantissa = (eff_op)? ((m_a_number > m_b_number)? (m_a_number - m_b_number) : (m_b_number - m_a_number)) :
m_a_number + m_b_number;
assign adjust_mantissa = unnormalized_mantissa[double_size_mantissa]? 8'd0 :
unnormalized_mantissa[double_size_mantissa-1]? 2'd1 : 8'd2;
//compute shifting over unnormalized_mantissa
shifter #( .INPUT_SIZE(double_size_mantissa+1),
shifter #( .INPUT_SIZE(double_size_in_mantissa+1),
.SHIFT_SIZE(size_exponent),
.OUTPUT_SIZE(double_size_mantissa+2),
.OUTPUT_SIZE(double_size_in_mantissa+2),
.DIRECTION(1'b1),
.PIPELINE(pipeline),
.POSITION(pipeline_pos))
unnormalized_no_shifter_instance(.a(unnormalized_mantissa),
unnormalized_no_shifter_instance(.a({unnormalized_mantissa, inter_rounding_bits}),
.arith(1'b0),
.shft(adjust_mantissa),
.shifted_a({normalized_mantissa, dummy_bit}));
63,10 → 56,11
//instantiate rounding_component
rounding #( .SIZE_MOST_S_MANTISSA(size_out_mantissa),
.SIZE_LEAST_S_MANTISSA(size_out_mantissa + 2'd1))
rounding_instance( .unrounded_mantissa(normalized_mantissa[double_size_mantissa : double_size_mantissa - size_out_mantissa + 1]),
.dummy_bits(normalized_mantissa[double_size_mantissa - size_out_mantissa: 0]),
rounding_instance( .unrounded_mantissa(normalized_mantissa[double_size_in_mantissa : double_size_in_mantissa - size_out_mantissa + 1]),
.dummy_bits(normalized_mantissa[double_size_in_mantissa - size_out_mantissa: 0]),
.rounded_mantissa(resulted_m_o));
assign resulted_e_o = (e_a_number > e_b_number)? (e_a_number + 1 - adjust_mantissa):(e_b_number + 1 - adjust_mantissa);
assign unadjusted_exponent = exp_inter - adjust_mantissa;
assign resulted_e_o = unadjusted_exponent + 1'b1;
endmodule
/xilinx_virtex_fp_library/trunk/DualPathFPAdder/DualPathFPAdder.v
32,29 → 32,36
parameter double_size_counter = size_counter + 1,
parameter size = size_mantissa + size_exponent + size_exception_field)
(sub, a_number_i, b_number_i, resulted_number_o);
input sub;
input [size - 1 : 0] a_number_i;
input [size - 1 : 0] b_number_i;
output[size - 1 : 0] resulted_number_o;
( input sub,
input [size - 1 : 0] a_number_i,
input [size - 1 : 0] b_number_i,
output[size - 1 : 0] resulted_number_o);
 
wire [size_exception_field - 1 : 0] sp_case_a_number, sp_case_b_number;
wire [size_mantissa - 1 : 0] m_a_number, m_b_number;
wire [size_exponent - 1 : 0] e_a_number, e_b_number;
wire s_a_number, s_b_number;
wire [size_exception_field - 1 : 0] sp_case_a_number, sp_case_b_number;
 
wire s_a_number, s_b_number;
wire [size_exponent : 0] a_greater_exponent, b_greater_exponent;
wire [size_exponent - 1 : 0] exp_difference;
wire [size_exponent - 1 : 0] modify_exp_a, modify_exp_b;
wire [double_size_mantissa - 1 : 0] shifted_m_a, shifted_m_b;
wire [size_exponent : 0] exp_inter;
wire [size_mantissa - 1 : 0] shifted_m_b;
wire [size_mantissa - 1 : 0] initial_rounding_bits, inter_rounding_bits;
wire eff_op;
wire [size_mantissa + 1 : 0] adder_mantissa;
wire [size_mantissa : 0] unnormalized_mantissa;
wire [size_mantissa-1 : 0] fp_resulted_m_o, cp_resulted_m_o;
wire [size_exponent-1 : 0] fp_resulted_e_o, cp_resulted_e_o;
wire [size_exception_field - 1 : 0] resulted_exception_field;
wire resulted_sign;
wire [size_exception_field - 1 : 0] resulted_exception_field;
wire zero_flag;
 
assign m_a_number = {1'b1, a_number_i[size_mantissa - 2 :0]};
assign m_b_number = {1'b1, b_number_i[size_mantissa - 2 :0]};
assign e_a_number = a_number_i[size_mantissa + size_exponent - 1 : size_mantissa - 1];
assign e_b_number = b_number_i[size_mantissa + size_exponent - 1 : size_mantissa - 1];
assign s_a_number = a_number_i[size - size_exception_field - 1];
61,86 → 68,89
assign s_b_number = b_number_i[size - size_exception_field - 1];
assign sp_case_a_number = a_number_i[size - 1 : size - size_exception_field];
assign sp_case_b_number = b_number_i[size - 1 : size - size_exception_field];
//find the greater exponent
assign a_greater_exponent = e_a_number - e_b_number;
assign b_greater_exponent = e_b_number - e_a_number;
//find the difference between exponents
assign exp_difference = (a_greater_exponent[size_exponent])? b_greater_exponent[size_exponent - 1 : 0] : a_greater_exponent[size_exponent - 1 : 0];
assign exp_inter = (b_greater_exponent[size_exponent])? {1'b0, e_a_number} : {1'b0, e_b_number};
//set shifter always on m_b_number
assign {m_a_number, m_b_number} = (b_greater_exponent[size_exponent])?
{{1'b1, a_number_i[size_mantissa - 2 :0]}, {1'b1, b_number_i[size_mantissa - 2 :0]}} :
{{1'b1, b_number_i[size_mantissa - 2 :0]}, {1'b1, a_number_i[size_mantissa - 2 :0]}};
 
//find the difference between exponents
assign exp_difference = (e_a_number > e_b_number)? (e_a_number - e_b_number) : (e_b_number - e_a_number);
assign {modify_exp_a, modify_exp_b} = (e_a_number > e_b_number)? {8'd0, exp_difference} : {exp_difference, 8'd0};
//shift the right mantissa
//shift m_b_number
shifter #( .INPUT_SIZE(size_mantissa),
.SHIFT_SIZE(size_exponent),
.OUTPUT_SIZE(double_size_mantissa),
.DIRECTION(1'b0),
.PIPELINE(pipeline),
.POSITION(pipeline_pos))
m_a_shifter_instance( .a(m_a_number),
.arith(1'b0),
.shft(modify_exp_a),
.shifted_a(shifted_m_a));
.SHIFT_SIZE(size_exponent),
.OUTPUT_SIZE(double_size_mantissa),
.DIRECTION(1'b0), //0=right, 1=left
.PIPELINE(pipeline),
.POSITION(pipeline_pos))
m_b_shifter_instance( .a(m_b_number),//mantissa
.arith(1'b0),//logical shift
.shft(exp_difference),
.shifted_a({shifted_m_b, initial_rounding_bits}));
shifter #( .INPUT_SIZE(size_mantissa),
.SHIFT_SIZE(size_exponent),
.OUTPUT_SIZE(double_size_mantissa),
.DIRECTION(1'b0),
.PIPELINE(pipeline),
.POSITION(pipeline_pos))
m_b_shifter_instance( .a(m_b_number),
.arith(1'b0),
.shft(modify_exp_b),
.shifted_a(shifted_m_b));
//istantiate effective_operation_component
effective_op effective_op_instance( .a_sign(s_a_number), .b_sign(s_b_number), .sub(sub), .eff_op(eff_op));
effective_op effective_op_instance( .a_sign(s_a_number), .b_sign(s_b_number), .sub(sub), .eff_op(eff_op));
//compute unnormalized_mantissa
assign adder_mantissa = (eff_op)? ({1'b0, m_a_number} - {1'b0, shifted_m_b}) : ({1'b0, m_a_number} + {1'b0, shifted_m_b});
assign {unnormalized_mantissa, inter_rounding_bits} =
(adder_mantissa[size_mantissa + 1])? ({~adder_mantissa[size_mantissa : 0], ~initial_rounding_bits}) :
({adder_mantissa[size_mantissa : 0], initial_rounding_bits});
 
 
//instantiate special_cases component
special_cases #( .size_exception_field(size_exception_field),
.zero(zero),
.normal_number(normal_number),
.infinity(infinity),
.NaN(NaN))
special_cases_instance ( .sp_case_a_number(sp_case_a_number),
.sp_case_b_number(sp_case_b_number),
.sp_case_result_o(resulted_exception_field));
//instantiate FarPath component
FarPath #( .size_in_mantissa(double_size_mantissa),
FarPath #( .size_in_mantissa(size_mantissa),
.size_out_mantissa(size_mantissa),
.size_exponent(size_exponent),
.pipeline(pipeline),
.pipeline_pos(pipeline_pos),
.size_counter(size_counter),
.double_size_counter(double_size_counter),
.double_size_mantissa(double_size_mantissa))
FarPath_instance ( .eff_op(eff_op),
.m_a_number(shifted_m_a),
.m_b_number(shifted_m_b),
.e_a_number(e_a_number),
.e_b_number(e_b_number),
.resulted_m_o(fp_resulted_m_o),
.resulted_e_o(fp_resulted_e_o));
 
//instantiate ClosePath component
ClosePath #(.size_in_mantissa(double_size_mantissa),
.double_size_in_mantissa(double_size_mantissa))
FarPath_instance ( .unnormalized_mantissa(unnormalized_mantissa),
.inter_rounding_bits(inter_rounding_bits),
.exp_inter(exp_inter),
.resulted_m_o(fp_resulted_m_o),
.resulted_e_o(fp_resulted_e_o));
//instantiate ClosePath component
ClosePath #(.size_in_mantissa(size_mantissa),
.size_out_mantissa(size_mantissa),
.size_exponent(size_exponent),
.pipeline(pipeline),
.pipeline_pos(pipeline_pos),
.size_counter(size_counter),
.double_size_counter(double_size_counter),
.double_size_mantissa(double_size_mantissa))
ClosePath_instance( .eff_op(eff_op),
.m_a_number(shifted_m_a),
.m_b_number(shifted_m_b),
.e_a_number(e_a_number),
.e_b_number(e_b_number),
.resulted_m_o(cp_resulted_m_o),
.resulted_e_o(cp_resulted_e_o));
.double_size_in_mantissa(double_size_mantissa))
ClosePath_instance( .unnormalized_mantissa(unnormalized_mantissa),
.inter_rounding_bits(inter_rounding_bits),
.exp_inter(exp_inter),
.resulted_m_o(cp_resulted_m_o),
.resulted_e_o(cp_resulted_e_o));
//compute exception_field
special_cases #( .size_exception_field(size_exception_field),
.zero(zero),
.normal_number(normal_number),
.infinity(infinity),
.NaN(NaN))
special_cases_instance( .sp_case_a_number(sp_case_a_number),
.sp_case_b_number(sp_case_b_number),
.sp_case_result_o(resulted_exception_field));
//set zero_flag in case of equal numbers
assign zero_flag = (exp_difference > 1)? ~(|fp_resulted_m_o) : ~(|cp_resulted_m_o);
assign resulted_sign = (eff_op)? ((shifted_m_a > shifted_m_b)? s_a_number : ~s_a_number) : s_a_number;
assign resulted_number_o = (exp_difference > 1)? {resulted_exception_field, resulted_sign, fp_resulted_e_o, fp_resulted_m_o[size_mantissa-2 : 0]}:
assign resulted_sign = (eff_op)?
(!a_greater_exponent[size_exponent]? (!b_greater_exponent[size_exponent]? ~adder_mantissa[size_mantissa+1] : s_a_number) : ~s_b_number) :
s_a_number;
assign resulted_number_o = (zero_flag)? {size{1'b0}} :
(exp_difference > 1)? {resulted_exception_field, resulted_sign, fp_resulted_e_o, fp_resulted_m_o[size_mantissa-2 : 0]}:
{resulted_exception_field, resulted_sign, cp_resulted_e_o, cp_resulted_m_o[size_mantissa-2 : 0]};
endmodule
/xilinx_virtex_fp_library/trunk/GeneralPrecMAF/special_cases_mul_acc.v
38,7 → 38,7
{zero, zero, NaN}: sp_case_result_o = NaN;
{zero, normal_number,zero}: sp_case_result_o = zero;
{zero, normal_number,normal_number}: sp_case_result_o = normal_number;
{zero, normal_number,normal_number}: sp_case_result_o = normal_number;
{zero, normal_number,infinity}: sp_case_result_o = infinity;
{zero, normal_number,NaN}: sp_case_result_o = NaN;
57,8 → 57,8
{normal_number, zero, infinity}: sp_case_result_o = infinity;
{normal_number, zero, NaN}: sp_case_result_o = NaN;
{normal_number, normal_number, zero}: sp_case_result_o = normal_number;
{normal_number, normal_number, normal_number}: sp_case_result_o = normal_number;
{normal_number, normal_number, zero}: sp_case_result_o = normal_number;
{normal_number, normal_number, normal_number}: sp_case_result_o = normal_number;
{normal_number, normal_number, infinity}: sp_case_result_o = infinity;
{normal_number, normal_number, NaN}: sp_case_result_o = NaN;
/xilinx_virtex_fp_library/trunk/GeneralPrecMAF/Multiply_Accumulate.v
18,8 → 18,8
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module Multiply_Accumulate #( parameter size_exponent = 8, //exponent bits
parameter size_mantissa = 24, //mantissa bits
module Multiply_Accumulate #( parameter size_mantissa = 24, //mantissa bits(1.M)
parameter size_exponent = 8, //exponent bits
parameter size_counter = 5, //log2(size_mantissa) + 1 = 5
parameter size_exception_field = 2, // zero/normal numbers/infinity/NaN
parameter zero = 00, //00
40,32 → 40,41
input sub,
output[size - 1:0] resulting_number_o);
parameter bias_0_bits = size_exponent - 1;
parameter shift_mantissa_0_bits = size_mantissa-1'b1;
wire [size_exception_field - 1 : 0] sp_case_a_number, sp_case_b_number, sp_case_c_number;
wire [size_mantissa - 1 : 0] m_a_number, m_b_number, m_c_number;
wire [size_exponent - 1 : 0] e_a_number, e_b_number, e_c_number;
wire s_a_number, s_b_number, s_c_number;
wire [size_exception_field - 1 : 0] sp_case_a_number, sp_case_b_number, sp_case_c_number;
//---------------------------------------------------------------------------------------
wire [size_exponent : 0] ab_greater_exponent, c_greater_exponent;
wire [size_mul_mantissa-1:0] mul_mantissa, c_mantissa;
wire [size_mul_mantissa :0] acc_resulting_number;
wire [size_mul_mantissa :0] ab_shifted_mul_mantissa, c_shifted_mantissa;
wire [size_exponent : 0] exp_ab;
wire [size_exponent-1:0] modify_exp_ab, modify_exp_c;
wire [size_mul_counter-1: 0] lz_mul;
wire [size_exponent - 1 : 0] exp_difference;
wire [size_exponent - 1 : 0] unadjusted_exponent;
wire [size_exponent : 0] exp_inter;
wire [size_mul_mantissa - 1 : 0] m_ab_mantissa, c_mantissa;
wire [size_exponent : 0] e_ab_number_inter, e_ab_number;
wire [size_mul_counter - 1 : 0] lz_mul;
wire zero_flag;
wire sign_res;
wire eff_sub;
wire ovf;
wire comp_exp;
wire [size_mul_mantissa+1:0] normalized_mantissa;
wire [size_mantissa - 1 : 0] rounded_mantissa;
wire [size_exponent :0] unnormalized_exp;
wire [size_mantissa-2:0] final_mantissa;
wire [size_exponent-1:0] final_exponent;
wire eff_op;
wire [size_mantissa - 1 : 0] initial_rounding_bits, inter_rounding_bits, final_rounding_bits;
wire [size_mul_mantissa + 1 : 0] normalized_mantissa, adder_mantissa;
wire [size_mul_mantissa : 0] unnormalized_mantissa;
wire [size_mul_mantissa - 1 : 0] shifted_m_ab;
wire [size_mul_mantissa - 1 : 0] m_c, m_ab;
wire [size_exception_field - 1 : 0] sp_case_result_o;
wire [size_mantissa - 2 : 0] final_mantissa;
wire [size_exponent - 1 : 0] final_exponent;
wire [size_mantissa : 0] rounded_mantissa;
 
assign m_a_number = {1'b1, a_number_i[size_mantissa - 2 :0]};
assign m_a_number = {1'b1, a_number_i[size_mantissa - 2 :0]};
assign m_b_number = {1'b1, b_number_i[size_mantissa - 2 :0]};
assign m_c_number = {1'b1, c_number_i[size_mantissa - 2 :0]};
assign e_a_number = a_number_i[size_mantissa + size_exponent - 1 : size_mantissa - 1];
85,38 → 94,35
.size_mul_mantissa(size_mul_mantissa))
multiply_instance ( .a_mantissa_i(m_a_number),
.b_mantissa_i(m_b_number),
.mul_mantissa(mul_mantissa));
.mul_mantissa(m_ab_mantissa));
assign c_mantissa = {1'b0,m_c_number, {(shift_mantissa_0_bits){1'b0}}};
assign e_ab_number_inter = e_a_number + e_b_number;
assign e_ab_number = e_ab_number_inter - ({1'b1,{(bias_0_bits){1'b0}}} - 1'b1);
assign c_mantissa = {1'b0,m_c_number, {(size_mantissa-1'b1){1'b0}}};
assign exp_ab = e_a_number + e_b_number - ({1'b1,{(size_exponent-1'b1){1'b0}}} - 1'b1);
assign {modify_exp_ab, modify_exp_c, unnormalized_exp} = (exp_ab >= e_c_number)? {8'd0,(exp_ab - e_c_number), exp_ab} : {(e_c_number - exp_ab), 8'd0, {1'b0,e_c_number}};
//find the greater exponent
assign ab_greater_exponent = e_ab_number - e_c_number;
assign c_greater_exponent = e_c_number - e_ab_number;
//find the difference between exponents
assign exp_difference = (ab_greater_exponent[size_exponent])? c_greater_exponent[size_exponent - 1 : 0] : ab_greater_exponent[size_exponent - 1 : 0];
assign exp_inter = (c_greater_exponent[size_exponent])? {1'b0, e_ab_number} : {1'b0, e_c_number};
//instantiate shifter component for mul_mantissa shift, mul_mantissa <=> ab_mantissa
shifter #( .INPUT_SIZE(size_mul_mantissa),
.SHIFT_SIZE(size_exponent),
.OUTPUT_SIZE(size_mul_mantissa + 1'b1),
.DIRECTION(1'b0),
.PIPELINE(pipeline),
.POSITION(pipeline_pos))
shifter_ab_instance( .a(mul_mantissa),
.arith(1'b0),
.shft(modify_exp_ab),
.shifted_a(ab_shifted_mul_mantissa));
//set shifter always on m_ab_number
assign {m_c, m_ab} = (ab_greater_exponent[size_exponent])? {c_mantissa, m_ab_mantissa} :
{m_ab_mantissa, c_mantissa};
//instantiate shifter component for c_mantissa shift
//shift m_ab_number
shifter #( .INPUT_SIZE(size_mul_mantissa),
.SHIFT_SIZE(size_exponent),
.OUTPUT_SIZE(size_mul_mantissa + 1'b1),
.DIRECTION(1'b0),
.PIPELINE(pipeline),
.POSITION(pipeline_pos))
shifter_c_instance( .a(c_mantissa),
.arith(1'b0),
.shft(modify_exp_c),
.shifted_a(c_shifted_mantissa));
.SHIFT_SIZE(size_exponent),
.OUTPUT_SIZE(size_mul_mantissa + size_mantissa),
.DIRECTION(1'b0), //0=right, 1=left
.PIPELINE(pipeline),
.POSITION(pipeline_pos))
m_b_shifter_instance( .a(m_ab),//mantissa
.arith(1'b0),//logical shift
.shft(exp_difference),
.shifted_a({shifted_m_ab, initial_rounding_bits}));
//instantiate effective_op component
124,50 → 130,47
.sign_b(s_b_number),
.sign_c(s_c_number),
.sub(sub),
.eff_sub(eff_sub));
.eff_sub(eff_op));
//instantiate accumulate component
accumulate #( .size_mantissa(size_mantissa),
.size_counter(size_counter),
.size_mul_mantissa(size_mul_mantissa))
accumulate_instance ( .ab_number_i(ab_shifted_mul_mantissa[size_mul_mantissa:1]),
.c_number_i(c_shifted_mantissa[size_mul_mantissa:1]),
.sub(eff_sub),
.ovf(ovf),
.acc_resulting_number_o(acc_resulting_number));
accumulate #(.size_mul_mantissa(size_mul_mantissa))
accumulate_instance ( .m_a(m_c),
.m_b(shifted_m_ab),
.eff_op(eff_op),
.adder_mantissa(adder_mantissa));
//compute unnormalized_mantissa
assign {unnormalized_mantissa, inter_rounding_bits} =
(adder_mantissa[size_mul_mantissa + 1])? ({~adder_mantissa[size_mul_mantissa : 0], ~initial_rounding_bits}) :
({adder_mantissa[size_mul_mantissa : 0], initial_rounding_bits});
//instantiate leading_zeros component
leading_zeros #( .SIZE_INT(size_mul_mantissa + 1'b1),
.SIZE_COUNTER(size_mul_counter),
.PIPELINE(pipeline))
leading_zeros_instance( .a(acc_resulting_number),
.ovf(ovf),
leading_zeros_instance( .a(unnormalized_mantissa[size_mul_mantissa : 0]),
.ovf(unnormalized_mantissa[size_mul_mantissa]),
.lz(lz_mul));
//instantiate shifter component
shifter #( .INPUT_SIZE(size_mul_mantissa + 1'b1),
shifter #( .INPUT_SIZE(size_mul_mantissa + size_mantissa + 1),
.SHIFT_SIZE(size_mul_counter),
.OUTPUT_SIZE(size_mul_mantissa + 2'd2),
.OUTPUT_SIZE(size_mul_mantissa + size_mantissa + 2),
.DIRECTION(1'b1),
.PIPELINE(pipeline),
.POSITION(pipeline_pos))
shifter_instance( .a(acc_resulting_number),
shifter_instance( .a({unnormalized_mantissa, inter_rounding_bits}),
.arith(1'b0),
.shft(lz_mul),
.shifted_a(normalized_mantissa));
//instantiate rounding component
rounding #( .SIZE_MOST_S_MANTISSA(size_mantissa),
.SIZE_LEAST_S_MANTISSA(size_mul_mantissa-size_mantissa+2))
rounding_instance ( .unrounded_mantissa(normalized_mantissa[size_mul_mantissa+1 : size_mul_mantissa+2-size_mantissa]),
.dummy_bits(normalized_mantissa[size_mul_mantissa+1-size_mantissa : 0]),
.rounded_mantissa(rounded_mantissa));
.shifted_a({normalized_mantissa, final_rounding_bits}));
//instantiate rounding_component
rounding #( .SIZE_MOST_S_MANTISSA(size_mantissa+1),
.SIZE_LEAST_S_MANTISSA(size_mul_mantissa+2))
rounding_instance( .unrounded_mantissa({1'b0, normalized_mantissa[size_mul_mantissa+1 : size_mantissa + 2]}),
.dummy_bits({normalized_mantissa[size_mantissa + 1 : 0],final_rounding_bits}),
.rounded_mantissa(rounded_mantissa));
//instantiate special_cases_mul_acc component
special_cases_mul_acc #( .size_exception_field(size_exception_field),
.zero(zero),
178,13 → 181,19
.sp_case_b_number(sp_case_b_number),
.sp_case_c_number(sp_case_c_number),
.sp_case_result_o(sp_case_result_o));
//set zero_flag in case of equal numbers
assign zero_flag = ~(|(rounded_mantissa));
//compute resulted_sign
assign sign_res = (eff_sub)? ((c_shifted_mantissa > ab_shifted_mul_mantissa)? s_c_number : ~s_c_number) : s_c_number;
assign sign_res = (eff_op)? (!c_greater_exponent[size_exponent]?
(!ab_greater_exponent[size_exponent]? ~adder_mantissa[size_mul_mantissa+1] : s_c_number) : ~(s_b_number^s_a_number)) : s_c_number;
assign final_exponent = unnormalized_exp - lz_mul + 2'd2;
assign final_mantissa = rounded_mantissa[size_mantissa-2 : 0];
assign resulting_number_o = {sp_case_result_o, sign_res, final_exponent, final_mantissa};
assign final_mantissa = (rounded_mantissa[size_mantissa])?
(rounded_mantissa[size_mantissa : 1]) :
(rounded_mantissa[size_mantissa-1: 0]);
assign unadjusted_exponent = exp_inter - lz_mul;
assign final_exponent = unadjusted_exponent + 2'd2;
assign resulting_number_o = (zero_flag)? {size{1'b0}} :{sp_case_result_o, sign_res, final_exponent, final_mantissa};
endmodule
/xilinx_virtex_fp_library/trunk/GeneralPrecMAF/accumulate.v
18,15 → 18,12
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module accumulate #( parameter size_mantissa = 24, //mantissa bits
parameter size_counter = 5, //log2(size_quotient) + 1 = 5
parameter size_mul_mantissa = size_mantissa + size_mantissa)
( input [size_mul_mantissa-1:0] ab_number_i,
input [size_mul_mantissa-1:0] c_number_i,
input sub,
output ovf,
output[size_mul_mantissa :0] acc_resulting_number_o);
module accumulate #( parameter size_mul_mantissa = 48) //mantissa bits)
( input [size_mul_mantissa - 1:0] m_a,
input [size_mul_mantissa - 1:0] m_b,
input eff_op,
output[size_mul_mantissa + 1 : 0] adder_mantissa);
 
assign {ovf, acc_resulting_number_o} = sub? ((ab_number_i >=c_number_i)? (ab_number_i - c_number_i) : (c_number_i - ab_number_i)) : c_number_i + ab_number_i;
assign adder_mantissa = (eff_op)? ({1'b0, m_a} - {1'b0, m_b}) : ({1'b0, m_a} + {1'b0, m_b});
 
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.