OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 80 to Rev 81
    Reverse comparison

Rev 80 → Rev 81

/jart/branches/ver0branch/sqrt.vhd
1,4 → 1,14
-- Author : Julian Andres Guarin Reyes.
-- Authors :
-- ***************************************************************************************************
-- Juan Carlos Giraldo Carvajal M.Sc. : came up some morning with this idea.......
-- ***************************************************************************************************
--
-- Julian Andres Guarin Reyes : An easier one : encoded Juan's Idea in this RTL.
--
--
 
 
 
-- Project : JART, Just Another Ray Tracer.
-- email : jguarin2002 at gmail.com, j.guarin at javeriana.edu.co
 
22,8 → 32,8
-- along with JART (Just Another Ray Tracer). If not, see <http://www.gnu.org/licenses/>.
-- A 1 clock x 4 stage pipe square root.
-- The RTL encoding work was done by Julian Andres Guarin Reyes, however the real deal, was Juan Carlos Giraldo Carvajal, who came up with the idea.......
 
 
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.