OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 85 to Rev 86
    Reverse comparison

Rev 85 → Rev 86

/ion/trunk/src/mips_tb2_template.vhdl
357,7 → 357,7
prom(conv_integer(unsigned(prom_rd_addr)))(23 downto 16) when prom_oe_n='0' and sram_address(1 downto 0)="01" else
prom(conv_integer(unsigned(prom_rd_addr)))(15 downto 8) when prom_oe_n='0' and sram_address(1 downto 0)="10" else
prom(conv_integer(unsigned(prom_rd_addr)))( 7 downto 0) when prom_oe_n='0' and sram_address(1 downto 0)="11" else
(others => 'Z');
(others => 'Z');
simulated_io:
416,7 → 416,8
process
begin
log_cpu_activity(clk, reset, done,
"@entity_name@/cpu", log_info, "log_info", log_file);
"@entity_name@/cpu", log_info, "log_info",
@log_trigger_addr@, log_file);
wait;
end process log_execution;
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.