OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 89 to Rev 90
    Reverse comparison

Rev 89 → Rev 90

/raytrac/trunk/fpbranch/ema2.vhd
60,10 → 60,10
ssb(31) <= sb(31);
ssb(30 downto 23) <= sa(30 downto 23)-sb(30 downto 23);
ssb(22 downto 0) <= sb(22 downto 0);
--! zero signaling
ssz <= zb;
--!clasifica a
ssa <= sa;
--! zero
ssz <= za;
else
--!signo,exponente,mantissa
70,10 → 70,10
ssb(31) <= sa(31);
ssb(30 downto 23) <= sb(30 downto 23)-sa(30 downto 23);
ssb(22 downto 0) <= sa(22 downto 0);
--! zero signaling
ssz <= za;
--!clasifica b
ssa <= sb;
--! zero
ssz <= zb;
end if;
--! Tercera etapa corrimiento y normalizaci&oacute;n de mantissas
/raytrac/trunk/fpbranch/ema3.vhd
1,3 → 1,28
------------------------------------------------
--! @file ema3.vhd
--! @brief RayTrac Exponent Managment Adder
--! @author Juli&aacute;n Andr&eacute;s Guar&iacute;n Reyes
--------------------------------------------------
 
 
-- RAYTRAC (FP BRANCH)
-- Author Julian Andres Guarin
-- ema3.vhd
-- This file is part of raytrac.
--
-- raytrac is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- raytrac is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with raytrac. If not, see <http://www.gnu.org/licenses/>
 
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.