OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 91 to Rev 92
    Reverse comparison

Rev 91 → Rev 92

/raytrac/trunk/fpbranch/ema3.vhd
117,7 → 117,7
--! Segunda etapa corrimiento y normalización de mantissas
--! Segunda etapa corrimiento y denormalización de mantissas
s4a <= ssa;
s4sgb <= ssb(31);
s4sgc <= ssc(31);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.