OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 947 to Rev 948
    Reverse comparison

Rev 947 → Rev 948

/trunk/orp/orp_soc/bench/verilog/xess_top.v
44,6 → 44,9
// CVS Revision History
//
// $Log: not supported by cvs2svn $
// Revision 1.1 2002/03/28 19:59:55 lampret
// Added bench directory
//
// Revision 1.2 2002/01/03 08:40:15 lampret
// Added second clock as RISC main clock. Updated or120_monitor.
//
128,7 → 131,7
 
// The xfpga_top
 
xfpga_top i_xess_fpga(
xsv_fpga_top i_xess_fpga(
.clk( clk ),
.rstn( rstn ),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.