OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 958 to Rev 959
    Reverse comparison

Rev 958 → Rev 959

/trunk/orp/orp_soc/rtl/verilog/mem_if/flash_top.v
46,6 → 46,9
// CVS Revision History
//
// $Log: not supported by cvs2svn $
// Revision 1.2 2002/08/12 05:33:50 lampret
// Changed logic when FLASH_GENERIC_REGISTERED
//
// Revision 1.1.1.1 2002/03/21 16:55:44 lampret
// First import of the "new" XESS XSV environment.
//
118,7 → 121,7
//
// Internal wires and regs
//
reg [7:0] mem [2100000:0];
reg [7:0] mem [2097151:0];
wire [31:0] adr;
`ifdef FLASH_GENERIC_REGISTERED
reg wb_err_o;
/trunk/orp/orp_soc/rtl/verilog/mem_if/sram_top.v
46,6 → 46,9
// CVS Revision History
//
// $Log: not supported by cvs2svn $
// Revision 1.2 2002/08/12 05:34:06 lampret
// Added SRAM_GENERIC
//
// Revision 1.1.1.1 2002/03/21 16:55:44 lampret
// First import of the "new" XESS XSV environment.
//
133,7 → 136,7
//
// Internal wires and regs
//
reg [7:0] mem [2100000:0];
reg [7:0] mem [2097151:0];
wire [31:0] adr;
`ifdef SRAM_GENERIC_REGISTERED
reg wb_ack_o;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.