OpenCores
URL https://opencores.org/ocsvn/4-bit-system/4-bit-system/trunk

Subversion Repositories 4-bit-system

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /4-bit-system
    from Rev 1 to Rev 2
    Reverse comparison

Rev 1 → Rev 2

/trunk/README.md
0,0 → 1,2
# opencpu
A 4-bit open system done with Quartus II v12
/trunk/alu1bit.bdf
0,0 → 1,540
/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2012 Altera Corporation
Your use of Altera Corporation's design tools, logic functions
and other software and tools, and its AMPP partner logic
functions, and any output files from any of the foregoing
(including device programming or simulation files), and any
associated documentation or information are expressly subject
to the terms and conditions of the Altera Program License
Subscription Agreement, Altera MegaCore Function License
Agreement, or other applicable license agreement, including,
without limitation, that your use is for the sole purpose of
programming logic devices manufactured by Altera and sold by
Altera or its authorized distributors. Please refer to the
applicable agreement for further details.
*/
(header "graphic" (version "1.4"))
(pin
(input)
(rect 48 144 216 160)
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
(text "operation1" (rect 5 0 55 12)(font "Arial" ))
(pt 168 8)
(drawing
(line (pt 84 12)(pt 109 12))
(line (pt 84 4)(pt 109 4))
(line (pt 113 8)(pt 168 8))
(line (pt 84 12)(pt 84 4))
(line (pt 109 4)(pt 113 8))
(line (pt 109 12)(pt 113 8))
)
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
)
(pin
(input)
(rect 48 112 216 128)
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
(text "operation0" (rect 5 0 55 12)(font "Arial" ))
(pt 168 8)
(drawing
(line (pt 84 12)(pt 109 12))
(line (pt 84 4)(pt 109 4))
(line (pt 113 8)(pt 168 8))
(line (pt 84 12)(pt 84 4))
(line (pt 109 4)(pt 113 8))
(line (pt 109 12)(pt 113 8))
)
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
)
(pin
(input)
(rect 96 240 264 256)
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
(text "a" (rect 5 0 10 12)(font "Arial" ))
(pt 168 8)
(drawing
(line (pt 84 12)(pt 109 12))
(line (pt 84 4)(pt 109 4))
(line (pt 113 8)(pt 168 8))
(line (pt 84 12)(pt 84 4))
(line (pt 109 4)(pt 113 8))
(line (pt 109 12)(pt 113 8))
)
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
)
(pin
(input)
(rect 96 256 264 272)
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
(text "b" (rect 5 0 10 12)(font "Arial" ))
(pt 168 8)
(drawing
(line (pt 84 12)(pt 109 12))
(line (pt 84 4)(pt 109 4))
(line (pt 113 8)(pt 168 8))
(line (pt 84 12)(pt 84 4))
(line (pt 109 4)(pt 113 8))
(line (pt 109 12)(pt 113 8))
)
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
)
(pin
(input)
(rect 24 352 192 368)
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
(text "carryin" (rect 5 0 39 12)(font "Arial" ))
(pt 168 8)
(drawing
(line (pt 84 12)(pt 109 12))
(line (pt 84 4)(pt 109 4))
(line (pt 113 8)(pt 168 8))
(line (pt 84 12)(pt 84 4))
(line (pt 109 4)(pt 113 8))
(line (pt 109 12)(pt 113 8))
)
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
)
(pin
(output)
(rect 608 168 784 184)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "result" (rect 90 0 117 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 472 360 648 376)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "carryout" (rect 90 0 131 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(symbol
(rect 464 144 576 304)
(text "mux4to1" (rect 5 0 53 14)(font "Arial" (font_size 8)))
(text "inst" (rect 8 144 25 156)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "select0" (rect 0 0 41 14)(font "Arial" (font_size 8)))
(text "select0" (rect 21 27 62 41)(font "Arial" (font_size 8)))
(line (pt 0 32)(pt 16 32))
)
(port
(pt 0 48)
(input)
(text "select1" (rect 0 0 41 14)(font "Arial" (font_size 8)))
(text "select1" (rect 21 43 62 57)(font "Arial" (font_size 8)))
(line (pt 0 48)(pt 16 48))
)
(port
(pt 0 64)
(input)
(text "in0" (rect 0 0 16 14)(font "Arial" (font_size 8)))
(text "in0" (rect 21 59 37 73)(font "Arial" (font_size 8)))
(line (pt 0 64)(pt 16 64))
)
(port
(pt 0 80)
(input)
(text "in1" (rect 0 0 16 14)(font "Arial" (font_size 8)))
(text "in1" (rect 21 75 37 89)(font "Arial" (font_size 8)))
(line (pt 0 80)(pt 16 80))
)
(port
(pt 0 96)
(input)
(text "in2" (rect 0 0 16 14)(font "Arial" (font_size 8)))
(text "in2" (rect 21 91 37 105)(font "Arial" (font_size 8)))
(line (pt 0 96)(pt 16 96))
)
(port
(pt 0 112)
(input)
(text "in3" (rect 0 0 16 14)(font "Arial" (font_size 8)))
(text "in3" (rect 21 107 37 121)(font "Arial" (font_size 8)))
(line (pt 0 112)(pt 16 112))
)
(port
(pt 112 32)
(output)
(text "out" (rect 0 0 17 14)(font "Arial" (font_size 8)))
(text "out" (rect 74 27 91 41)(font "Arial" (font_size 8)))
(line (pt 112 32)(pt 96 32))
)
(drawing
(rectangle (rect 16 16 96 144))
)
)
(symbol
(rect 248 296 392 392)
(text "fulladder" (rect 5 0 54 14)(font "Arial" (font_size 8)))
(text "inst2" (rect 8 80 31 92)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "a" (rect 0 0 7 14)(font "Arial" (font_size 8)))
(text "a" (rect 21 27 28 41)(font "Arial" (font_size 8)))
(line (pt 0 32)(pt 16 32))
)
(port
(pt 0 48)
(input)
(text "b" (rect 0 0 7 14)(font "Arial" (font_size 8)))
(text "b" (rect 21 43 28 57)(font "Arial" (font_size 8)))
(line (pt 0 48)(pt 16 48))
)
(port
(pt 0 64)
(input)
(text "carryin" (rect 0 0 40 14)(font "Arial" (font_size 8)))
(text "carryin" (rect 21 59 61 73)(font "Arial" (font_size 8)))
(line (pt 0 64)(pt 16 64))
)
(port
(pt 144 32)
(output)
(text "sum" (rect 0 0 23 14)(font "Arial" (font_size 8)))
(text "sum" (rect 100 27 123 41)(font "Arial" (font_size 8)))
(line (pt 144 32)(pt 128 32))
)
(port
(pt 144 48)
(output)
(text "carryout" (rect 0 0 48 14)(font "Arial" (font_size 8)))
(text "carryout" (rect 75 43 123 57)(font "Arial" (font_size 8)))
(line (pt 144 48)(pt 128 48))
)
(drawing
(rectangle (rect 16 16 128 80))
)
)
(symbol
(rect 336 184 400 232)
(text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
(text "inst3" (rect 3 37 26 49)(font "Arial" ))
(port
(pt 0 16)
(input)
(text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
(text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
(line (pt 0 16)(pt 14 16))
)
(port
(pt 0 32)
(input)
(text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
(text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
(line (pt 0 32)(pt 14 32))
)
(port
(pt 64 24)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(line (pt 42 24)(pt 64 24))
)
(drawing
(line (pt 14 12)(pt 30 12))
(line (pt 14 37)(pt 31 37))
(line (pt 14 12)(pt 14 37))
(arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
)
)
(symbol
(rect 336 232 400 280)
(text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6)))
(text "inst4" (rect 3 37 26 49)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
(text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
(line (pt 0 32)(pt 15 32))
)
(port
(pt 0 16)
(input)
(text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
(text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
(line (pt 0 16)(pt 15 16))
)
(port
(pt 64 24)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(line (pt 48 24)(pt 64 24))
)
(drawing
(line (pt 14 36)(pt 25 36))
(line (pt 14 13)(pt 25 13))
(arc (pt 7 29)(pt 7 19)(rect -14 8 19 41))
(arc (pt 49 24)(pt 25 13)(rect -6 13 57 76))
(arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36))
)
)
(symbol
(rect 488 320 520 352)
(text "GND" (rect 8 16 29 26)(font "Arial" (font_size 6)))
(text "inst5" (rect 3 21 26 33)(font "Arial" )(invisible))
(port
(pt 16 0)
(output)
(text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
(text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
(line (pt 16 8)(pt 16 0))
)
(drawing
(line (pt 8 8)(pt 16 16))
(line (pt 16 16)(pt 24 8))
(line (pt 8 8)(pt 24 8))
)
)
(connector
(pt 392 256)
(pt 400 256)
)
(connector
(pt 400 256)
(pt 440 256)
)
(connector
(pt 440 256)
(pt 440 224)
)
(connector
(pt 440 224)
(pt 464 224)
)
(connector
(pt 400 208)
(pt 464 208)
)
(connector
(pt 576 176)
(pt 608 176)
)
(connector
(pt 608 176)
(pt 616 176)
)
(connector
(pt 384 344)
(pt 392 344)
)
(connector
(pt 392 344)
(pt 456 344)
)
(connector
(pt 456 344)
(pt 456 368)
)
(connector
(pt 456 368)
(pt 472 368)
)
(connector
(pt 472 368)
(pt 480 368)
)
(connector
(pt 392 328)
(pt 448 328)
)
(connector
(pt 448 240)
(pt 448 328)
)
(connector
(pt 448 240)
(pt 464 240)
)
(connector
(pt 464 256)
(pt 456 256)
)
(connector
(pt 456 256)
(pt 456 312)
)
(connector
(pt 456 312)
(pt 504 312)
)
(connector
(pt 504 312)
(pt 504 320)
)
(connector
(pt 248 176)
(pt 248 152)
)
(connector
(pt 248 152)
(pt 216 152)
)
(connector
(pt 344 248)
(pt 336 248)
)
(connector
(pt 256 264)
(pt 264 264)
)
(connector
(pt 336 264)
(pt 344 264)
)
(connector
(pt 344 200)
(pt 336 200)
)
(connector
(pt 336 200)
(pt 304 200)
)
(connector
(pt 336 248)
(pt 304 248)
)
(connector
(pt 304 248)
(pt 264 248)
)
(connector
(pt 304 200)
(pt 304 248)
)
(connector
(pt 304 248)
(pt 304 280)
)
(connector
(pt 304 280)
(pt 232 280)
)
(connector
(pt 232 280)
(pt 232 328)
)
(connector
(pt 232 328)
(pt 248 328)
)
(connector
(pt 344 216)
(pt 336 216)
)
(connector
(pt 336 216)
(pt 280 216)
)
(connector
(pt 264 264)
(pt 280 264)
)
(connector
(pt 280 264)
(pt 336 264)
)
(connector
(pt 280 216)
(pt 280 264)
)
(connector
(pt 280 264)
(pt 280 272)
)
(connector
(pt 280 272)
(pt 216 272)
)
(connector
(pt 216 272)
(pt 216 344)
)
(connector
(pt 216 344)
(pt 248 344)
)
(connector
(pt 248 360)
(pt 192 360)
)
(connector
(pt 192 360)
(pt 184 360)
)
(connector
(pt 272 152)
(pt 440 152)
)
(connector
(pt 440 152)
(pt 440 176)
)
(connector
(pt 440 176)
(pt 464 176)
)
(connector
(pt 464 176)
(pt 472 176)
)
(connector
(pt 248 176)
(pt 424 176)
)
(connector
(pt 424 176)
(pt 424 192)
)
(connector
(pt 424 192)
(pt 464 192)
)
(connector
(pt 272 152)
(pt 272 120)
)
(connector
(pt 216 120)
(pt 272 120)
)
(junction (pt 400 256))
(junction (pt 608 176))
(junction (pt 392 344))
(junction (pt 472 368))
(junction (pt 336 248))
(junction (pt 264 264))
(junction (pt 336 264))
(junction (pt 336 200))
(junction (pt 304 248))
(junction (pt 336 216))
(junction (pt 280 264))
(junction (pt 192 360))
(junction (pt 464 176))
trunk/alu1bit.bdf Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/alu1bit.sof =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/alu1bit.sof =================================================================== --- trunk/alu1bit.sof (nonexistent) +++ trunk/alu1bit.sof (revision 2)
trunk/alu1bit.sof Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/alu4bit.bdf =================================================================== --- trunk/alu4bit.bdf (nonexistent) +++ trunk/alu4bit.bdf (revision 2) @@ -0,0 +1,931 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2012 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 192 288 360 304) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "b3" (rect 5 0 16 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 136 304 192 320)) +) +(pin + (input) + (rect 192 256 360 272) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "b2" (rect 5 0 16 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 128 272 192 288)) +) +(pin + (input) + (rect 184 232 352 248) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "b1" (rect 5 0 16 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 120 248 184 264)) +) +(pin + (input) + (rect 184 200 352 216) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "b0" (rect 5 0 16 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 120 216 184 232)) +) +(pin + (input) + (rect 192 168 360 184) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "a3" (rect 5 0 16 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 128 184 192 200)) +) +(pin + (input) + (rect 184 144 352 160) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "a2" (rect 5 0 16 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 128 160 184 176)) +) +(pin + (input) + (rect 184 112 352 128) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "a1" (rect 5 0 16 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 128 128 184 144)) +) +(pin + (input) + (rect 184 88 352 104) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "a0" (rect 5 0 16 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 128 104 184 120)) +) +(pin + (input) + (rect 184 64 352 80) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "operation0" (rect 5 0 55 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 128 80 184 96)) +) +(pin + (input) + (rect 184 16 352 32) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "operation1" (rect 5 0 55 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 128 32 184 48)) +) +(pin + (input) + (rect 176 -24 344 -8) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "cin" (rect 5 0 20 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 112 -8 176 8)) +) +(pin + (output) + (rect 912 248 1088 264) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "result0" (rect 90 0 123 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1088 264 1152 280)) +) +(pin + (output) + (rect 912 280 1088 296) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "result1" (rect 90 0 123 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1088 296 1152 312)) +) +(pin + (output) + (rect 912 304 1088 320) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "result2" (rect 90 0 123 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1088 320 1152 336)) +) +(pin + (output) + (rect 912 336 1088 352) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "result3" (rect 90 0 123 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1088 352 1144 368)) +) +(pin + (output) + (rect 912 376 1088 392) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "carryout" (rect 90 0 131 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1088 392 1144 408)) +) +(symbol + (rect 568 272 728 400) + (text "alu1bit" (rect 5 0 41 14)(font "Arial" (font_size 8))) + (text "inst" (rect 8 112 25 124)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "operation1" (rect 0 0 60 14)(font "Arial" (font_size 8))) + (text "operation1" (rect 21 27 81 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "operation0" (rect 0 0 60 14)(font "Arial" (font_size 8))) + (text "operation0" (rect 21 43 81 57)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "a" (rect 0 0 7 14)(font "Arial" (font_size 8))) + (text "a" (rect 21 59 28 73)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "b" (rect 0 0 7 14)(font "Arial" (font_size 8))) + (text "b" (rect 21 75 28 89)(font "Arial" (font_size 8))) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 0 96) + (input) + (text "carryin" (rect 0 0 40 14)(font "Arial" (font_size 8))) + (text "carryin" (rect 21 91 61 105)(font "Arial" (font_size 8))) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 160 32) + (output) + (text "result" (rect 0 0 31 14)(font "Arial" (font_size 8))) + (text "result" (rect 108 27 139 41)(font "Arial" (font_size 8))) + (line (pt 160 32)(pt 144 32)) + ) + (port + (pt 160 48) + (output) + (text "carryout" (rect 0 0 48 14)(font "Arial" (font_size 8))) + (text "carryout" (rect 91 43 139 57)(font "Arial" (font_size 8))) + (line (pt 160 48)(pt 144 48)) + ) + (drawing + (rectangle (rect 16 16 144 112)) + ) +) +(symbol + (rect 568 136 728 264) + (text "alu1bit" (rect 5 0 41 14)(font "Arial" (font_size 8))) + (text "inst1" (rect 8 112 31 124)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "operation1" (rect 0 0 60 14)(font "Arial" (font_size 8))) + (text "operation1" (rect 21 27 81 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "operation0" (rect 0 0 60 14)(font "Arial" (font_size 8))) + (text "operation0" (rect 21 43 81 57)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "a" (rect 0 0 7 14)(font "Arial" (font_size 8))) + (text "a" (rect 21 59 28 73)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "b" (rect 0 0 7 14)(font "Arial" (font_size 8))) + (text "b" (rect 21 75 28 89)(font "Arial" (font_size 8))) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 0 96) + (input) + (text "carryin" (rect 0 0 40 14)(font "Arial" (font_size 8))) + (text "carryin" (rect 21 91 61 105)(font "Arial" (font_size 8))) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 160 32) + (output) + (text "result" (rect 0 0 31 14)(font "Arial" (font_size 8))) + (text "result" (rect 108 27 139 41)(font "Arial" (font_size 8))) + (line (pt 160 32)(pt 144 32)) + ) + (port + (pt 160 48) + (output) + (text "carryout" (rect 0 0 48 14)(font "Arial" (font_size 8))) + (text "carryout" (rect 91 43 139 57)(font "Arial" (font_size 8))) + (line (pt 160 48)(pt 144 48)) + ) + (drawing + (rectangle (rect 16 16 144 112)) + ) +) +(symbol + (rect 568 -8 728 120) + (text "alu1bit" (rect 5 0 41 14)(font "Arial" (font_size 8))) + (text "inst2" (rect 8 112 31 124)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "operation1" (rect 0 0 60 14)(font "Arial" (font_size 8))) + (text "operation1" (rect 21 27 81 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "operation0" (rect 0 0 60 14)(font "Arial" (font_size 8))) + (text "operation0" (rect 21 43 81 57)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "a" (rect 0 0 7 14)(font "Arial" (font_size 8))) + (text "a" (rect 21 59 28 73)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "b" (rect 0 0 7 14)(font "Arial" (font_size 8))) + (text "b" (rect 21 75 28 89)(font "Arial" (font_size 8))) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 0 96) + (input) + (text "carryin" (rect 0 0 40 14)(font "Arial" (font_size 8))) + (text "carryin" (rect 21 91 61 105)(font "Arial" (font_size 8))) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 160 32) + (output) + (text "result" (rect 0 0 31 14)(font "Arial" (font_size 8))) + (text "result" (rect 108 27 139 41)(font "Arial" (font_size 8))) + (line (pt 160 32)(pt 144 32)) + ) + (port + (pt 160 48) + (output) + (text "carryout" (rect 0 0 48 14)(font "Arial" (font_size 8))) + (text "carryout" (rect 91 43 139 57)(font "Arial" (font_size 8))) + (line (pt 160 48)(pt 144 48)) + ) + (drawing + (rectangle (rect 16 16 144 112)) + ) +) +(symbol + (rect 568 408 728 536) + (text "alu1bit" (rect 5 0 41 14)(font "Arial" (font_size 8))) + (text "inst3" (rect 8 112 31 124)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "operation1" (rect 0 0 60 14)(font "Arial" (font_size 8))) + (text "operation1" (rect 21 27 81 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "operation0" (rect 0 0 60 14)(font "Arial" (font_size 8))) + (text "operation0" (rect 21 43 81 57)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "a" (rect 0 0 7 14)(font "Arial" (font_size 8))) + (text "a" (rect 21 59 28 73)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "b" (rect 0 0 7 14)(font "Arial" (font_size 8))) + (text "b" (rect 21 75 28 89)(font "Arial" (font_size 8))) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 0 96) + (input) + (text "carryin" (rect 0 0 40 14)(font "Arial" (font_size 8))) + (text "carryin" (rect 21 91 61 105)(font "Arial" (font_size 8))) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 160 32) + (output) + (text "result" (rect 0 0 31 14)(font "Arial" (font_size 8))) + (text "result" (rect 108 27 139 41)(font "Arial" (font_size 8))) + (line (pt 160 32)(pt 144 32)) + ) + (port + (pt 160 48) + (output) + (text "carryout" (rect 0 0 48 14)(font "Arial" (font_size 8))) + (text "carryout" (rect 91 43 139 57)(font "Arial" (font_size 8))) + (line (pt 160 48)(pt 144 48)) + ) + (drawing + (rectangle (rect 16 16 144 112)) + ) +) +(connector + (pt 880 256) + (pt 912 256) +) +(connector + (pt 904 384) + (pt 912 384) +) +(connector + (pt 888 344) + (pt 912 344) +) +(connector + (pt 888 312) + (pt 912 312) +) +(connector + (pt 912 312) + (pt 920 312) +) +(connector + (pt 864 288) + (pt 912 288) +) +(connector + (pt 560 232) + (pt 568 232) +) +(connector + (pt 864 288) + (pt 864 168) +) +(connector + (pt 720 168) + (pt 728 168) +) +(connector + (pt 728 168) + (pt 864 168) +) +(connector + (pt 720 184) + (pt 728 184) +) +(connector + (pt 728 184) + (pt 768 184) +) +(connector + (pt 344 96) + (pt 352 96) +) +(connector + (pt 352 96) + (pt 520 96) +) +(connector + (pt 520 56) + (pt 520 96) +) +(connector + (pt 520 56) + (pt 568 56) +) +(connector + (pt 880 256) + (pt 880 40) +) +(connector + (pt 880 40) + (pt 872 40) +) +(connector + (pt 872 40) + (pt 872 24) +) +(connector + (pt 872 24) + (pt 728 24) +) +(connector + (pt 344 120) + (pt 352 120) +) +(connector + (pt 352 120) + (pt 512 120) +) +(connector + (pt 512 120) + (pt 512 200) +) +(connector + (pt 512 200) + (pt 568 200) +) +(connector + (pt 520 240) + (pt 520 216) +) +(connector + (pt 344 240) + (pt 352 240) +) +(connector + (pt 352 240) + (pt 520 240) +) +(connector + (pt 520 216) + (pt 568 216) +) +(connector + (pt 344 152) + (pt 352 152) +) +(connector + (pt 352 152) + (pt 496 152) +) +(connector + (pt 360 176) + (pt 472 176) +) +(connector + (pt 352 264) + (pt 360 264) +) +(connector + (pt 360 264) + (pt 536 264) +) +(connector + (pt 352 296) + (pt 360 296) +) +(connector + (pt 360 296) + (pt 456 296) +) +(connector + (pt 728 40) + (pt 752 40) +) +(connector + (pt 344 72) + (pt 352 72) +) +(connector + (pt 496 72) + (pt 496 40) +) +(connector + (pt 496 40) + (pt 568 40) +) +(connector + (pt 352 72) + (pt 480 72) +) +(connector + (pt 480 72) + (pt 496 72) +) +(connector + (pt 480 176) + (pt 568 176) +) +(connector + (pt 568 176) + (pt 568 184) +) +(connector + (pt 480 72) + (pt 480 176) +) +(connector + (pt 432 168) + (pt 568 168) +) +(connector + (pt 336 416) + (pt 336 408) +) +(connector + (pt 352 208) + (pt 448 208) +) +(connector + (pt 448 208) + (pt 448 80) +) +(connector + (pt 448 80) + (pt 568 80) +) +(connector + (pt 568 80) + (pt 568 72) +) +(connector + (pt 888 320) + (pt 896 320) +) +(connector + (pt 752 272) + (pt 560 272) +) +(connector + (pt 560 232) + (pt 560 272) +) +(connector + (pt 752 40) + (pt 752 272) +) +(connector + (pt 560 368) + (pt 568 368) +) +(connector + (pt 496 152) + (pt 496 336) +) +(connector + (pt 496 336) + (pt 568 336) +) +(connector + (pt 536 264) + (pt 536 352) +) +(connector + (pt 536 352) + (pt 568 352) +) +(connector + (pt 432 304) + (pt 568 304) +) +(connector + (pt 888 304) + (pt 728 304) +) +(connector + (pt 888 304) + (pt 888 312) +) +(connector + (pt 888 312) + (pt 888 320) +) +(connector + (pt 480 320) + (pt 568 320) +) +(connector + (pt 568 320) + (pt 576 320) +) +(connector + (pt 720 320) + (pt 728 320) +) +(connector + (pt 728 320) + (pt 784 320) +) +(connector + (pt 768 408) + (pt 560 408) +) +(connector + (pt 768 184) + (pt 768 408) +) +(connector + (pt 560 368) + (pt 560 408) +) +(connector + (pt 904 384) + (pt 904 456) +) +(connector + (pt 888 344) + (pt 888 440) +) +(connector + (pt 472 176) + (pt 472 472) +) +(connector + (pt 472 472) + (pt 568 472) +) +(connector + (pt 456 296) + (pt 456 488) +) +(connector + (pt 456 488) + (pt 568 488) +) +(connector + (pt 480 456) + (pt 568 456) +) +(connector + (pt 432 440) + (pt 568 440) +) +(connector + (pt 560 504) + (pt 568 504) +) +(connector + (pt 432 168) + (pt 432 304) +) +(connector + (pt 432 304) + (pt 432 440) +) +(connector + (pt 480 176) + (pt 480 320) +) +(connector + (pt 480 320) + (pt 480 456) +) +(connector + (pt 720 440) + (pt 728 440) +) +(connector + (pt 728 440) + (pt 888 440) +) +(connector + (pt 720 456) + (pt 728 456) +) +(connector + (pt 728 456) + (pt 904 456) +) +(connector + (pt 560 544) + (pt 784 544) +) +(connector + (pt 784 320) + (pt 784 544) +) +(connector + (pt 560 504) + (pt 560 544) +) +(connector + (pt 344 24) + (pt 352 24) +) +(connector + (pt 432 24) + (pt 432 168) +) +(connector + (pt 352 24) + (pt 432 24) +) +(connector + (pt 432 24) + (pt 568 24) +) +(connector + (pt 528 88) + (pt 568 88) +) +(connector + (pt 528 -16) + (pt 344 -16) +) +(connector + (pt 528 88) + (pt 528 -16) +) +(junction (pt 912 312)) +(junction (pt 728 184)) +(junction (pt 728 168)) +(junction (pt 352 96)) +(junction (pt 352 120)) +(junction (pt 352 240)) +(junction (pt 352 152)) +(junction (pt 360 264)) +(junction (pt 360 296)) +(junction (pt 352 72)) +(junction (pt 480 72)) +(junction (pt 480 176)) +(junction (pt 432 168)) +(junction (pt 432 304)) +(junction (pt 888 312)) +(junction (pt 480 320)) +(junction (pt 568 320)) +(junction (pt 728 320)) +(junction (pt 728 456)) +(junction (pt 728 440)) +(junction (pt 352 24)) +(junction (pt 432 24))
trunk/alu4bit.bdf Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/alu4bit.sof =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/alu4bit.sof =================================================================== --- trunk/alu4bit.sof (nonexistent) +++ trunk/alu4bit.sof (revision 2)
trunk/alu4bit.sof Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/decoder2to4.bdf =================================================================== --- trunk/decoder2to4.bdf (nonexistent) +++ trunk/decoder2to4.bdf (revision 2) @@ -0,0 +1,450 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2012 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 64 48 232 64) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "select0" (rect 5 0 40 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 64 96 232 112) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "select1" (rect 5 0 40 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 608 88 784 104) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "out0" (rect 90 0 111 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 600 144 776 160) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "out1" (rect 90 0 111 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 608 208 784 224) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "out2" (rect 90 0 111 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 600 272 776 288) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "out3" (rect 90 0 111 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 528 256 592 304) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst" (rect 3 37 20 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 528 192 592 240) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst1" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 528 128 592 176) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst2" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 528 72 592 120) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 280 40 328 72) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst4" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 280 88 328 120) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(connector + (pt 224 56) + (pt 232 56) +) +(connector + (pt 224 104) + (pt 232 104) +) +(connector + (pt 528 88) + (pt 504 88) +) +(connector + (pt 504 88) + (pt 504 56) +) +(connector + (pt 592 96) + (pt 608 96) +) +(connector + (pt 608 96) + (pt 616 96) +) +(connector + (pt 232 56) + (pt 272 56) +) +(connector + (pt 272 56) + (pt 280 56) +) +(connector + (pt 232 104) + (pt 256 104) +) +(connector + (pt 256 104) + (pt 280 104) +) +(connector + (pt 256 288) + (pt 528 288) +) +(connector + (pt 272 272) + (pt 528 272) +) +(connector + (pt 528 272) + (pt 536 272) +) +(connector + (pt 584 280) + (pt 592 280) +) +(connector + (pt 592 280) + (pt 600 280) +) +(connector + (pt 600 280) + (pt 608 280) +) +(connector + (pt 464 208) + (pt 528 208) +) +(connector + (pt 464 56) + (pt 464 208) +) +(connector + (pt 256 224) + (pt 528 224) +) +(connector + (pt 328 56) + (pt 464 56) +) +(connector + (pt 464 56) + (pt 504 56) +) +(connector + (pt 256 104) + (pt 256 224) +) +(connector + (pt 256 224) + (pt 256 288) +) +(connector + (pt 584 216) + (pt 592 216) +) +(connector + (pt 592 216) + (pt 608 216) +) +(connector + (pt 496 104) + (pt 496 160) +) +(connector + (pt 496 160) + (pt 528 160) +) +(connector + (pt 272 56) + (pt 272 144) +) +(connector + (pt 272 144) + (pt 272 272) +) +(connector + (pt 328 104) + (pt 496 104) +) +(connector + (pt 496 104) + (pt 528 104) +) +(connector + (pt 584 152) + (pt 592 152) +) +(connector + (pt 592 152) + (pt 600 152) +) +(connector + (pt 272 144) + (pt 528 144) +) +(connector + (pt 528 144) + (pt 536 144) +) +(junction (pt 232 56)) +(junction (pt 232 104)) +(junction (pt 608 96)) +(junction (pt 272 56)) +(junction (pt 496 104)) +(junction (pt 464 56)) +(junction (pt 256 104)) +(junction (pt 528 272)) +(junction (pt 592 280)) +(junction (pt 600 280)) +(junction (pt 256 224)) +(junction (pt 592 216)) +(junction (pt 272 144)) +(junction (pt 528 144)) +(junction (pt 592 152))
trunk/decoder2to4.bdf Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/decoder2to4.sof =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/decoder2to4.sof =================================================================== --- trunk/decoder2to4.sof (nonexistent) +++ trunk/decoder2to4.sof (revision 2)
trunk/decoder2to4.sof Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/fulladder.bdf =================================================================== --- trunk/fulladder.bdf (nonexistent) +++ trunk/fulladder.bdf (revision 2) @@ -0,0 +1,409 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2012 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 80 184 248 200) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "a" (rect 5 0 11 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 80 200 248 216) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "b" (rect 5 0 11 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 80 224 248 240) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "carryin" (rect 5 0 40 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 616 352 792 368) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "carryout" (rect 90 0 131 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 528 216 704 232) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "sum" (rect 90 0 111 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 432 200 496 248) + (text "XOR" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst" (rect 3 37 20 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 11 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 11 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 49 24)(pt 64 24)) + ) + (drawing + (line (pt 14 13)(pt 25 13)) + (line (pt 14 36)(pt 25 36)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + (arc (pt 8 36)(pt 8 12)(rect -21 7 14 42)) + ) +) +(symbol + (rect 296 176 360 224) + (text "XOR" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst1" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 11 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 11 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 49 24)(pt 64 24)) + ) + (drawing + (line (pt 14 13)(pt 25 13)) + (line (pt 14 36)(pt 25 36)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + (arc (pt 8 36)(pt 8 12)(rect -21 7 14 42)) + ) +) +(symbol + (rect 440 376 504 424) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 440 304 504 352) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst4" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 536 336 600 384) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst9" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(connector + (pt 496 328) + (pt 504 328) +) +(connector + (pt 504 328) + (pt 528 328) +) +(connector + (pt 528 328) + (pt 528 352) +) +(connector + (pt 528 352) + (pt 536 352) +) +(connector + (pt 504 400) + (pt 528 400) +) +(connector + (pt 528 400) + (pt 528 368) +) +(connector + (pt 528 368) + (pt 536 368) +) +(connector + (pt 440 320) + (pt 408 320) +) +(connector + (pt 408 320) + (pt 408 216) +) +(connector + (pt 376 216) + (pt 408 216) +) +(connector + (pt 408 216) + (pt 432 216) +) +(connector + (pt 376 216) + (pt 376 200) +) +(connector + (pt 376 200) + (pt 360 200) +) +(connector + (pt 360 200) + (pt 352 200) +) +(connector + (pt 440 392) + (pt 288 392) +) +(connector + (pt 288 392) + (pt 288 192) +) +(connector + (pt 440 408) + (pt 272 408) +) +(connector + (pt 272 408) + (pt 272 208) +) +(connector + (pt 592 360) + (pt 600 360) +) +(connector + (pt 600 360) + (pt 616 360) +) +(connector + (pt 240 192) + (pt 248 192) +) +(connector + (pt 248 192) + (pt 288 192) +) +(connector + (pt 288 192) + (pt 296 192) +) +(connector + (pt 248 208) + (pt 272 208) +) +(connector + (pt 272 208) + (pt 296 208) +) +(connector + (pt 440 232) + (pt 432 232) +) +(connector + (pt 448 336) + (pt 440 336) +) +(connector + (pt 440 336) + (pt 392 336) +) +(connector + (pt 392 336) + (pt 392 232) +) +(connector + (pt 432 232) + (pt 392 232) +) +(connector + (pt 392 232) + (pt 248 232) +) +(connector + (pt 488 224) + (pt 496 224) +) +(connector + (pt 496 224) + (pt 528 224) +) +(junction (pt 504 328)) +(junction (pt 408 216)) +(junction (pt 360 200)) +(junction (pt 288 192)) +(junction (pt 600 360)) +(junction (pt 248 192)) +(junction (pt 272 208)) +(junction (pt 432 232)) +(junction (pt 440 336)) +(junction (pt 392 232)) +(junction (pt 496 224))
trunk/fulladder.bdf Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/fulladder.sof =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/fulladder.sof =================================================================== --- trunk/fulladder.sof (nonexistent) +++ trunk/fulladder.sof (revision 2)
trunk/fulladder.sof Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/light.bdf =================================================================== --- trunk/light.bdf (nonexistent) +++ trunk/light.bdf (revision 2) @@ -0,0 +1,337 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2012 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 88 200 256 216) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "x1" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 80 408 248 424) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "x2" (rect 5 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 736 296 912 312) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "f" (rect 90 0 94 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 512 384 576 432) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst" (rect 3 37 20 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 512 192 576 240) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst1" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 632 280 696 328) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst2" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 400 296 432 344) + (text "NOT" (rect 0 27 10 47)(font "Arial" (font_size 6))(vertical)) + (text "inst3" (rect 21 22 33 45)(font "Arial" )(vertical)) + (port + (pt 16 48) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 7 35 19 46)(font "Courier New" (bold))(vertical)(invisible)) + (line (pt 16 48)(pt 16 35)) + ) + (port + (pt 16 0) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 7 -1 19 16)(font "Courier New" (bold))(vertical)(invisible)) + (line (pt 16 9)(pt 16 0)) + ) + (drawing + (line (pt 25 35)(pt 7 35)) + (line (pt 7 35)(pt 16 17)) + (line (pt 25 35)(pt 16 17)) + (circle (rect 12 9 20 17)) + ) + (rotate90) +) +(symbol + (rect 304 296 336 344) + (text "NOT" (rect 22 1 32 21)(font "Arial" (font_size 6))(vertical)) + (text "inst4" (rect -1 3 11 26)(font "Arial" )(vertical)) + (port + (pt 16 0) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 13 2 25 13)(font "Courier New" (bold))(vertical)(invisible)) + (line (pt 16 0)(pt 16 13)) + ) + (port + (pt 16 48) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 13 32 25 49)(font "Courier New" (bold))(vertical)(invisible)) + (line (pt 16 39)(pt 16 48)) + ) + (drawing + (line (pt 7 13)(pt 25 13)) + (line (pt 25 13)(pt 16 31)) + (line (pt 7 13)(pt 16 31)) + (circle (rect 12 31 20 39)) + ) + (rotate270) +) +(connector + (pt 520 208) + (pt 520 200) +) +(connector + (pt 512 208) + (pt 520 208) +) +(connector + (pt 520 416) + (pt 520 424) +) +(connector + (pt 512 416) + (pt 520 416) +) +(connector + (pt 416 344) + (pt 416 416) +) +(connector + (pt 248 416) + (pt 416 416) +) +(connector + (pt 416 416) + (pt 512 416) +) +(connector + (pt 512 400) + (pt 320 400) +) +(connector + (pt 320 400) + (pt 320 344) +) +(connector + (pt 320 296) + (pt 320 208) +) +(connector + (pt 256 208) + (pt 320 208) +) +(connector + (pt 320 208) + (pt 512 208) +) +(connector + (pt 512 224) + (pt 416 224) +) +(connector + (pt 416 224) + (pt 416 296) +) +(connector + (pt 576 216) + (pt 608 216) + (bus) +) +(connector + (pt 608 216) + (pt 608 296) + (bus) +) +(connector + (pt 608 296) + (pt 632 296) + (bus) +) +(connector + (pt 632 296) + (pt 640 296) + (bus) +) +(connector + (pt 688 304) + (pt 696 304) + (bus) +) +(connector + (pt 696 304) + (pt 736 304) + (bus) +) +(connector + (pt 736 304) + (pt 744 304) + (bus) +) +(connector + (pt 576 408) + (pt 616 408) + (bus) +) +(connector + (pt 616 408) + (pt 616 312) + (bus) +) +(connector + (pt 608 312) + (pt 616 312) + (bus) +) +(connector + (pt 616 312) + (pt 632 312) + (bus) +) +(junction (pt 512 208)) +(junction (pt 512 416)) +(junction (pt 416 416)) +(junction (pt 320 208)) +(junction (pt 632 296)) +(junction (pt 696 304)) +(junction (pt 736 304)) +(junction (pt 616 312))
trunk/light.bdf Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/light.sof =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/light.sof =================================================================== --- trunk/light.sof (nonexistent) +++ trunk/light.sof (revision 2)
trunk/light.sof Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/mux4to1.bdf =================================================================== --- trunk/mux4to1.bdf (nonexistent) +++ trunk/mux4to1.bdf (revision 2) @@ -0,0 +1,596 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2012 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 16 104 184 120) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "select0" (rect 5 0 41 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 120 184 136) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "select1" (rect 5 0 41 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 32 232 200 248) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "in0" (rect 5 0 20 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 32 280 200 296) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "in1" (rect 5 0 20 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 32 320 200 336) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "in2" (rect 5 0 20 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 32 360 200 376) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "in3" (rect 5 0 20 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 656 328 832 344) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "out" (rect 90 0 105 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 232 80 352 208) + (text "decoder2to4" (rect 5 0 76 14)(font "Arial" (font_size 8))) + (text "inst" (rect 8 112 25 124)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "select0" (rect 0 0 41 14)(font "Arial" (font_size 8))) + (text "select0" (rect 21 27 62 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "select1" (rect 0 0 41 14)(font "Arial" (font_size 8))) + (text "select1" (rect 21 43 62 57)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 120 32) + (output) + (text "out0" (rect 0 0 24 14)(font "Arial" (font_size 8))) + (text "out0" (rect 75 27 99 41)(font "Arial" (font_size 8))) + (line (pt 120 32)(pt 104 32)) + ) + (port + (pt 120 48) + (output) + (text "out1" (rect 0 0 24 14)(font "Arial" (font_size 8))) + (text "out1" (rect 75 43 99 57)(font "Arial" (font_size 8))) + (line (pt 120 48)(pt 104 48)) + ) + (port + (pt 120 64) + (output) + (text "out2" (rect 0 0 24 14)(font "Arial" (font_size 8))) + (text "out2" (rect 75 59 99 73)(font "Arial" (font_size 8))) + (line (pt 120 64)(pt 104 64)) + ) + (port + (pt 120 80) + (output) + (text "out3" (rect 0 0 24 14)(font "Arial" (font_size 8))) + (text "out3" (rect 75 75 99 89)(font "Arial" (font_size 8))) + (line (pt 120 80)(pt 104 80)) + ) + (drawing + (rectangle (rect 16 16 104 112)) + ) +) +(symbol + (rect 584 296 648 376) + (text "OR4" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst2" (rect 3 69 26 81)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 0 48) + (input) + (text "IN3" (rect 2 39 19 51)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 39 19 51)(font "Courier New" (bold))(invisible)) + (line (pt 0 48)(pt 15 48)) + ) + (port + (pt 0 64) + (input) + (text "IN4" (rect 2 55 19 67)(font "Courier New" (bold))(invisible)) + (text "IN4" (rect 2 55 19 67)(font "Courier New" (bold))(invisible)) + (line (pt 0 64)(pt 13 64)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 64 40) + (output) + (text "OUT" (rect 48 31 65 43)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 31 65 43)(font "Courier New" (bold))(invisible)) + (line (pt 48 40)(pt 64 40)) + ) + (drawing + (line (pt 13 67)(pt 13 51)) + (line (pt 25 52)(pt 13 52)) + (line (pt 13 12)(pt 13 29)) + (line (pt 13 29)(pt 25 29)) + (arc (pt 7 45)(pt 7 35)(rect -14 24 19 57)) + (arc (pt 49 40)(pt 25 29)(rect -6 29 57 92)) + (arc (pt 25 51)(pt 49 40)(rect -6 -11 57 52)) + ) +) +(symbol + (rect 472 392 536 440) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 472 344 536 392) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst4" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 472 296 536 344) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 472 248 536 296) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst6" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(connector + (pt 528 416) + (pt 536 416) +) +(connector + (pt 536 416) + (pt 568 416) +) +(connector + (pt 568 416) + (pt 568 360) +) +(connector + (pt 568 360) + (pt 584 360) +) +(connector + (pt 528 368) + (pt 536 368) +) +(connector + (pt 536 368) + (pt 552 368) +) +(connector + (pt 552 368) + (pt 552 344) +) +(connector + (pt 552 344) + (pt 584 344) +) +(connector + (pt 536 320) + (pt 552 320) +) +(connector + (pt 552 320) + (pt 552 328) +) +(connector + (pt 552 328) + (pt 584 328) +) +(connector + (pt 528 272) + (pt 536 272) +) +(connector + (pt 536 272) + (pt 576 272) +) +(connector + (pt 576 272) + (pt 576 312) +) +(connector + (pt 576 312) + (pt 584 312) +) +(connector + (pt 480 264) + (pt 472 264) +) +(connector + (pt 472 264) + (pt 224 264) +) +(connector + (pt 224 240) + (pt 224 264) +) +(connector + (pt 224 264) + (pt 224 272) +) +(connector + (pt 224 240) + (pt 200 240) +) +(connector + (pt 480 280) + (pt 472 280) +) +(connector + (pt 472 280) + (pt 400 280) +) +(connector + (pt 400 280) + (pt 400 112) +) +(connector + (pt 400 112) + (pt 352 112) +) +(connector + (pt 480 312) + (pt 472 312) +) +(connector + (pt 472 312) + (pt 224 312) +) +(connector + (pt 224 288) + (pt 224 312) +) +(connector + (pt 224 312) + (pt 224 320) +) +(connector + (pt 224 288) + (pt 200 288) +) +(connector + (pt 480 360) + (pt 472 360) +) +(connector + (pt 472 360) + (pt 208 360) +) +(connector + (pt 208 328) + (pt 208 360) +) +(connector + (pt 208 328) + (pt 200 328) +) +(connector + (pt 480 408) + (pt 472 408) +) +(connector + (pt 472 408) + (pt 216 408) +) +(connector + (pt 216 408) + (pt 216 368) +) +(connector + (pt 216 368) + (pt 200 368) +) +(connector + (pt 648 336) + (pt 656 336) +) +(connector + (pt 656 336) + (pt 664 336) +) +(connector + (pt 480 328) + (pt 472 328) +) +(connector + (pt 472 328) + (pt 392 328) +) +(connector + (pt 392 128) + (pt 392 328) +) +(connector + (pt 344 128) + (pt 352 128) +) +(connector + (pt 352 128) + (pt 392 128) +) +(connector + (pt 480 376) + (pt 472 376) +) +(connector + (pt 472 376) + (pt 376 376) +) +(connector + (pt 376 144) + (pt 376 376) +) +(connector + (pt 376 144) + (pt 352 144) +) +(connector + (pt 480 424) + (pt 472 424) +) +(connector + (pt 472 424) + (pt 360 424) +) +(connector + (pt 360 424) + (pt 360 160) +) +(connector + (pt 360 160) + (pt 352 160) +) +(connector + (pt 184 112) + (pt 232 112) +) +(connector + (pt 176 128) + (pt 184 128) +) +(connector + (pt 184 128) + (pt 232 128) +) +(junction (pt 536 416)) +(junction (pt 536 368)) +(junction (pt 536 272)) +(junction (pt 472 264)) +(junction (pt 224 264)) +(junction (pt 472 280)) +(junction (pt 472 312)) +(junction (pt 224 312)) +(junction (pt 472 360)) +(junction (pt 472 408)) +(junction (pt 656 336)) +(junction (pt 472 328)) +(junction (pt 352 128)) +(junction (pt 472 376)) +(junction (pt 472 424)) +(junction (pt 184 128))
trunk/mux4to1.bdf Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/mux4to1.sof =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/mux4to1.sof =================================================================== --- trunk/mux4to1.sof (nonexistent) +++ trunk/mux4to1.sof (revision 2)
trunk/mux4to1.sof Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/system.bdf =================================================================== --- trunk/system.bdf (nonexistent) +++ trunk/system.bdf (revision 2) @@ -0,0 +1,1179 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2012 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 40 104 208 120) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "operation1" (rect 5 0 55 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect -16 120 40 136)) +) +(pin + (input) + (rect 48 160 216 176) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "operation0" (rect 5 0 55 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect -8 176 48 192)) +) +(pin + (input) + (rect 48 216 216 232) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "cin" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect -16 232 48 248)) +) +(pin + (input) + (rect 56 264 224 280) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "in0" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 0 280 56 296)) +) +(pin + (input) + (rect 48 320 216 336) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "in1" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect -8 336 48 352)) +) +(pin + (input) + (rect 40 360 208 376) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "in2" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect -16 376 40 392)) +) +(pin + (input) + (rect 48 416 216 432) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "in3" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect -16 432 48 448)) +) +(pin + (input) + (rect 200 504 368 520) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "clock" (rect 5 0 30 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 144 520 200 536)) +) +(pin + (output) + (rect 584 96 760 112) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "result0" (rect 90 0 123 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 760 112 824 128)) +) +(pin + (output) + (rect 592 216 768 232) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "result1" (rect 90 0 123 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 768 232 832 248)) +) +(pin + (output) + (rect 600 272 776 288) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "result2" (rect 90 0 123 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 776 288 840 304)) +) +(pin + (output) + (rect 608 376 784 392) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "result3" (rect 90 0 123 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 784 392 840 408)) +) +(pin + (output) + (rect 600 480 776 496) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "cout" (rect 90 0 111 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 776 496 832 512)) +) +(pin + (output) + (rect 984 120 1160 136) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "reg0" (rect 90 0 111 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1160 136 1224 152)) +) +(pin + (output) + (rect 1008 232 1184 248) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "reg1" (rect 90 0 111 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1184 248 1248 264)) +) +(pin + (output) + (rect 992 344 1168 360) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "reg2" (rect 90 0 111 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1168 360 1232 376)) +) +(pin + (output) + (rect 1008 448 1184 464) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "reg3" (rect 90 0 111 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1184 464 1248 480)) +) +(symbol + (rect 312 144 472 368) + (text "alu4bit" (rect 5 0 41 14)(font "Arial" (font_size 8))) + (text "inst" (rect 8 208 25 220)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "operation1" (rect 0 0 60 14)(font "Arial" (font_size 8))) + (text "operation1" (rect 21 27 81 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "operation0" (rect 0 0 60 14)(font "Arial" (font_size 8))) + (text "operation0" (rect 21 43 81 57)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "a0" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "a0" (rect 21 59 35 73)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "a1" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "a1" (rect 21 75 35 89)(font "Arial" (font_size 8))) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 0 96) + (input) + (text "a2" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "a2" (rect 21 91 35 105)(font "Arial" (font_size 8))) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 0 112) + (input) + (text "a3" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "a3" (rect 21 107 35 121)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 16 112)) + ) + (port + (pt 0 128) + (input) + (text "b0" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "b0" (rect 21 123 35 137)(font "Arial" (font_size 8))) + (line (pt 0 128)(pt 16 128)) + ) + (port + (pt 0 144) + (input) + (text "b1" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "b1" (rect 21 139 35 153)(font "Arial" (font_size 8))) + (line (pt 0 144)(pt 16 144)) + ) + (port + (pt 0 160) + (input) + (text "b2" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "b2" (rect 21 155 35 169)(font "Arial" (font_size 8))) + (line (pt 0 160)(pt 16 160)) + ) + (port + (pt 0 176) + (input) + (text "b3" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "b3" (rect 21 171 35 185)(font "Arial" (font_size 8))) + (line (pt 0 176)(pt 16 176)) + ) + (port + (pt 0 192) + (input) + (text "cin" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "cin" (rect 21 187 37 201)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 16 192)) + ) + (port + (pt 160 32) + (output) + (text "result0" (rect 0 0 38 14)(font "Arial" (font_size 8))) + (text "result0" (rect 101 27 139 41)(font "Arial" (font_size 8))) + (line (pt 160 32)(pt 144 32)) + ) + (port + (pt 160 48) + (output) + (text "result1" (rect 0 0 38 14)(font "Arial" (font_size 8))) + (text "result1" (rect 101 43 139 57)(font "Arial" (font_size 8))) + (line (pt 160 48)(pt 144 48)) + ) + (port + (pt 160 64) + (output) + (text "result2" (rect 0 0 38 14)(font "Arial" (font_size 8))) + (text "result2" (rect 101 59 139 73)(font "Arial" (font_size 8))) + (line (pt 160 64)(pt 144 64)) + ) + (port + (pt 160 80) + (output) + (text "result3" (rect 0 0 38 14)(font "Arial" (font_size 8))) + (text "result3" (rect 101 75 139 89)(font "Arial" (font_size 8))) + (line (pt 160 80)(pt 144 80)) + ) + (port + (pt 160 96) + (output) + (text "carryout" (rect 0 0 48 14)(font "Arial" (font_size 8))) + (text "carryout" (rect 91 91 139 105)(font "Arial" (font_size 8))) + (line (pt 160 96)(pt 144 96)) + ) + (drawing + (rectangle (rect 16 16 144 208)) + ) +) +(symbol + (rect 776 128 840 208) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst2" (rect 3 68 26 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 784 224 848 304) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 68 26 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 784 336 848 416) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst4" (rect 3 68 26 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 776 440 840 520) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 68 26 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 888 96 920 112) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst6" (rect 3 5 26 17)(font "Arial" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)) + ) + (drawing + (line (pt 8 8)(pt 24 8)) + ) +) +(connector + (pt 1008 240) + (pt 1024 240) +) +(connector + (pt 608 488) + (pt 600 488) +) +(connector + (pt 600 488) + (pt 488 488) +) +(connector + (pt 488 240) + (pt 488 488) +) +(connector + (pt 472 240) + (pt 488 240) +) +(connector + (pt 488 240) + (pt 496 240) +) +(connector + (pt 504 384) + (pt 504 224) +) +(connector + (pt 472 224) + (pt 504 224) +) +(connector + (pt 504 224) + (pt 512 224) +) +(connector + (pt 528 216) + (pt 528 280) +) +(connector + (pt 528 280) + (pt 528 288) +) +(connector + (pt 528 216) + (pt 472 216) +) +(connector + (pt 472 216) + (pt 472 208) +) +(connector + (pt 544 224) + (pt 544 192) +) +(connector + (pt 544 192) + (pt 472 192) +) +(connector + (pt 472 192) + (pt 472 200) +) +(connector + (pt 496 104) + (pt 496 176) +) +(connector + (pt 496 176) + (pt 472 176) +) +(connector + (pt 312 176) + (pt 256 176) +) +(connector + (pt 256 176) + (pt 256 112) +) +(connector + (pt 256 112) + (pt 208 112) +) +(connector + (pt 320 192) + (pt 312 192) +) +(connector + (pt 312 192) + (pt 232 192) +) +(connector + (pt 232 168) + (pt 232 192) +) +(connector + (pt 232 168) + (pt 216 168) +) +(connector + (pt 312 320) + (pt 288 320) +) +(connector + (pt 288 320) + (pt 288 424) +) +(connector + (pt 288 424) + (pt 216 424) +) +(connector + (pt 312 304) + (pt 272 304) +) +(connector + (pt 272 304) + (pt 272 368) +) +(connector + (pt 272 368) + (pt 208 368) +) +(connector + (pt 320 288) + (pt 312 288) +) +(connector + (pt 312 288) + (pt 248 288) +) +(connector + (pt 248 288) + (pt 248 328) +) +(connector + (pt 248 328) + (pt 216 328) +) +(connector + (pt 312 272) + (pt 224 272) +) +(connector + (pt 320 336) + (pt 312 336) +) +(connector + (pt 312 336) + (pt 256 336) +) +(connector + (pt 256 224) + (pt 256 336) +) +(connector + (pt 256 224) + (pt 216 224) +) +(connector + (pt 320 208) + (pt 312 208) +) +(connector + (pt 312 208) + (pt 304 208) +) +(connector + (pt 304 208) + (pt 304 88) +) +(connector + (pt 304 88) + (pt 928 88) +) +(connector + (pt 928 128) + (pt 984 128) +) +(connector + (pt 296 224) + (pt 312 224) +) +(connector + (pt 312 224) + (pt 320 224) +) +(connector + (pt 296 224) + (pt 296 80) +) +(connector + (pt 296 80) + (pt 944 80) +) +(connector + (pt 944 240) + (pt 1008 240) +) +(connector + (pt 288 240) + (pt 312 240) +) +(connector + (pt 312 240) + (pt 320 240) +) +(connector + (pt 288 240) + (pt 288 72) +) +(connector + (pt 288 72) + (pt 952 72) +) +(connector + (pt 320 256) + (pt 312 256) +) +(connector + (pt 312 256) + (pt 280 256) +) +(connector + (pt 968 456) + (pt 1008 456) +) +(connector + (pt 576 224) + (pt 576 248) +) +(connector + (pt 944 248) + (pt 848 248) +) +(connector + (pt 544 224) + (pt 576 224) +) +(connector + (pt 576 224) + (pt 592 224) +) +(connector + (pt 944 80) + (pt 944 240) +) +(connector + (pt 944 240) + (pt 944 248) +) +(connector + (pt 576 248) + (pt 784 248) +) +(connector + (pt 784 248) + (pt 792 248) +) +(connector + (pt 816 224) + (pt 816 232) +) +(connector + (pt 816 424) + (pt 816 416) +) +(connector + (pt 584 360) + (pt 784 360) +) +(connector + (pt 584 280) + (pt 584 360) +) +(connector + (pt 952 360) + (pt 848 360) +) +(connector + (pt 528 280) + (pt 584 280) +) +(connector + (pt 584 280) + (pt 600 280) +) +(connector + (pt 584 464) + (pt 776 464) +) +(connector + (pt 584 384) + (pt 584 464) +) +(connector + (pt 968 464) + (pt 840 464) +) +(connector + (pt 904 520) + (pt 808 520) +) +(connector + (pt 816 424) + (pt 808 424) +) +(connector + (pt 504 384) + (pt 584 384) +) +(connector + (pt 584 384) + (pt 608 384) +) +(connector + (pt 808 440) + (pt 808 448) +) +(connector + (pt 568 104) + (pt 568 152) +) +(connector + (pt 928 152) + (pt 840 152) +) +(connector + (pt 816 208) + (pt 808 208) +) +(connector + (pt 496 104) + (pt 568 104) +) +(connector + (pt 568 104) + (pt 584 104) +) +(connector + (pt 928 88) + (pt 928 128) +) +(connector + (pt 928 128) + (pt 928 152) +) +(connector + (pt 568 152) + (pt 776 152) +) +(connector + (pt 776 152) + (pt 784 152) +) +(connector + (pt 904 120) + (pt 808 120) +) +(connector + (pt 808 128) + (pt 808 120) +) +(connector + (pt 816 216) + (pt 904 216) +) +(connector + (pt 816 208) + (pt 816 216) +) +(connector + (pt 816 216) + (pt 816 224) +) +(connector + (pt 816 320) + (pt 904 320) +) +(connector + (pt 816 304) + (pt 816 320) +) +(connector + (pt 816 320) + (pt 816 336) +) +(connector + (pt 904 320) + (pt 904 216) +) +(connector + (pt 808 432) + (pt 904 432) +) +(connector + (pt 808 424) + (pt 808 432) +) +(connector + (pt 808 432) + (pt 808 440) +) +(connector + (pt 904 520) + (pt 904 432) +) +(connector + (pt 904 432) + (pt 904 320) +) +(connector + (pt 904 112) + (pt 904 120) +) +(connector + (pt 904 120) + (pt 904 216) +) +(connector + (pt 784 168) + (pt 776 168) +) +(connector + (pt 776 168) + (pt 760 168) +) +(connector + (pt 776 480) + (pt 760 480) +) +(connector + (pt 792 376) + (pt 784 376) +) +(connector + (pt 784 376) + (pt 760 376) +) +(connector + (pt 784 264) + (pt 760 264) +) +(connector + (pt 760 168) + (pt 760 264) +) +(connector + (pt 760 264) + (pt 760 376) +) +(connector + (pt 280 256) + (pt 280 56) +) +(connector + (pt 280 56) + (pt 968 56) +) +(connector + (pt 968 56) + (pt 968 456) +) +(connector + (pt 968 456) + (pt 968 464) +) +(connector + (pt 760 512) + (pt 368 512) +) +(connector + (pt 760 376) + (pt 760 480) +) +(connector + (pt 760 480) + (pt 760 512) +) +(connector + (pt 952 72) + (pt 952 352) +) +(connector + (pt 952 352) + (pt 952 360) +) +(connector + (pt 952 352) + (pt 992 352) +) +(connector + (pt 992 352) + (pt 1000 352) +) +(junction (pt 1008 240)) +(junction (pt 600 488)) +(junction (pt 488 240)) +(junction (pt 584 384)) +(junction (pt 504 224)) +(junction (pt 584 280)) +(junction (pt 528 280)) +(junction (pt 576 224)) +(junction (pt 472 192)) +(junction (pt 568 104)) +(junction (pt 312 192)) +(junction (pt 312 288)) +(junction (pt 312 336)) +(junction (pt 312 208)) +(junction (pt 928 128)) +(junction (pt 312 224)) +(junction (pt 944 240)) +(junction (pt 312 240)) +(junction (pt 312 256)) +(junction (pt 968 456)) +(junction (pt 784 248)) +(junction (pt 816 224)) +(junction (pt 808 440)) +(junction (pt 776 152)) +(junction (pt 816 216)) +(junction (pt 904 216)) +(junction (pt 816 320)) +(junction (pt 904 320)) +(junction (pt 808 432)) +(junction (pt 904 432)) +(junction (pt 904 120)) +(junction (pt 776 168)) +(junction (pt 760 480)) +(junction (pt 760 376)) +(junction (pt 784 376)) +(junction (pt 760 264)) +(junction (pt 952 352)) +(junction (pt 992 352))
trunk/system.bdf Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/system.sof =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/system.sof =================================================================== --- trunk/system.sof (nonexistent) +++ trunk/system.sof (revision 2)
trunk/system.sof Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.