OpenCores
URL https://opencores.org/ocsvn/6809_6309_compatible_core/6809_6309_compatible_core/trunk

Subversion Repositories 6809_6309_compatible_core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /6809_6309_compatible_core/trunk/syn
    from Rev 7 to Rev 8
    Reverse comparison

Rev 7 → Rev 8

/lattice/textmem4k_tmpl.v
0,0 → 1,8
/* Verilog module instantiation template generated by SCUBA Diamond_2.2_Production (99) */
/* Module Version: 7.2 */
/* Fri Jan 3 10:41:37 2014 */
 
/* parameterized module instance */
textmem4k __ (.DataInA( ), .DataInB( ), .AddressA( ), .AddressB( ),
.ClockA( ), .ClockB( ), .ClockEnA( ), .ClockEnB( ), .WrA( ), .WrB( ),
.ResetA( ), .ResetB( ), .QA( ), .QB( ));
/lattice/fontrom.ipx
0,0 → 1,10
<?xml version="1.0" encoding="UTF-8"?>
<DiamondModule name="fontrom" module="ROM" VendorName="Lattice Semiconductor Corporation" generator="IPexpress" date="2014 01 01 20:10:27.015" version="5.1" type="Module" synthesis="" source_format="Verilog">
<Package>
<File name="font256x16l.mem" type="mem" modified="2013 09 05 07:47:20.000"/>
<File name="fontrom.lpc" type="lpc" modified="2014 01 01 20:10:25.000"/>
<File name="fontrom.v" type="top_level_verilog" modified="2014 01 01 20:10:25.000"/>
<File name="fontrom_tmpl.v" type="template_verilog" modified="2014 01 01 20:10:25.000"/>
<File name="tb_fontrom_tmpl.v" type="testbench_verilog" modified="2014 01 01 20:10:25.000"/>
</Package>
</DiamondModule>
/lattice/vgatext.v
0,0 → 1,193
 
module vgatext(
input wire CLK,
input wire RESET,
output wire HSYNC,
output wire VSYNC,
output wire RED,
output wire GREEN,
output wire BLUE,
input wire CPU_CLK,
input wire [11:0] CPU_ADDR,
input wire CPU_OE_EN,
input wire CPU_WR_EN,
input wire [7:0] CPU_DATA_O,
output wire [7:0] CPU_DATA_I
);
`ifdef CLK25
`define LINE_LENGTH 11'd831
`define HSYNC_START 11'd704+11'd64+11'd32
`define HVISIBLE_START 11'd16+11'd64
`define HVISIBLE_END 11'd656+11'd64
`define VVISIBLE_START 11'd10
`define VVISIBLE_END 11'd490
`define FRAME_END 11'd525
`define VSYNC_START 11'd524
`else
/* For 40 MHz clk 800x600 60 Hz */
`define LINE_LENGTH 11'd1055
`define HSYNC_START 11'd840+11'd88
`define HVISIBLE_START 11'd40
`define HVISIBLE_END 11'd840
`define VVISIBLE_START 11'd16
`define VVISIBLE_END 11'd616
`define FRAME_END 11'd627
`define VSYNC_START 11'd624
`endif
reg [10:0] hsync_cnt, vsync_cnt;
reg [3:0] redr, greenr, bluer;
reg hsyncr, vsyncr;
 
assign HSYNC = hsyncr;
assign VSYNC = vsyncr;
reg visible;
 
reg [5:0] blink_cnt;
 
assign RED = visible ? redr[0]:0;
assign GREEN = visible ? greenr[0]:0;
assign BLUE = visible ? bluer[0]:0;
always @(posedge CLK)
begin
if (RESET == 1'b1)
begin
hsync_cnt <= 11'h0;
vsync_cnt <= 11'h0;
end
else
begin
if (hsync_cnt == `LINE_LENGTH) // end of line
begin
hsync_cnt <= 0;
hsyncr <= 1'b1;
if (vsync_cnt == `FRAME_END)
begin
vsync_cnt <= 11'd0;
blink_cnt <= blink_cnt + 6'h1; // blinking cursor counter
end
else
vsync_cnt <= vsync_cnt + 11'd1;
end
else
hsync_cnt <= hsync_cnt + 11'd1;
end
hsyncr <= hsync_cnt >= `HSYNC_START ? 0:1;
vsyncr <= vsync_cnt >= `VSYNC_START ? 0:1;
visible <= (hsync_cnt >= `HVISIBLE_START) && (hsync_cnt < `HVISIBLE_END) && (vsync_cnt >= `VVISIBLE_START) && (vsync_cnt < `VVISIBLE_END);
end
 
wire enable = (hsync_cnt >= `HVISIBLE_START-11'd8) && (hsync_cnt < `HVISIBLE_END) && (vsync_cnt >= `VVISIBLE_START) && (vsync_cnt < `VVISIBLE_END);
reg [6:0] x_cnt, y_cnt, cur_x, cur_y;
reg [3:0] line_cnt;
reg [7:0] chars_data, font_data, tshift, shift;
wire [7:0] font_bus, chars_bus;
 
+assign yptr = { y_cnt[5:0], 6'h0 } + { y_cnt[5:0], 5'h0 } + { y_cnt[5:0], 2'h0 } + { 4'h0, x_cnt }; + +fontrom font(.Address({ chars_data, line_cnt }), .OutClock(CLK), .OutClockEn(1'b1), .Reset(1'b0), .Q(font_bus)); + +textmem4k chars( +//.WrAddress(WrAddr), .RdAddress(yptr), .Data(WrData), .WE(WrEn), .RdClock(CLK), .RdClockEn(1'b1), +// .Reset(1'b0), .WrClock(WrClk), .WrClockEn(1'b1), .Q(chars_bus)); + + .DataInA(), + .AddressA(yptr), + .ClockA(CLK), + .ClockEnA(1'b1), + .WrA(1'b0), + .ResetA(1'b0), + .QA(chars_bus), + + .DataInB(CPU_DATA_O), + .AddressB(CPU_ADDR), + .ClockB(CPU_CLK), + .ClockEnB(CPU_WR_EN | CPU_OE_EN), + .WrB(CPU_WR_EN), + .ResetB(1'b0), + .QB(CPU_DATA_I) + ); + + +always @(posedge CLK) // read memory + begin + chars_data <= chars_bus;//[{ y_cnt[4:0], x_cnt }]; + font_data <= font_bus; + end + +always @(posedge CLK) + begin + if (hsync_cnt == 0) + begin + x_cnt <= 0; + cur_x <= 3; // not needed + end + if (vsync_cnt == 0) + begin + y_cnt <= 0; + line_cnt <= 0; + cur_y <= 1; + end + if ((hsync_cnt == `LINE_LENGTH) && (vsync_cnt >= `VVISIBLE_START)) + begin + $display(" "); + line_cnt <= line_cnt + 1; + if (line_cnt == 4'hf) + y_cnt <= y_cnt + 1; + end + if (enable) + begin + + case (hsync_cnt[2:0]) // start of group of 8 consecutive pixels + 0: // read new char/color + begin + shift <= shift << 1; + x_cnt <= x_cnt + 1; + end + 1: // reads font + shift <= shift << 1; + 2: // load font data into shift register + begin + shift <= shift << 1; + tshift <= font_data; + end + 3, 4, 5, 6: + shift <= shift << 1; + 7: // uses read shift register + begin + shift <= tshift; + + end + endcase + if ((cur_x == x_cnt) && (cur_y == y_cnt) && (line_cnt > 4'd13) && blink_cnt[5]) + begin + redr <= 4'hf; + greenr <= 4'hf; + bluer <= 4'hf; + $write("!"); + end + else + begin + redr <= shift[7] ? 4'hf:4'h0; + greenr <= shift[7] ? 4'hf:4'h0; + bluer <= shift[7] ? 4'hf:4'h0; + $write("%c", shift[7] ? 33:32); + end + end + else + begin + redr <= 4'h0; + greenr <= 4'h0; + bluer <= 4'h0; + end + end +initial + begin + redr = 0; + greenr = 0; + bluer = 0; + vsync_cnt = 0; + hsync_cnt = 0; + end +endmodule
/lattice/textmem4k.v
0,0 → 1,305
/* Verilog netlist generated by SCUBA Diamond_2.2_Production (99) */
/* Module Version: 7.2 */
/* /usr/local/diamond/2.2_x64/ispfpga/bin/lin64/scuba -w -n textmem4k -lang verilog -synth synplify -bus_exp 7 -bb -arch xo2c00 -type bram -wp 11 -rp 1010 -data_width 8 -rdata_width 8 -num_rows 4096 -cascade -1 -memfile /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/textmem2k.mem -memformat orca -writemodeA NORMAL -writemodeB NORMAL -e */
/* Fri Jan 3 10:41:37 2014 */
 
 
`timescale 1 ns / 1 ps
module textmem4k (DataInA, DataInB, AddressA, AddressB, ClockA, ClockB,
ClockEnA, ClockEnB, WrA, WrB, ResetA, ResetB, QA, QB)/* synthesis NGD_DRC_MASK=1 */;
input wire [7:0] DataInA;
input wire [7:0] DataInB;
input wire [11:0] AddressA;
input wire [11:0] AddressB;
input wire ClockA;
input wire ClockB;
input wire ClockEnA;
input wire ClockEnB;
input wire WrA;
input wire WrB;
input wire ResetA;
input wire ResetB;
output wire [7:0] QA;
output wire [7:0] QB;
 
wire scuba_vhi;
wire scuba_vlo;
 
VHI scuba_vhi_inst (.Z(scuba_vhi));
 
defparam textmem4k_0_0_3.INIT_DATA = "STATIC" ;
defparam textmem4k_0_0_3.ASYNC_RESET_RELEASE = "SYNC" ;
defparam textmem4k_0_0_3.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_0E = "0x18000000000000000000000000000000000000000000000003100000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_0D = "0x000000000000000000020800000000000000000000000000000000000000000000000101C4E088E4" ;
defparam textmem4k_0_0_3.INITVAL_0C = "0x1C84E09C441C8E409C4E088E41C84E09C441C8E40800000000000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_0B = "0x00000000010000000000000000000000000000000000000000000000000018000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_0A = "0x00000000000000000000000000000310000000000000000000000000000000000000000000000002" ;
defparam textmem4k_0_0_3.INITVAL_09 = "0x08000000000000000000000000000000000000000000000001000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_08 = "0x00000000000000000000180000000000000000000000000000000000000000000000031000000000" ;
defparam textmem4k_0_0_3.INITVAL_07 = "0x00000000000000000000000000000000000000020800000000000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_06 = "0x000000000101C4E088E41C84E09C441C8E409C4E088E41C84E09C441C8E408000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_05 = "0x00000000000000000000000000000100000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_04 = "0x18000000000000000000000000000000000000000000000003100000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_03 = "0x00000000000000000002080000000000000000000000000000000000000000000000010000000000" ;
defparam textmem4k_0_0_3.INITVAL_02 = "0x00000000000000000000000000000000000000001800000000000000000000000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_01 = "0x00000000031000000000000000000000000000000000000000000000000208000000000000000000" ;
defparam textmem4k_0_0_3.INITVAL_00 = "0x00000000000000000000000000000109C4E088E41C84E09C441C8E409C4E088E41C84E09C441C8E4" ;
defparam textmem4k_0_0_3.CSDECODE_B = "0b000" ;
defparam textmem4k_0_0_3.CSDECODE_A = "0b000" ;
defparam textmem4k_0_0_3.WRITEMODE_B = "NORMAL" ;
defparam textmem4k_0_0_3.WRITEMODE_A = "NORMAL" ;
defparam textmem4k_0_0_3.GSR = "ENABLED" ;
defparam textmem4k_0_0_3.RESETMODE = "ASYNC" ;
defparam textmem4k_0_0_3.REGMODE_B = "NOREG" ;
defparam textmem4k_0_0_3.REGMODE_A = "NOREG" ;
defparam textmem4k_0_0_3.DATA_WIDTH_B = 2 ;
defparam textmem4k_0_0_3.DATA_WIDTH_A = 2 ;
DP8KC textmem4k_0_0_3 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo),
.DIA5(DataInA[1]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(DataInA[0]),
.DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(AddressA[11]), .ADA11(AddressA[10]),
.ADA10(AddressA[9]), .ADA9(AddressA[8]), .ADA8(AddressA[7]), .ADA7(AddressA[6]),
.ADA6(AddressA[5]), .ADA5(AddressA[4]), .ADA4(AddressA[3]), .ADA3(AddressA[2]),
.ADA2(AddressA[1]), .ADA1(AddressA[0]), .ADA0(scuba_vlo), .CEA(ClockEnA),
.OCEA(ClockEnA), .CLKA(ClockA), .WEA(WrA), .CSA2(scuba_vlo), .CSA1(scuba_vlo),
.CSA0(scuba_vlo), .RSTA(ResetA), .DIB8(scuba_vlo), .DIB7(scuba_vlo),
.DIB6(scuba_vlo), .DIB5(DataInB[1]), .DIB4(scuba_vlo), .DIB3(scuba_vlo),
.DIB2(DataInB[0]), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(AddressB[11]),
.ADB11(AddressB[10]), .ADB10(AddressB[9]), .ADB9(AddressB[8]), .ADB8(AddressB[7]),
.ADB7(AddressB[6]), .ADB6(AddressB[5]), .ADB5(AddressB[4]), .ADB4(AddressB[3]),
.ADB3(AddressB[2]), .ADB2(AddressB[1]), .ADB1(AddressB[0]), .ADB0(scuba_vlo),
.CEB(ClockEnB), .OCEB(ClockEnB), .CLKB(ClockB), .WEB(WrB), .CSB2(scuba_vlo),
.CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(ResetB), .DOA8(), .DOA7(),
.DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(QA[1]), .DOA0(QA[0]),
.DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(QB[1]),
.DOB0(QB[0]))
/* synthesis MEM_LPC_FILE="textmem4k.lpc" */
/* synthesis MEM_INIT_FILE="textmem2k.mem" */;
 
defparam textmem4k_0_1_2.INIT_DATA = "STATIC" ;
defparam textmem4k_0_1_2.ASYNC_RESET_RELEASE = "SYNC" ;
defparam textmem4k_0_1_2.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_0F = "0x00000000000000000000000000000008000000000000000000000000000000000000000000000001" ;
defparam textmem4k_0_1_2.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_0D = "0x000000000000000000000000000000000000000000000000000000000000000000000004A5001455" ;
defparam textmem4k_0_1_2.INITVAL_0C = "0x000A50A00A0AA0014A5001455000A50A00A0AA001000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_0B = "0x00000000021000000000000000000000000000000000000000000000000208000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_0A = "0x00000000000000000000000000000108000000000000000000000000000000000000000000000001" ;
defparam textmem4k_0_1_2.INITVAL_09 = "0x08000000000000000000000000000000000000000000000001080000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_08 = "0x00000000000000000001000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_06 = "0x000000000004A5001455000A50A00A0AA0014A5001455000A50A00A0AA0010000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_05 = "0x00000000000000000000000000000210000000000000000000000000000000000000000000000002" ;
defparam textmem4k_0_1_2.INITVAL_04 = "0x08000000000000000000000000000000000000000000000001080000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_03 = "0x00000000000000000001080000000000000000000000000000000000000000000000010800000000" ;
defparam textmem4k_0_1_2.INITVAL_02 = "0x00000000000000000000000000000000000000010000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_1_2.INITVAL_00 = "0x00000000000000000000000000000014A5001455000A50A00A0AA0014A5001455000A50A00A0AA00" ;
defparam textmem4k_0_1_2.CSDECODE_B = "0b000" ;
defparam textmem4k_0_1_2.CSDECODE_A = "0b000" ;
defparam textmem4k_0_1_2.WRITEMODE_B = "NORMAL" ;
defparam textmem4k_0_1_2.WRITEMODE_A = "NORMAL" ;
defparam textmem4k_0_1_2.GSR = "ENABLED" ;
defparam textmem4k_0_1_2.RESETMODE = "ASYNC" ;
defparam textmem4k_0_1_2.REGMODE_B = "NOREG" ;
defparam textmem4k_0_1_2.REGMODE_A = "NOREG" ;
defparam textmem4k_0_1_2.DATA_WIDTH_B = 2 ;
defparam textmem4k_0_1_2.DATA_WIDTH_A = 2 ;
DP8KC textmem4k_0_1_2 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo),
.DIA5(DataInA[3]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(DataInA[2]),
.DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(AddressA[11]), .ADA11(AddressA[10]),
.ADA10(AddressA[9]), .ADA9(AddressA[8]), .ADA8(AddressA[7]), .ADA7(AddressA[6]),
.ADA6(AddressA[5]), .ADA5(AddressA[4]), .ADA4(AddressA[3]), .ADA3(AddressA[2]),
.ADA2(AddressA[1]), .ADA1(AddressA[0]), .ADA0(scuba_vlo), .CEA(ClockEnA),
.OCEA(ClockEnA), .CLKA(ClockA), .WEA(WrA), .CSA2(scuba_vlo), .CSA1(scuba_vlo),
.CSA0(scuba_vlo), .RSTA(ResetA), .DIB8(scuba_vlo), .DIB7(scuba_vlo),
.DIB6(scuba_vlo), .DIB5(DataInB[3]), .DIB4(scuba_vlo), .DIB3(scuba_vlo),
.DIB2(DataInB[2]), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(AddressB[11]),
.ADB11(AddressB[10]), .ADB10(AddressB[9]), .ADB9(AddressB[8]), .ADB8(AddressB[7]),
.ADB7(AddressB[6]), .ADB6(AddressB[5]), .ADB5(AddressB[4]), .ADB4(AddressB[3]),
.ADB3(AddressB[2]), .ADB2(AddressB[1]), .ADB1(AddressB[0]), .ADB0(scuba_vlo),
.CEB(ClockEnB), .OCEB(ClockEnB), .CLKB(ClockB), .WEB(WrB), .CSB2(scuba_vlo),
.CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(ResetB), .DOA8(), .DOA7(),
.DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(QA[3]), .DOA0(QA[2]),
.DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(QB[3]),
.DOB0(QB[2]))
/* synthesis MEM_LPC_FILE="textmem4k.lpc" */
/* synthesis MEM_INIT_FILE="textmem2k.mem" */;
 
defparam textmem4k_0_2_1.INIT_DATA = "STATIC" ;
defparam textmem4k_0_2_1.ASYNC_RESET_RELEASE = "SYNC" ;
defparam textmem4k_0_2_1.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_2_1.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_2_1.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_2_1.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_2_1.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_2_1.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_2_1.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_2_1.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_2_1.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_2_1.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_2_1.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_2_1.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_2_1.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_2_1.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_2_1.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_2_1.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_2_1.INITVAL_0F = "0x0000000000000000000000000000001D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB" ;
defparam textmem4k_0_2_1.INITVAL_0E = "0x1D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB1D4AA154AA154AA154AA154AA154AA" ;
defparam textmem4k_0_2_1.INITVAL_0D = "0x154AA154AA154AA154AB1D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB1FEFF1FEFF" ;
defparam textmem4k_0_2_1.INITVAL_0C = "0x1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1D4AA154AA154AA154AA154AA154AA154AA154AA" ;
defparam textmem4k_0_2_1.INITVAL_0B = "0x154AA154AB1D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB1D4AA154AA154AA154AA" ;
defparam textmem4k_0_2_1.INITVAL_0A = "0x154AA154AA154AA154AA154AA154AB1D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB" ;
defparam textmem4k_0_2_1.INITVAL_09 = "0x1D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB1D4AA154AA154AA154AA154AA154AA" ;
defparam textmem4k_0_2_1.INITVAL_08 = "0x154AA154AA154AA154AB1D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB1D4AA154AA" ;
defparam textmem4k_0_2_1.INITVAL_07 = "0x154AA154AA154AA154AA154AA154AA154AA154AB1D4AA154AA154AA154AA154AA154AA154AA154AA" ;
defparam textmem4k_0_2_1.INITVAL_06 = "0x154AA154AB1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1D4AA154AA154AA154AA" ;
defparam textmem4k_0_2_1.INITVAL_05 = "0x154AA154AA154AA154AA154AA154AB1D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB" ;
defparam textmem4k_0_2_1.INITVAL_04 = "0x1D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB1D4AA154AA154AA154AA154AA154AA" ;
defparam textmem4k_0_2_1.INITVAL_03 = "0x154AA154AA154AA154AB1D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB1D4AA154AA" ;
defparam textmem4k_0_2_1.INITVAL_02 = "0x154AA154AA154AA154AA154AA154AA154AA154AB1D4AA154AA154AA154AA154AA154AA154AA154AA" ;
defparam textmem4k_0_2_1.INITVAL_01 = "0x154AA154AB1D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB1D4AA154AA154AA154AA" ;
defparam textmem4k_0_2_1.INITVAL_00 = "0x154AA154AA154AA154AA154AA154AB1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF" ;
defparam textmem4k_0_2_1.CSDECODE_B = "0b000" ;
defparam textmem4k_0_2_1.CSDECODE_A = "0b000" ;
defparam textmem4k_0_2_1.WRITEMODE_B = "NORMAL" ;
defparam textmem4k_0_2_1.WRITEMODE_A = "NORMAL" ;
defparam textmem4k_0_2_1.GSR = "ENABLED" ;
defparam textmem4k_0_2_1.RESETMODE = "ASYNC" ;
defparam textmem4k_0_2_1.REGMODE_B = "NOREG" ;
defparam textmem4k_0_2_1.REGMODE_A = "NOREG" ;
defparam textmem4k_0_2_1.DATA_WIDTH_B = 2 ;
defparam textmem4k_0_2_1.DATA_WIDTH_A = 2 ;
DP8KC textmem4k_0_2_1 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo),
.DIA5(DataInA[5]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(DataInA[4]),
.DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(AddressA[11]), .ADA11(AddressA[10]),
.ADA10(AddressA[9]), .ADA9(AddressA[8]), .ADA8(AddressA[7]), .ADA7(AddressA[6]),
.ADA6(AddressA[5]), .ADA5(AddressA[4]), .ADA4(AddressA[3]), .ADA3(AddressA[2]),
.ADA2(AddressA[1]), .ADA1(AddressA[0]), .ADA0(scuba_vlo), .CEA(ClockEnA),
.OCEA(ClockEnA), .CLKA(ClockA), .WEA(WrA), .CSA2(scuba_vlo), .CSA1(scuba_vlo),
.CSA0(scuba_vlo), .RSTA(ResetA), .DIB8(scuba_vlo), .DIB7(scuba_vlo),
.DIB6(scuba_vlo), .DIB5(DataInB[5]), .DIB4(scuba_vlo), .DIB3(scuba_vlo),
.DIB2(DataInB[4]), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(AddressB[11]),
.ADB11(AddressB[10]), .ADB10(AddressB[9]), .ADB9(AddressB[8]), .ADB8(AddressB[7]),
.ADB7(AddressB[6]), .ADB6(AddressB[5]), .ADB5(AddressB[4]), .ADB4(AddressB[3]),
.ADB3(AddressB[2]), .ADB2(AddressB[1]), .ADB1(AddressB[0]), .ADB0(scuba_vlo),
.CEB(ClockEnB), .OCEB(ClockEnB), .CLKB(ClockB), .WEB(WrB), .CSB2(scuba_vlo),
.CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(ResetB), .DOA8(), .DOA7(),
.DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(QA[5]), .DOA0(QA[4]),
.DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(QB[5]),
.DOB0(QB[4]))
/* synthesis MEM_LPC_FILE="textmem4k.lpc" */
/* synthesis MEM_INIT_FILE="textmem2k.mem" */;
 
VLO scuba_vlo_inst (.Z(scuba_vlo));
 
defparam textmem4k_0_3_0.INIT_DATA = "STATIC" ;
defparam textmem4k_0_3_0.ASYNC_RESET_RELEASE = "SYNC" ;
defparam textmem4k_0_3_0.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.INITVAL_00 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam textmem4k_0_3_0.CSDECODE_B = "0b000" ;
defparam textmem4k_0_3_0.CSDECODE_A = "0b000" ;
defparam textmem4k_0_3_0.WRITEMODE_B = "NORMAL" ;
defparam textmem4k_0_3_0.WRITEMODE_A = "NORMAL" ;
defparam textmem4k_0_3_0.GSR = "ENABLED" ;
defparam textmem4k_0_3_0.RESETMODE = "ASYNC" ;
defparam textmem4k_0_3_0.REGMODE_B = "NOREG" ;
defparam textmem4k_0_3_0.REGMODE_A = "NOREG" ;
defparam textmem4k_0_3_0.DATA_WIDTH_B = 2 ;
defparam textmem4k_0_3_0.DATA_WIDTH_A = 2 ;
DP8KC textmem4k_0_3_0 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo),
.DIA5(DataInA[7]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(DataInA[6]),
.DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(AddressA[11]), .ADA11(AddressA[10]),
.ADA10(AddressA[9]), .ADA9(AddressA[8]), .ADA8(AddressA[7]), .ADA7(AddressA[6]),
.ADA6(AddressA[5]), .ADA5(AddressA[4]), .ADA4(AddressA[3]), .ADA3(AddressA[2]),
.ADA2(AddressA[1]), .ADA1(AddressA[0]), .ADA0(scuba_vlo), .CEA(ClockEnA),
.OCEA(ClockEnA), .CLKA(ClockA), .WEA(WrA), .CSA2(scuba_vlo), .CSA1(scuba_vlo),
.CSA0(scuba_vlo), .RSTA(ResetA), .DIB8(scuba_vlo), .DIB7(scuba_vlo),
.DIB6(scuba_vlo), .DIB5(DataInB[7]), .DIB4(scuba_vlo), .DIB3(scuba_vlo),
.DIB2(DataInB[6]), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(AddressB[11]),
.ADB11(AddressB[10]), .ADB10(AddressB[9]), .ADB9(AddressB[8]), .ADB8(AddressB[7]),
.ADB7(AddressB[6]), .ADB6(AddressB[5]), .ADB5(AddressB[4]), .ADB4(AddressB[3]),
.ADB3(AddressB[2]), .ADB2(AddressB[1]), .ADB1(AddressB[0]), .ADB0(scuba_vlo),
.CEB(ClockEnB), .OCEB(ClockEnB), .CLKB(ClockB), .WEB(WrB), .CSB2(scuba_vlo),
.CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(ResetB), .DOA8(), .DOA7(),
.DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(QA[7]), .DOA0(QA[6]),
.DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(QB[7]),
.DOB0(QB[6]))
/* synthesis MEM_LPC_FILE="textmem4k.lpc" */
/* synthesis MEM_INIT_FILE="textmem2k.mem" */;
 
 
 
// exemplar begin
// exemplar attribute textmem4k_0_0_3 MEM_LPC_FILE textmem4k.lpc
// exemplar attribute textmem4k_0_0_3 MEM_INIT_FILE textmem2k.mem
// exemplar attribute textmem4k_0_1_2 MEM_LPC_FILE textmem4k.lpc
// exemplar attribute textmem4k_0_1_2 MEM_INIT_FILE textmem2k.mem
// exemplar attribute textmem4k_0_2_1 MEM_LPC_FILE textmem4k.lpc
// exemplar attribute textmem4k_0_2_1 MEM_INIT_FILE textmem2k.mem
// exemplar attribute textmem4k_0_3_0 MEM_LPC_FILE textmem4k.lpc
// exemplar attribute textmem4k_0_3_0 MEM_INIT_FILE textmem2k.mem
// exemplar end
 
endmodule
/lattice/fontrom.naf
0,0 → 1,23
Address[11] i
Address[10] i
Address[9] i
Address[8] i
Address[7] i
Address[6] i
Address[5] i
Address[4] i
Address[3] i
Address[2] i
Address[1] i
Address[0] i
OutClock i
OutClockEn i
Reset i
Q[7] o
Q[6] o
Q[5] o
Q[4] o
Q[3] o
Q[2] o
Q[1] o
Q[0] o
/lattice/fontrom.srp
0,0 → 1,29
SCUBA, Version Diamond_2.2_Production (99)
Wed Jan 1 20:10:25 2014
 
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp. All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
Copyright (c) 2001 Agere Systems All rights reserved.
Copyright (c) 2002-2013 Lattice Semiconductor Corporation, All rights reserved.
 
Issued command : /usr/local/diamond/2.2_x64/ispfpga/bin/lin64/scuba -w -n fontrom -lang verilog -synth synplify -bus_exp 7 -bb -arch xo2c00 -type romblk -device LCMXO2-7000HE -addr_width 12 -data_width 8 -num_words 4096 -cascade -1 -memfile font256x16l.mem -memformat orca -e
Circuit name : fontrom
Module type : EBR_ROM
Module Version : 5.1
Ports :
Inputs : Address[11:0], OutClock, OutClockEn, Reset
Outputs : Q[7:0]
I/O buffer : not inserted
Memory file : font256x16l.mem
EDIF output : suppressed
Verilog output : fontrom.v
Verilog template : fontrom_tmpl.v
Verilog testbench: tb_fontrom_tmpl.v
Verilog purpose : for synthesis and simulation
Bus notation : big endian
Report output : fontrom.srp
Element Usage :
DP8KC : 4
Estimated Resource Usage:
EBR : 4
/lattice/textmem4k.sym Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
lattice/textmem4k.sym Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: lattice/textmem4k.lpc =================================================================== --- lattice/textmem4k.lpc (nonexistent) +++ lattice/textmem4k.lpc (revision 8) @@ -0,0 +1,53 @@ +[Device] +Family=machxo2 +PartType=LCMXO2-7000HE +PartName=LCMXO2-7000HE-4TG144C +SpeedGrade=4 +Package=TQFP144 +OperatingCondition=COM +Status=S + +[IP] +VendorName=Lattice Semiconductor Corporation +CoreType=LPM +CoreStatus=Demo +CoreName=RAM_DP_TRUE +CoreRevision=7.2 +ModuleName=textmem4k +SourceFormat=Verilog HDL +ParameterFileVersion=1.0 +Date=01/03/2014 +Time=10:41:37 + +[Parameters] +Verilog=1 +VHDL=0 +EDIF=1 +Destination=Synplicity +Expression=BusA(0 to 7) +Order=Big Endian [MSB:LSB] +IO=0 +RAddress=4096 +RData=8 +WAddress=4096 +WData=8 +ROutputEn=0 +RClockEn=0 +WOutputEn=0 +WClockEn=0 +enByte=0 +ByteSize=9 +Optimization=Speed +Reset=Sync +Reset1=Sync +Init=mem +MemFile=/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/textmem2k.mem +MemFormat=orca +EnECC=0 +Pipeline=0 +WriteA=Normal +WriteB=Normal +init_data=0 + +[FilesGenerated] +/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/textmem2k.mem=mem Index: lattice/textmem4k.sort =================================================================== --- lattice/textmem4k.sort (nonexistent) +++ lattice/textmem4k.sort (revision 8) @@ -0,0 +1 @@ +textmem4k.v Index: lattice/textmem4k_generate.log =================================================================== --- lattice/textmem4k_generate.log (nonexistent) +++ lattice/textmem4k_generate.log (revision 8) @@ -0,0 +1,47 @@ +Starting process: Module + +Starting process: + +SCUBA, Version Diamond_2.2_Production (99) +Fri Jan 3 10:41:37 2014 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2013 Lattice Semiconductor Corporation, All rights reserved. + +BEGIN SCUBA Module Synthesis + + Issued command : /usr/local/diamond/2.2_x64/ispfpga/bin/lin64/scuba -w -n textmem4k -lang verilog -synth synplify -bus_exp 7 -bb -arch xo2c00 -type ramdp -device LCMXO2-7000HE -aaddr_width 12 -widtha 8 -baddr_width 12 -widthb 8 -anum_words 4096 -bnum_words 4096 -cascade -1 -memfile /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/textmem2k.mem -memformat orca -writemodeA NORMAL -writemodeB NORMAL -e + Circuit name : textmem4k + Module type : RAM_DP_TRUE + Module Version : 7.2 + Ports : + Inputs : DataInA[7:0], DataInB[7:0], AddressA[11:0], AddressB[11:0], ClockA, ClockB, ClockEnA, ClockEnB, WrA, WrB, ResetA, ResetB + Outputs : QA[7:0], QB[7:0] + I/O buffer : not inserted + Memory file : /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/textmem2k.mem + EDIF output : suppressed + Verilog output : textmem4k.v + Verilog template : textmem4k_tmpl.v + Verilog testbench: tb_textmem4k_tmpl.v + Verilog purpose : for synthesis and simulation + Bus notation : big endian + Report output : textmem4k.srp + Estimated Resource Usage: + EBR : 4 + +END SCUBA Module Synthesis + +File: textmem4k.lpc created. + + +End process: completed successfully. + + +Total Warnings: 0 + +Total Errors: 0 + + Index: lattice/textmem4k.ipx =================================================================== --- lattice/textmem4k.ipx (nonexistent) +++ lattice/textmem4k.ipx (revision 8) @@ -0,0 +1,10 @@ + + + + + + + + + + Index: lattice/fontrom_tmpl.v =================================================================== --- lattice/fontrom_tmpl.v (nonexistent) +++ lattice/fontrom_tmpl.v (revision 8) @@ -0,0 +1,6 @@ +/* Verilog module instantiation template generated by SCUBA Diamond_2.2_Production (99) */ +/* Module Version: 5.1 */ +/* Wed Jan 1 20:10:25 2014 */ + +/* parameterized module instance */ +fontrom __ (.Address( ), .OutClock( ), .OutClockEn( ), .Reset( ), .Q( )); Index: lattice/textmem4k.srp =================================================================== --- lattice/textmem4k.srp (nonexistent) +++ lattice/textmem4k.srp (revision 8) @@ -0,0 +1,29 @@ +SCUBA, Version Diamond_2.2_Production (99) +Fri Jan 3 10:41:37 2014 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2013 Lattice Semiconductor Corporation, All rights reserved. + + Issued command : /usr/local/diamond/2.2_x64/ispfpga/bin/lin64/scuba -w -n textmem4k -lang verilog -synth synplify -bus_exp 7 -bb -arch xo2c00 -type ramdp -device LCMXO2-7000HE -aaddr_width 12 -widtha 8 -baddr_width 12 -widthb 8 -anum_words 4096 -bnum_words 4096 -cascade -1 -memfile /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/textmem2k.mem -memformat orca -writemodeA NORMAL -writemodeB NORMAL -e + Circuit name : textmem4k + Module type : RAM_DP_TRUE + Module Version : 7.2 + Ports : + Inputs : DataInA[7:0], DataInB[7:0], AddressA[11:0], AddressB[11:0], ClockA, ClockB, ClockEnA, ClockEnB, WrA, WrB, ResetA, ResetB + Outputs : QA[7:0], QB[7:0] + I/O buffer : not inserted + Memory file : /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/textmem2k.mem + EDIF output : suppressed + Verilog output : textmem4k.v + Verilog template : textmem4k_tmpl.v + Verilog testbench: tb_textmem4k_tmpl.v + Verilog purpose : for synthesis and simulation + Bus notation : big endian + Report output : textmem4k.srp + Element Usage : + DP8KC : 4 + Estimated Resource Usage: + EBR : 4 Index: lattice/textmem4k.naf =================================================================== --- lattice/textmem4k.naf (nonexistent) +++ lattice/textmem4k.naf (revision 8) @@ -0,0 +1,64 @@ +DataInA[7] i +DataInA[6] i +DataInA[5] i +DataInA[4] i +DataInA[3] i +DataInA[2] i +DataInA[1] i +DataInA[0] i +DataInB[7] i +DataInB[6] i +DataInB[5] i +DataInB[4] i +DataInB[3] i +DataInB[2] i +DataInB[1] i +DataInB[0] i +AddressA[11] i +AddressA[10] i +AddressA[9] i +AddressA[8] i +AddressA[7] i +AddressA[6] i +AddressA[5] i +AddressA[4] i +AddressA[3] i +AddressA[2] i +AddressA[1] i +AddressA[0] i +AddressB[11] i +AddressB[10] i +AddressB[9] i +AddressB[8] i +AddressB[7] i +AddressB[6] i +AddressB[5] i +AddressB[4] i +AddressB[3] i +AddressB[2] i +AddressB[1] i +AddressB[0] i +ClockA i +ClockB i +ClockEnA i +ClockEnB i +WrA i +WrB i +ResetA i +ResetB i +QA[7] o +QA[6] o +QA[5] o +QA[4] o +QA[3] o +QA[2] o +QA[1] o +QA[0] o +QB[7] o +QB[6] o +QB[5] o +QB[4] o +QB[3] o +QB[2] o +QB[1] o +QB[0] o Index: lattice/fontrom.v =================================================================== --- lattice/fontrom.v (nonexistent) +++ lattice/fontrom.v (revision 8) @@ -0,0 +1,295 @@ +/* Verilog netlist generated by SCUBA Diamond_2.2_Production (99) */ +/* Module Version: 5.1 */ +/* /usr/local/diamond/2.2_x64/ispfpga/bin/lin64/scuba -w -n fontrom -lang verilog -synth synplify -bus_exp 7 -bb -arch xo2c00 -type bram -wp 00 -rp 1100 -addr_width 12 -data_width 8 -num_rows 4096 -cascade -1 -memfile font256x16l.mem -memformat orca -e */ +/* Wed Jan 1 20:10:25 2014 */ + + +`timescale 1 ns / 1 ps +module fontrom (Address, OutClock, OutClockEn, Reset, Q)/* synthesis NGD_DRC_MASK=1 */; + input wire [11:0] Address; + input wire OutClock; + input wire OutClockEn; + input wire Reset; + output wire [7:0] Q; + + wire scuba_vhi; + wire scuba_vlo; + + defparam fontrom_0_0_3.INIT_DATA = "STATIC" ; + defparam fontrom_0_0_3.ASYNC_RESET_RELEASE = "SYNC" ; + defparam fontrom_0_0_3.INITVAL_1F = "0x0000000000000000000000000000000000000000000000000C000000000000000000000000000000" ; + defparam fontrom_0_0_3.INITVAL_1E = "0x00002010000000010000000000000000000006E000080000000008004000000C0040000002010400" ; + defparam fontrom_0_0_3.INITVAL_1D = "0x000AA1540000000000000000B1F4C00000B1F0000002A1402000080054000000A150000008214080" ; + defparam fontrom_0_0_3.INITVAL_1C = "0x000000040000002154000000001000000A000480000000040000000004A00002A000000008001000" ; + defparam fontrom_0_0_3.INITVAL_1B = "0x0000007EFF1FEFF1FEFF00000000001FEFF180001FEFF1FEFF000001800000000000000000019800" ; + defparam fontrom_0_0_3.INITVAL_1A = "0x154AA1D4AA154AA1800000000198000000019800000001D4AA154AA180000000019800000001D4AA" ; + defparam fontrom_0_0_3.INITVAL_19 = "0x0000019800154AA19CAA0000019800154AA19CAA154AA198000000019CAA154AA198000000019CAA" ; + defparam fontrom_0_0_3.INITVAL_18 = "0x154AA1D4AA0000019800000001800000000180000000018000000001800000000180000000018000" ; + defparam fontrom_0_0_3.INITVAL_17 = "0x0000000000000000000000000154AA00000154AA154AA15000154AA154AA154AA154AA0000000000" ; + defparam fontrom_0_0_3.INITVAL_16 = "0x154AA10000154AA154AA0000000000000000000000000000001BADD1BADD13299132990221102211" ; + defparam fontrom_0_0_3.INITVAL_15 = "0x000001000000008010000000000000014AA00480018B8004800002A1400000000040000002800000" ; + defparam fontrom_0_0_3.INITVAL_14 = "0x00000000000000004400000AA15482000AA1402000080000000002A1400000000000000008000000" ; + defparam fontrom_0_0_3.INITVAL_13 = "0x0000004038000EA1D0A000003060B000020000000000B006800002A154880002A15408004AA15020" ; + defparam fontrom_0_0_3.INITVAL_12 = "0x000800000000080000000002A140000002A140200002A140000008004020000C21F000000A001400" ; + defparam fontrom_0_0_3.INITVAL_11 = "0x000AA14000000AA14008000000000000000000800000000020000201400000020140200002014000" ; + defparam fontrom_0_0_3.INITVAL_10 = "0x00082010000008000000000800000000080000000008000000000201400000080000000040A00480" ; + defparam fontrom_0_0_3.INITVAL_0F = "0x0002A100000000000020000000400000000000000008000020000A001000004AA15000000E005800" ; + defparam fontrom_0_0_3.INITVAL_0E = "0x000BF1F8000000B1F800000800000000020000000002004000000001400004000010000002A14000" ; + defparam fontrom_0_0_3.INITVAL_0D = "0x0002A14000000AA14000000FF1F0000000000000000A001000014AA150A00000000000000AA14000" ; + defparam fontrom_0_0_3.INITVAL_0C = "0x000000100000000000000002014000000800000000020040000002A1000000080000000000000000" ; + defparam fontrom_0_0_3.INITVAL_0B = "0x018000000000000000800000000000000A8000000000000000000F4004F000000016F0000F8004F0" ; + defparam fontrom_0_0_3.INITVAL_0A = "0x000AF1FEF00000B1FEF00002A154A000000002F00002A00480000AA014800102A154800000001480" ; + defparam fontrom_0_0_3.INITVAL_09 = "0x0002A15480000AA154A0000FF1FEF0000A800000000A8004A000000000200000000000000AA154A0" ; + defparam fontrom_0_0_3.INITVAL_08 = "0x000AA1048000000004A0000A8004A00000A1540000028004800002A11480000AA150000000215400" ; + defparam fontrom_0_0_3.INITVAL_07 = "0x0000000480000001000000002010000008000080000000000000000000000000A154800002A11480" ; + defparam fontrom_0_0_3.INITVAL_06 = "0x00000014A00002A100000002A1002000080100000002A11480000A00048000080000000002F1FE80" ; + defparam fontrom_0_0_3.INITVAL_05 = "0x00000014000000000000000001000000000000000000010000000081900000000000000000000000" ; + defparam fontrom_0_0_3.INITVAL_04 = "0x00000000000008004000000A0014000002A10480000080100000000000A800000000000000000000" ; + defparam fontrom_0_0_3.INITVAL_03 = "0x00000014000002800000000021C00000008000000000010000000001000000008000000000000400" ; + defparam fontrom_0_0_3.INITVAL_02 = "0x0008200400000AA000000008014020000FF1FEF0000A2154A00000200400000AA154A80000004000" ; + defparam fontrom_0_0_3.INITVAL_01 = "0x0000C19800000BF1FEF000000006F0000080548000000014A01FEF50BEFF0000A140001FEFF1FEFF" ; + defparam fontrom_0_0_3.INITVAL_00 = "0x0000000000000021F000000031E00000000100000000215000000BF1FEE0000950AA600000000000" ; + defparam fontrom_0_0_3.CSDECODE_B = "0b111" ; + defparam fontrom_0_0_3.CSDECODE_A = "0b000" ; + defparam fontrom_0_0_3.WRITEMODE_B = "NORMAL" ; + defparam fontrom_0_0_3.WRITEMODE_A = "NORMAL" ; + defparam fontrom_0_0_3.GSR = "ENABLED" ; + defparam fontrom_0_0_3.RESETMODE = "ASYNC" ; + defparam fontrom_0_0_3.REGMODE_B = "NOREG" ; + defparam fontrom_0_0_3.REGMODE_A = "NOREG" ; + defparam fontrom_0_0_3.DATA_WIDTH_B = 2 ; + defparam fontrom_0_0_3.DATA_WIDTH_A = 2 ; + DP8KC fontrom_0_0_3 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), + .DIA5(scuba_vlo), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(scuba_vlo), + .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(Address[11]), .ADA11(Address[10]), + .ADA10(Address[9]), .ADA9(Address[8]), .ADA8(Address[7]), .ADA7(Address[6]), + .ADA6(Address[5]), .ADA5(Address[4]), .ADA4(Address[3]), .ADA3(Address[2]), + .ADA2(Address[1]), .ADA1(Address[0]), .ADA0(scuba_vlo), .CEA(OutClockEn), + .OCEA(OutClockEn), .CLKA(OutClock), .WEA(scuba_vlo), .CSA2(scuba_vlo), + .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), + .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), + .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), + .ADB12(scuba_vlo), .ADB11(scuba_vlo), .ADB10(scuba_vlo), .ADB9(scuba_vlo), + .ADB8(scuba_vlo), .ADB7(scuba_vlo), .ADB6(scuba_vlo), .ADB5(scuba_vlo), + .ADB4(scuba_vlo), .ADB3(scuba_vlo), .ADB2(scuba_vlo), .ADB1(scuba_vlo), + .ADB0(scuba_vlo), .CEB(scuba_vhi), .OCEB(scuba_vhi), .CLKB(scuba_vlo), + .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), + .RSTB(scuba_vlo), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), + .DOA2(), .DOA1(Q[1]), .DOA0(Q[0]), .DOB8(), .DOB7(), .DOB6(), .DOB5(), + .DOB4(), .DOB3(), .DOB2(), .DOB1(), .DOB0()) + /* synthesis MEM_LPC_FILE="fontrom.lpc" */ + /* synthesis MEM_INIT_FILE="font256x16l.mem" */; + + defparam fontrom_0_1_2.INIT_DATA = "STATIC" ; + defparam fontrom_0_1_2.ASYNC_RESET_RELEASE = "SYNC" ; + defparam fontrom_0_1_2.INITVAL_1F = "0x00000000000003F1FE0000000050200000007EF8000FF1FEFC0000200000000021000000000004F8" ; + defparam fontrom_0_1_2.INITVAL_1E = "0x0000D0680000028194000002A154AA154AA154B0000CE004C0000C21BC00000C2174000003018600" ; + defparam fontrom_0_1_2.INITVAL_1D = "0x000550AAC0000C0060300000C15A000000E15800000D50FC30000FF1AAE0000B51AE80000ED0BCC0" ; + defparam fontrom_0_1_2.INITVAL_1C = "0x000AA15A000000D0AA000002A15800000D0102C0000FF1FE000000000270000D51DEE00007A16800" ; + defparam fontrom_0_1_2.INITVAL_1B = "0x0000007EFF1FEFF1FEFF00000000001FEFF180001FEFF1FEFF154AA1800000000154AA154AA1DCAA" ; + defparam fontrom_0_1_2.INITVAL_1A = "0x0AA551AA550AA5518000154AA1D800000001DCAA000001AA550AA5518000154AA19800000001AA55" ; + defparam fontrom_0_1_2.INITVAL_19 = "0x0000019CAA0AA5508A5500000198000AA5508A550AA55098000000018A550AA55098000000018A55" ; + defparam fontrom_0_1_2.INITVAL_18 = "0x0AA550AA55154AA1DCAA154AA1D4AA0000018000154AA1D4AA154AA18000000001D4AA000001D4AA" ; + defparam fontrom_0_1_2.INITVAL_17 = "0x154AA1000000000154AA000001AA55000001AA550AA550B8000AA550AA550AA550AA55154AA15000" ; + defparam fontrom_0_1_2.INITVAL_16 = "0x0AA55180000AA550AA55154AA154AA154AA154AA154AA154AA0EE770EE7713299132990884408844" ; + defparam fontrom_0_1_2.INITVAL_15 = "0x0000B0F0000000716800000BF150A000ADD05A0001E6C05A00000150E0000000006000000D400000" ; + defparam fontrom_0_1_2.INITVAL_14 = "0x00000064F800000066FC000571EA4D000550B8D00007F1F808000D50B808000EA1502C0007F1F008" ; + defparam fontrom_0_1_2.INITVAL_13 = "0x004AA174AC000151A65C000AB17640000D000078000AC000E8000D50AA44000D50AAC405AD50A810" ; + defparam fontrom_0_1_2.INITVAL_12 = "0x0007F1F8800007F1F8E0000D50B880000D50B810000D50B8E0000FF1FEF00007B15800000D018E02" ; + defparam fontrom_0_1_2.INITVAL_11 = "0x000570BC2E000570BC04000EA15080000EA15078000EA15010000D01B880000D01B810000D01B8E0" ; + defparam fontrom_0_1_2.INITVAL_10 = "0x0067D00E000007F1F0B80007F1F0800007F1F0300007F1F0E0000D01B82C0007F1F83001AF400070" ; + defparam fontrom_0_1_2.INITVAL_0F = "0x000350F00000000000D00002A17480000AA114A0000EA114B0000D01780005AD50A8000001E1A000" ; + defparam fontrom_0_1_2.INITVAL_0E = "0x0007A00000000B4000000007F1F800000D001800000DE03800000000B80007EFF1E800000D50B800" ; + defparam fontrom_0_1_2.INITVAL_0D = "0x000D50B800000550B800000AA16800000EA154A00005E1680006A550B850000EA150A0000550B800" ; + defparam fontrom_0_1_2.INITVAL_0C = "0x05EFF1E80000000002E0000D01B8000007F1FEF0000D003800000D50F0000007F1F0000000000020" ; + defparam fontrom_0_1_2.INITVAL_0B = "0x01800000000000000078000FF1FEF00001F10000000C000030000C005A30000EA168000000715A00" ; + defparam fontrom_0_1_2.INITVAL_0A = "0x0005E10000000B400000000D50AA50000EA154B0000D51C270000551EA7001EF50AA700000006A70" ; + defparam fontrom_0_1_2.INITVAL_09 = "0x000D50AA70000551FA500000005E40000D0000000005715A50000BF1FEF0000EA154B0000550EA50" ; + defparam fontrom_0_1_2.INITVAL_08 = "0x00095180700000015070000D015070000B50AAE0000D000070000D50EA70000551AE80000CF1EAC0" ; + defparam fontrom_0_1_2.INITVAL_07 = "0x000A215A700000B0F0000000301800000780164000028014000002801400000B50EA70000D50EA70" ; + defparam fontrom_0_1_2.INITVAL_06 = "0x0000016A70000D50E020000D50E030000FF1FEF0000D50EA70000D005A70000EA154A0000D014070" ; + defparam fontrom_0_1_2.INITVAL_05 = "0x0000016800000A0000000000018000000A8000000000A1D000000071E8000002F1FE80000E0000B0" ; + defparam fontrom_0_1_2.INITVAL_04 = "0x00000000000007F1B6E00005016800014D50E07A000FF1FEC00000000254000A215EE00000000000" ; + defparam fontrom_0_1_2.INITVAL_03 = "0x0000A1FE000003F1D000000051A8000000C0000000000180000000B1F000000BE154A0000AA156E0" ; + defparam fontrom_0_1_2.INITVAL_02 = "0x000EF156E0000FF000000067B0BC1C000AA154B0000510AA500002F156E00001F1FED00000017000" ; + defparam fontrom_0_1_2.INITVAL_01 = "0x000AB0F48000054006300000000630000AE1AA70000BF1C4F01FECB1C6FF00034038001FEF403EFF" ; + defparam fontrom_0_1_2.INITVAL_00 = "0x0000B1C000000EB1FE80000E90BE800000B1F0000002F1FE00000FD07CF0000C2182300000000000" ; + defparam fontrom_0_1_2.CSDECODE_B = "0b111" ; + defparam fontrom_0_1_2.CSDECODE_A = "0b000" ; + defparam fontrom_0_1_2.WRITEMODE_B = "NORMAL" ; + defparam fontrom_0_1_2.WRITEMODE_A = "NORMAL" ; + defparam fontrom_0_1_2.GSR = "ENABLED" ; + defparam fontrom_0_1_2.RESETMODE = "ASYNC" ; + defparam fontrom_0_1_2.REGMODE_B = "NOREG" ; + defparam fontrom_0_1_2.REGMODE_A = "NOREG" ; + defparam fontrom_0_1_2.DATA_WIDTH_B = 2 ; + defparam fontrom_0_1_2.DATA_WIDTH_A = 2 ; + DP8KC fontrom_0_1_2 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), + .DIA5(scuba_vlo), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(scuba_vlo), + .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(Address[11]), .ADA11(Address[10]), + .ADA10(Address[9]), .ADA9(Address[8]), .ADA8(Address[7]), .ADA7(Address[6]), + .ADA6(Address[5]), .ADA5(Address[4]), .ADA4(Address[3]), .ADA3(Address[2]), + .ADA2(Address[1]), .ADA1(Address[0]), .ADA0(scuba_vlo), .CEA(OutClockEn), + .OCEA(OutClockEn), .CLKA(OutClock), .WEA(scuba_vlo), .CSA2(scuba_vlo), + .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), + .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), + .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), + .ADB12(scuba_vlo), .ADB11(scuba_vlo), .ADB10(scuba_vlo), .ADB9(scuba_vlo), + .ADB8(scuba_vlo), .ADB7(scuba_vlo), .ADB6(scuba_vlo), .ADB5(scuba_vlo), + .ADB4(scuba_vlo), .ADB3(scuba_vlo), .ADB2(scuba_vlo), .ADB1(scuba_vlo), + .ADB0(scuba_vlo), .CEB(scuba_vhi), .OCEB(scuba_vhi), .CLKB(scuba_vlo), + .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), + .RSTB(scuba_vlo), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), + .DOA2(), .DOA1(Q[3]), .DOA0(Q[2]), .DOB8(), .DOB7(), .DOB6(), .DOB5(), + .DOB4(), .DOB3(), .DOB2(), .DOB1(), .DOB0()) + /* synthesis MEM_LPC_FILE="fontrom.lpc" */ + /* synthesis MEM_INIT_FILE="font256x16l.mem" */; + + defparam fontrom_0_2_1.INIT_DATA = "STATIC" ; + defparam fontrom_0_2_1.ASYNC_RESET_RELEASE = "SYNC" ; + defparam fontrom_0_2_1.INITVAL_1F = "0x00000000000003F1FE0000000064DC00000054A40007A100000000100000000010800000000006AC" ; + defparam fontrom_0_2_1.INITVAL_1E = "0x00007038000001418A00000D50AA550AA550AA40000C11DA00000CD002C0000C10EA000003018600" ; + defparam fontrom_0_2_1.INITVAL_1D = "0x00000000C00007A174D00002F0B8000000D0B800000EA162D0000AA100B0000E0184C0000DE15AC0" ; + defparam fontrom_0_2_1.INITVAL_1C = "0x000550AE00000AE15400000D50B800000CB0F0C0000AA1560000000000300000002030000D50B800" ; + defparam fontrom_0_2_1.INITVAL_1B = "0x0000007EFF00000000001FEFF1FEFF1FEFF180001FEFF1FEFF0AA5508000000001AA550AA551BA55" ; + defparam fontrom_0_2_1.INITVAL_1A = "0x1FEFF1FEFF1FEFF180000AA550A800000000AA55000001FEFF1FEFF180000AA5519800000001FEFF" ; + defparam fontrom_0_2_1.INITVAL_19 = "0x0000019A551FEFF19EFF00000198001FEFF1FEFF1FEFF198000000019EFF1FEFF1F800000001FEFF" ; + defparam fontrom_0_2_1.INITVAL_18 = "0x1FEFF1FEFF0AA550AA550AA551AA5500000180000AA550AA550AA5518000000001AA55000000AA55" ; + defparam fontrom_0_2_1.INITVAL_17 = "0x0AA5518000000001BA55000001FEFF0000019EFF1FEFF198001FEFF1FEFF1FEFF19EFF0AA551B800" ; + defparam fontrom_0_2_1.INITVAL_16 = "0x1FEFF180001FEFF1FEFF0AA551BA550AA551AA550AA550AA551BADD1BADD13299132990221102211" ; + defparam fontrom_0_2_1.INITVAL_15 = "0x000061C8000000E0D8000007F0A850000D21A000008121A00000000060000000006000000C0178F0" ; + defparam fontrom_0_2_1.INITVAL_14 = "0x00000066AC00000066AC000000FC07000AA14870000C0000B4000C0018B4000D50B8D0000C0198B4" ; + defparam fontrom_0_2_1.INITVAL_13 = "0x01A550EA50000EA156AC000570EE80000EA15CAC0005C000D4000C000000000C0000C0060C000000" ; + defparam fontrom_0_2_1.INITVAL_12 = "0x000C000078000C00003C000C001878000C001800000C0018B400000060B0000D70F000000EA1D62D" ; + defparam fontrom_0_2_1.INITVAL_11 = "0x000030163B0000301640000D50B878000D50B8B4000D50B820000C019878000C019800000C0198B4" ; + defparam fontrom_0_2_1.INITVAL_10 = "0x0060E15600000C0198EC000C019878000C019800000C0198B4000C0198D0000C00000001838000B0" ; + defparam fontrom_0_2_1.INITVAL_0F = "0x0003005A000000000070000D508A700005508A50000150EA40000CB09800060C0000000002D1C000" ; + defparam fontrom_0_2_1.INITVAL_0E = "0x000B5000000007800000000C0000000007F1FED0000C311800000EA16800020C001800074EA14800" ; + defparam fontrom_0_2_1.INITVAL_0D = "0x000C001800000AA14800000550F000000D50AA70000AB1D4A00740000000000D50B850000AA174A0" ; + defparam fontrom_0_2_1.INITVAL_0C = "0x060C001800000EA174B0000C019800000C005810000C001800000EA15CA0000C019800000000001F" ; + defparam fontrom_0_2_1.INITVAL_0B = "0x0180000000000000002D000C000030000011F000000FF1FEF0000C21A030000D50F0000000B0BC00" ; + defparam fontrom_0_2_1.INITVAL_0A = "0x000AD080000007800000000C000000000D50AA70000C007030000AA174B0000D400030000EA174B0" ; + defparam fontrom_0_2_1.INITVAL_09 = "0x000C0000300000003E800000003E80000EA154B0000AA1F4A0000C000010000D50AA700000006000" ; + defparam fontrom_0_2_1.INITVAL_08 = "0x000E0080B0000EA174B0000EA174B0000EA154B0000E0000B0000EA174B000000184D0000C50A0C0" ; + defparam fontrom_0_2_1.INITVAL_07 = "0x000510A030000B400E8000003018000000716800000D400A000001400A00000C006030000C006030" ; + defparam fontrom_0_2_1.INITVAL_06 = "0x000FF08030000C0060B0000C0060300004019640000C006030000C21A030000D50AED0000E00A0B0" ; + defparam fontrom_0_2_1.INITVAL_05 = "0x0000B08000000500000000000180000065400000000051A8000000B1F000000D0000700001F1FE40" ; + defparam fontrom_0_2_1.INITVAL_04 = "0x00000004FC000C00FCB00000B0800000AC006035000AE15C8000000004A8000510BED00000000000" ; + defparam fontrom_0_2_1.INITVAL_03 = "0x0001F1FE000003F1FA000000A1D0000000C000000000E1D80000004188000007D0AA50000550AED0" ; + defparam fontrom_0_2_1.INITVAL_02 = "0x000DF0AED0000FF000000060E0168C000550EA70000A2154A00001F0AED0000011FA000000B1FE80" ; + defparam fontrom_0_2_1.INITVAL_01 = "0x0005716A40000AA156B0000BF1FEF00005D1D4B0000C007A101FEC71A6FF00038058001FEF805EFF" ; + defparam fontrom_0_2_1.INITVAL_00 = "0x000071A000000D71FE40000D615E400001F1FA000007F1FC00000FE07AF0000C1184300000000000" ; + defparam fontrom_0_2_1.CSDECODE_B = "0b111" ; + defparam fontrom_0_2_1.CSDECODE_A = "0b000" ; + defparam fontrom_0_2_1.WRITEMODE_B = "NORMAL" ; + defparam fontrom_0_2_1.WRITEMODE_A = "NORMAL" ; + defparam fontrom_0_2_1.GSR = "ENABLED" ; + defparam fontrom_0_2_1.RESETMODE = "ASYNC" ; + defparam fontrom_0_2_1.REGMODE_B = "NOREG" ; + defparam fontrom_0_2_1.REGMODE_A = "NOREG" ; + defparam fontrom_0_2_1.DATA_WIDTH_B = 2 ; + defparam fontrom_0_2_1.DATA_WIDTH_A = 2 ; + DP8KC fontrom_0_2_1 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), + .DIA5(scuba_vlo), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(scuba_vlo), + .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(Address[11]), .ADA11(Address[10]), + .ADA10(Address[9]), .ADA9(Address[8]), .ADA8(Address[7]), .ADA7(Address[6]), + .ADA6(Address[5]), .ADA5(Address[4]), .ADA4(Address[3]), .ADA3(Address[2]), + .ADA2(Address[1]), .ADA1(Address[0]), .ADA0(scuba_vlo), .CEA(OutClockEn), + .OCEA(OutClockEn), .CLKA(OutClock), .WEA(scuba_vlo), .CSA2(scuba_vlo), + .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), + .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), + .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), + .ADB12(scuba_vlo), .ADB11(scuba_vlo), .ADB10(scuba_vlo), .ADB9(scuba_vlo), + .ADB8(scuba_vlo), .ADB7(scuba_vlo), .ADB6(scuba_vlo), .ADB5(scuba_vlo), + .ADB4(scuba_vlo), .ADB3(scuba_vlo), .ADB2(scuba_vlo), .ADB1(scuba_vlo), + .ADB0(scuba_vlo), .CEB(scuba_vhi), .OCEB(scuba_vhi), .CLKB(scuba_vlo), + .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), + .RSTB(scuba_vlo), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), + .DOA2(), .DOA1(Q[5]), .DOA0(Q[4]), .DOB8(), .DOB7(), .DOB6(), .DOB5(), + .DOB4(), .DOB3(), .DOB2(), .DOB1(), .DOB0()) + /* synthesis MEM_LPC_FILE="fontrom.lpc" */ + /* synthesis MEM_INIT_FILE="font256x16l.mem" */; + + VHI scuba_vhi_inst (.Z(scuba_vhi)); + + VLO scuba_vlo_inst (.Z(scuba_vlo)); + + defparam fontrom_0_3_0.INIT_DATA = "STATIC" ; + defparam fontrom_0_3_0.ASYNC_RESET_RELEASE = "SYNC" ; + defparam fontrom_0_3_0.INITVAL_1F = "0x0000000000000150AA000000007A340000002A5C0000518000000000000000000000000000000050" ; + defparam fontrom_0_3_0.INITVAL_1E = "0x0000D0680000000080000007F00000000000000000040020000004000000000C0020000003018600" ; + defparam fontrom_0_3_0.INITVAL_1D = "0x000FF1FE40000050AA00000D71E800000071E8000001508000000D50FE400001F1FA00000410A040" ; + defparam fontrom_0_3_0.INITVAL_1C = "0x0000001A00006550AA000007F1E800000F400EC0000550AE00000FF1FEF0000FF1FED00007F1E800" ; + defparam fontrom_0_3_0.INITVAL_1B = "0x0000007EFF00000000001FEFF1FEFF1FEFF180001FEFF1FEFF000000000000000180000000019800" ; + defparam fontrom_0_3_0.INITVAL_1A = "0x00000180000000000000000000000000000000000000000000000001800000000198000000018000" ; + defparam fontrom_0_3_0.INITVAL_19 = "0x00000198000000019800000001980000000000000000019800000001980000000000000000000000" ; + defparam fontrom_0_3_0.INITVAL_18 = "0x00000000000000000000000001800000000180000000000000000001800000000180000000000000" ; + defparam fontrom_0_3_0.INITVAL_17 = "0x00000180000000019800000001800000000198000000019800000000000000000198000000019800" ; + defparam fontrom_0_3_0.INITVAL_16 = "0x000001800000000180000000019800000001800000000000000EE770EE7713299132990884408844" ; + defparam fontrom_0_3_0.INITVAL_15 = "0x0000D03800000011A00000000000000002D01EFC0002D01EFC00000060000003F1E0000007F08000" ; + defparam fontrom_0_3_0.INITVAL_14 = "0x00000020500000002050000FF1FECD000550B8D00007F1F8400007F1E84000000000000007F08840" ; + defparam fontrom_0_3_0.INITVAL_13 = "0x00E0002000000D50AA5C0000306070000F50BA50000071FE400007F1FECC0007F1FE4C0207F1F830" ; + defparam fontrom_0_3_0.INITVAL_12 = "0x0007F1F8040007F1F8D00007F1E8040007F1E8300007F1E840000FF1FE400007D00800000D50AE10" ; + defparam fontrom_0_3_0.INITVAL_11 = "0x000FF1E804000FF1E80C0000000004000000004000000000100007F1E8040007F1E8300007F1E840" ; + defparam fontrom_0_3_0.INITVAL_10 = "0x000010A8000007F088100007F088040007F088300007F088400007F1E8000007F1F830008071FE40" ; + defparam fontrom_0_3_0.INITVAL_0F = "0x0003F1A00000000000D0000400001000000000000000002000000F4078000607F1F800000D003800" ; + defparam fontrom_0_3_0.INITVAL_0E = "0x0007F1F800000071F8000007F1F8000000001800000700E800000D50B8000007F1E80006A550B800" ; + defparam fontrom_0_3_0.INITVAL_0D = "0x0007F1E800000550B800000FF1F8000000000000000D50AA7000A00000000000000000000D50AA70" ; + defparam fontrom_0_3_0.INITVAL_0C = "0x0387F1E800000D50EA400007F1E8000007F1A0000007F1E800000550AA700007F088000000000000" ; + defparam fontrom_0_3_0.INITVAL_0B = "0x018000000000000000D000000000000000003E800000000000000FD004F00000000EF0000F4002F0" ; + defparam fontrom_0_3_0.INITVAL_0A = "0x0005F1FEF0000071FEF00007F1FEF000000004F00007C00ED0000D50AA700007F1FED0000D50AA70" ; + defparam fontrom_0_3_0.INITVAL_09 = "0x0007F1FED0000FF1FEF0000FF1FEF0000D50AA70000D50AA700007F000000000000000000FF1FEF0" ; + defparam fontrom_0_3_0.INITVAL_08 = "0x0001F1FE40000D50AA70000D50AA70000D50AA700001F1FE40000D50AA70000FF1FA000007F1FE40" ; + defparam fontrom_0_3_0.INITVAL_07 = "0x00000006D0000400004000001008000000008000000000000000000000000004003ED00007F1BED0" ; + defparam fontrom_0_3_0.INITVAL_06 = "0x00000000F00007F1FE400007007EF0000001E80000070000D0000FD000D000040002000001F1FE40" ; + defparam fontrom_0_3_0.INITVAL_05 = "0x000B4000000000000000000001800000000000000000008000000041880000000000000000000000" ; + defparam fontrom_0_3_0.INITVAL_04 = "0x00000002000007F1A240000B401E000007803ED00005D0BA40000000005400000000000000000000" ; + defparam fontrom_0_3_0.INITVAL_03 = "0x000000BE000003D08000000011A0000000F1E000000011A000000001800000004000000000000200" ; + defparam fontrom_0_3_0.INITVAL_02 = "0x0004100200000FF00000002C11E8740000003ED0000510AA5000001002000000006000000BF1FEF8" ; + defparam fontrom_0_3_0.INITVAL_01 = "0x0000C19800006F50AA50000F4000000000402A400007F1A0001FEFA15EFF000050A0001FEFF1FEFF" ; + defparam fontrom_0_3_0.INITVAL_00 = "0x0000000000000011E800000031E000000011A000000071FA000007F1FED00006A154900000000000" ; + defparam fontrom_0_3_0.CSDECODE_B = "0b111" ; + defparam fontrom_0_3_0.CSDECODE_A = "0b000" ; + defparam fontrom_0_3_0.WRITEMODE_B = "NORMAL" ; + defparam fontrom_0_3_0.WRITEMODE_A = "NORMAL" ; + defparam fontrom_0_3_0.GSR = "ENABLED" ; + defparam fontrom_0_3_0.RESETMODE = "ASYNC" ; + defparam fontrom_0_3_0.REGMODE_B = "NOREG" ; + defparam fontrom_0_3_0.REGMODE_A = "NOREG" ; + defparam fontrom_0_3_0.DATA_WIDTH_B = 2 ; + defparam fontrom_0_3_0.DATA_WIDTH_A = 2 ; + DP8KC fontrom_0_3_0 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo), + .DIA5(scuba_vlo), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(scuba_vlo), + .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(Address[11]), .ADA11(Address[10]), + .ADA10(Address[9]), .ADA9(Address[8]), .ADA8(Address[7]), .ADA7(Address[6]), + .ADA6(Address[5]), .ADA5(Address[4]), .ADA4(Address[3]), .ADA3(Address[2]), + .ADA2(Address[1]), .ADA1(Address[0]), .ADA0(scuba_vlo), .CEA(OutClockEn), + .OCEA(OutClockEn), .CLKA(OutClock), .WEA(scuba_vlo), .CSA2(scuba_vlo), + .CSA1(scuba_vlo), .CSA0(scuba_vlo), .RSTA(Reset), .DIB8(scuba_vlo), + .DIB7(scuba_vlo), .DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), + .DIB3(scuba_vlo), .DIB2(scuba_vlo), .DIB1(scuba_vlo), .DIB0(scuba_vlo), + .ADB12(scuba_vlo), .ADB11(scuba_vlo), .ADB10(scuba_vlo), .ADB9(scuba_vlo), + .ADB8(scuba_vlo), .ADB7(scuba_vlo), .ADB6(scuba_vlo), .ADB5(scuba_vlo), + .ADB4(scuba_vlo), .ADB3(scuba_vlo), .ADB2(scuba_vlo), .ADB1(scuba_vlo), + .ADB0(scuba_vlo), .CEB(scuba_vhi), .OCEB(scuba_vhi), .CLKB(scuba_vlo), + .WEB(scuba_vlo), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo), + .RSTB(scuba_vlo), .DOA8(), .DOA7(), .DOA6(), .DOA5(), .DOA4(), .DOA3(), + .DOA2(), .DOA1(Q[7]), .DOA0(Q[6]), .DOB8(), .DOB7(), .DOB6(), .DOB5(), + .DOB4(), .DOB3(), .DOB2(), .DOB1(), .DOB0()) + /* synthesis MEM_LPC_FILE="fontrom.lpc" */ + /* synthesis MEM_INIT_FILE="font256x16l.mem" */; + + + + // exemplar begin + // exemplar attribute fontrom_0_0_3 MEM_LPC_FILE fontrom.lpc + // exemplar attribute fontrom_0_0_3 MEM_INIT_FILE font256x16l.mem + // exemplar attribute fontrom_0_1_2 MEM_LPC_FILE fontrom.lpc + // exemplar attribute fontrom_0_1_2 MEM_INIT_FILE font256x16l.mem + // exemplar attribute fontrom_0_2_1 MEM_LPC_FILE fontrom.lpc + // exemplar attribute fontrom_0_2_1 MEM_INIT_FILE font256x16l.mem + // exemplar attribute fontrom_0_3_0 MEM_LPC_FILE fontrom.lpc + // exemplar attribute fontrom_0_3_0 MEM_INIT_FILE font256x16l.mem + // exemplar end + +endmodule Index: lattice/fontrom.sym =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: lattice/fontrom.sym =================================================================== --- lattice/fontrom.sym (nonexistent) +++ lattice/fontrom.sym (revision 8)
lattice/fontrom.sym Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: lattice/font256x16l.mem =================================================================== --- lattice/font256x16l.mem (nonexistent) +++ lattice/font256x16l.mem (revision 8) @@ -0,0 +1,512 @@ +000:00 00 00 00 00 00 00 00 +008:00 00 00 00 00 00 00 00 +010:00 00 7e 81 a5 81 81 bd +018:99 81 81 7e 00 00 00 00 +020:00 00 7e ff db ff ff c3 +028:e7 ff ff 7e 00 00 00 00 +030:00 00 00 00 6c fe fe fe +038:fe 7c 38 10 00 00 00 00 +040:00 00 00 00 10 38 7c fe +048:7c 38 10 00 00 00 00 00 +050:00 00 00 18 3c 3c e7 e7 +058:e7 18 18 3c 00 00 00 00 +060:00 00 00 18 3c 7e ff ff +068:7e 18 18 3c 00 00 00 00 +070:00 00 00 00 00 00 18 3c +078:3c 18 00 00 00 00 00 00 +080:ff ff ff ff ff ff e7 c3 +088:c3 e7 ff ff ff ff ff ff +090:00 00 00 00 00 3c 66 42 +098:42 66 3c 00 00 00 00 00 +0a0:ff ff ff ff ff c3 99 bd +0a8:bd 99 c3 ff ff ff ff ff +0b0:00 00 1e 0e 1a 32 78 cc +0b8:cc cc cc 78 00 00 00 00 +0c0:00 00 3c 66 66 66 66 3c +0c8:18 7e 18 18 00 00 00 00 +0d0:00 00 3f 33 3f 30 30 30 +0d8:30 70 f0 e0 00 00 00 00 +0e0:00 00 7f 63 7f 63 63 63 +0e8:63 67 e7 e6 c0 00 00 00 +0f0:00 00 00 18 18 db 3c e7 +0f8:3c db 18 18 00 00 00 00 +100:00 80 c0 e0 f0 f8 fe f8 +108:f0 e0 c0 80 00 00 00 00 +110:00 02 06 0e 1e 3e fe 3e +118:1e 0e 06 02 00 00 00 00 +120:00 00 18 3c 7e 18 18 18 +128:7e 3c 18 00 00 00 00 00 +130:00 00 66 66 66 66 66 66 +138:66 00 66 66 00 00 00 00 +140:00 00 7f db db db 7b 1b +148:1b 1b 1b 1b 00 00 00 00 +150:00 7c c6 60 38 6c c6 c6 +158:6c 38 0c c6 7c 00 00 00 +160:00 00 00 00 00 00 00 00 +168:fe fe fe fe 00 00 00 00 +170:00 00 18 3c 7e 18 18 18 +178:7e 3c 18 7e 00 00 00 00 +180:00 00 18 3c 7e 18 18 18 +188:18 18 18 18 00 00 00 00 +190:00 00 18 18 18 18 18 18 +198:18 7e 3c 18 00 00 00 00 +1a0:00 00 00 00 00 18 0c fe +1a8:0c 18 00 00 00 00 00 00 +1b0:00 00 00 00 00 30 60 fe +1b8:60 30 00 00 00 00 00 00 +1c0:00 00 00 00 00 00 c0 c0 +1c8:c0 fe 00 00 00 00 00 00 +1d0:00 00 00 00 00 24 66 ff +1d8:66 24 00 00 00 00 00 00 +1e0:00 00 00 00 10 38 38 7c +1e8:7c fe fe 00 00 00 00 00 +1f0:00 00 00 00 fe fe 7c 7c +1f8:38 38 10 00 00 00 00 00 +200:00 00 00 00 00 00 00 00 +208:00 00 00 00 00 00 00 00 +210:00 00 18 3c 3c 3c 18 18 +218:18 00 18 18 00 00 00 00 +220:00 66 66 66 24 00 00 00 +228:00 00 00 00 00 00 00 00 +230:00 00 00 6c 6c fe 6c 6c +238:6c fe 6c 6c 00 00 00 00 +240:18 18 7c c6 c2 c0 7c 06 +248:06 86 c6 7c 18 18 00 00 +250:00 00 00 00 c2 c6 0c 18 +258:30 60 c6 86 00 00 00 00 +260:00 00 38 6c 6c 38 76 dc +268:cc cc cc 76 00 00 00 00 +270:00 30 30 30 60 00 00 00 +278:00 00 00 00 00 00 00 00 +280:00 00 0c 18 30 30 30 30 +288:30 30 18 0c 00 00 00 00 +290:00 00 30 18 0c 0c 0c 0c +298:0c 0c 18 30 00 00 00 00 +2a0:00 00 00 00 00 66 3c ff +2a8:3c 66 00 00 00 00 00 00 +2b0:00 00 00 00 00 18 18 7e +2b8:18 18 00 00 00 00 00 00 +2c0:00 00 00 00 00 00 00 00 +2c8:00 18 18 18 30 00 00 00 +2d0:00 00 00 00 00 00 00 fe +2d8:00 00 00 00 00 00 00 00 +2e0:00 00 00 00 00 00 00 00 +2e8:00 00 18 18 00 00 00 00 +2f0:00 00 00 00 02 06 0c 18 +2f8:30 60 c0 80 00 00 00 00 +300:00 00 3c 66 c3 c3 db db +308:c3 c3 66 3c 00 00 00 00 +310:00 00 18 38 78 18 18 18 +318:18 18 18 7e 00 00 00 00 +320:00 00 7c c6 06 0c 18 30 +328:60 c0 c6 fe 00 00 00 00 +330:00 00 7c c6 06 06 3c 06 +338:06 06 c6 7c 00 00 00 00 +340:00 00 0c 1c 3c 6c cc fe +348:0c 0c 0c 1e 00 00 00 00 +350:00 00 fe c0 c0 c0 fc 06 +358:06 06 c6 7c 00 00 00 00 +360:00 00 38 60 c0 c0 fc c6 +368:c6 c6 c6 7c 00 00 00 00 +370:00 00 fe c6 06 06 0c 18 +378:30 30 30 30 00 00 00 00 +380:00 00 7c c6 c6 c6 7c c6 +388:c6 c6 c6 7c 00 00 00 00 +390:00 00 7c c6 c6 c6 7e 06 +398:06 06 0c 78 00 00 00 00 +3a0:00 00 00 00 18 18 00 00 +3a8:00 18 18 00 00 00 00 00 +3b0:00 00 00 00 18 18 00 00 +3b8:00 18 18 30 00 00 00 00 +3c0:00 00 00 06 0c 18 30 60 +3c8:30 18 0c 06 00 00 00 00 +3d0:00 00 00 00 00 7e 00 00 +3d8:7e 00 00 00 00 00 00 00 +3e0:00 00 00 60 30 18 0c 06 +3e8:0c 18 30 60 00 00 00 00 +3f0:00 00 7c c6 c6 0c 18 18 +3f8:18 00 18 18 00 00 00 00 +400:00 00 00 7c c6 c6 de de +408:de dc c0 7c 00 00 00 00 +410:00 00 10 38 6c c6 c6 fe +418:c6 c6 c6 c6 00 00 00 00 +420:00 00 fc 66 66 66 7c 66 +428:66 66 66 fc 00 00 00 00 +430:00 00 3c 66 c2 c0 c0 c0 +438:c0 c2 66 3c 00 00 00 00 +440:00 00 f8 6c 66 66 66 66 +448:66 66 6c f8 00 00 00 00 +450:00 00 fe 66 62 68 78 68 +458:60 62 66 fe 00 00 00 00 +460:00 00 fe 66 62 68 78 68 +468:60 60 60 f0 00 00 00 00 +470:00 00 3c 66 c2 c0 c0 de +478:c6 c6 66 3a 00 00 00 00 +480:00 00 c6 c6 c6 c6 fe c6 +488:c6 c6 c6 c6 00 00 00 00 +490:00 00 3c 18 18 18 18 18 +498:18 18 18 3c 00 00 00 00 +4a0:00 00 1e 0c 0c 0c 0c 0c +4a8:cc cc cc 78 00 00 00 00 +4b0:00 00 e6 66 66 6c 78 78 +4b8:6c 66 66 e6 00 00 00 00 +4c0:00 00 f0 60 60 60 60 60 +4c8:60 62 66 fe 00 00 00 00 +4d0:00 00 c3 e7 ff ff db c3 +4d8:c3 c3 c3 c3 00 00 00 00 +4e0:00 00 c6 e6 f6 fe de ce +4e8:c6 c6 c6 c6 00 00 00 00 +4f0:00 00 7c c6 c6 c6 c6 c6 +4f8:c6 c6 c6 7c 00 00 00 00 +500:00 00 fc 66 66 66 7c 60 +508:60 60 60 f0 00 00 00 00 +510:00 00 7c c6 c6 c6 c6 c6 +518:c6 d6 de 7c 0c 0e 00 00 +520:00 00 fc 66 66 66 7c 6c +528:66 66 66 e6 00 00 00 00 +530:00 00 7c c6 c6 60 38 0c +538:06 c6 c6 7c 00 00 00 00 +540:00 00 ff db 99 18 18 18 +548:18 18 18 3c 00 00 00 00 +550:00 00 c6 c6 c6 c6 c6 c6 +558:c6 c6 c6 7c 00 00 00 00 +560:00 00 c3 c3 c3 c3 c3 c3 +568:c3 66 3c 18 00 00 00 00 +570:00 00 c3 c3 c3 c3 c3 db +578:db ff 66 66 00 00 00 00 +580:00 00 c3 c3 66 3c 18 18 +588:3c 66 c3 c3 00 00 00 00 +590:00 00 c3 c3 c3 66 3c 18 +598:18 18 18 3c 00 00 00 00 +5a0:00 00 ff c3 86 0c 18 30 +5a8:60 c1 c3 ff 00 00 00 00 +5b0:00 00 3c 30 30 30 30 30 +5b8:30 30 30 3c 00 00 00 00 +5c0:00 00 00 80 c0 e0 70 38 +5c8:1c 0e 06 02 00 00 00 00 +5d0:00 00 3c 0c 0c 0c 0c 0c +5d8:0c 0c 0c 3c 00 00 00 00 +5e0:10 38 6c c6 00 00 00 00 +5e8:00 00 00 00 00 00 00 00 +5f0:00 00 00 00 00 00 00 00 +5f8:00 00 00 00 00 ff 00 00 +600:30 30 18 00 00 00 00 00 +608:00 00 00 00 00 00 00 00 +610:00 00 00 00 00 78 0c 7c +618:cc cc cc 76 00 00 00 00 +620:00 00 e0 60 60 78 6c 66 +628:66 66 66 7c 00 00 00 00 +630:00 00 00 00 00 7c c6 c0 +638:c0 c0 c6 7c 00 00 00 00 +640:00 00 1c 0c 0c 3c 6c cc +648:cc cc cc 76 00 00 00 00 +650:00 00 00 00 00 7c c6 fe +658:c0 c0 c6 7c 00 00 00 00 +660:00 00 38 6c 64 60 f0 60 +668:60 60 60 f0 00 00 00 00 +670:00 00 00 00 00 76 cc cc +678:cc cc cc 7c 0c cc 78 00 +680:00 00 e0 60 60 6c 76 66 +688:66 66 66 e6 00 00 00 00 +690:00 00 18 18 00 38 18 18 +698:18 18 18 3c 00 00 00 00 +6a0:00 00 06 06 00 0e 06 06 +6a8:06 06 06 06 66 66 3c 00 +6b0:00 00 e0 60 60 66 6c 78 +6b8:78 6c 66 e6 00 00 00 00 +6c0:00 00 38 18 18 18 18 18 +6c8:18 18 18 3c 00 00 00 00 +6d0:00 00 00 00 00 e6 ff db +6d8:db db db db 00 00 00 00 +6e0:00 00 00 00 00 dc 66 66 +6e8:66 66 66 66 00 00 00 00 +6f0:00 00 00 00 00 7c c6 c6 +6f8:c6 c6 c6 7c 00 00 00 00 +700:00 00 00 00 00 dc 66 66 +708:66 66 66 7c 60 60 f0 00 +710:00 00 00 00 00 76 cc cc +718:cc cc cc 7c 0c 0c 1e 00 +720:00 00 00 00 00 dc 76 66 +728:60 60 60 f0 00 00 00 00 +730:00 00 00 00 00 7c c6 60 +738:38 0c c6 7c 00 00 00 00 +740:00 00 10 30 30 fc 30 30 +748:30 30 36 1c 00 00 00 00 +750:00 00 00 00 00 cc cc cc +758:cc cc cc 76 00 00 00 00 +760:00 00 00 00 00 c3 c3 c3 +768:c3 66 3c 18 00 00 00 00 +770:00 00 00 00 00 c3 c3 c3 +778:db db ff 66 00 00 00 00 +780:00 00 00 00 00 c3 66 3c +788:18 3c 66 c3 00 00 00 00 +790:00 00 00 00 00 c6 c6 c6 +798:c6 c6 c6 7e 06 0c f8 00 +7a0:00 00 00 00 00 fe cc 18 +7a8:30 60 c6 fe 00 00 00 00 +7b0:00 00 0e 18 18 18 70 18 +7b8:18 18 18 0e 00 00 00 00 +7c0:00 00 18 18 18 18 00 18 +7c8:18 18 18 18 00 00 00 00 +7d0:00 00 70 18 18 18 0e 18 +7d8:18 18 18 70 00 00 00 00 +7e0:00 00 76 dc 00 00 00 00 +7e8:00 00 00 00 00 00 00 00 +7f0:00 00 00 00 10 38 6c c6 +7f8:c6 c6 fe 00 00 00 00 00 +800:00 00 3c 66 c2 c0 c0 c0 +808:c2 66 3c 0c 06 7c 00 00 +810:00 00 cc 00 00 cc cc cc +818:cc cc cc 76 00 00 00 00 +820:00 0c 18 30 00 7c c6 fe +828:c0 c0 c6 7c 00 00 00 00 +830:00 10 38 6c 00 78 0c 7c +838:cc cc cc 76 00 00 00 00 +840:00 00 cc 00 00 78 0c 7c +848:cc cc cc 76 00 00 00 00 +850:00 60 30 18 00 78 0c 7c +858:cc cc cc 76 00 00 00 00 +860:00 38 6c 38 00 78 0c 7c +868:cc cc cc 76 00 00 00 00 +870:00 00 00 00 3c 66 60 60 +878:66 3c 0c 06 3c 00 00 00 +880:00 10 38 6c 00 7c c6 fe +888:c0 c0 c6 7c 00 00 00 00 +890:00 00 c6 00 00 7c c6 fe +898:c0 c0 c6 7c 00 00 00 00 +8a0:00 60 30 18 00 7c c6 fe +8a8:c0 c0 c6 7c 00 00 00 00 +8b0:00 00 66 00 00 38 18 18 +8b8:18 18 18 3c 00 00 00 00 +8c0:00 18 3c 66 00 38 18 18 +8c8:18 18 18 3c 00 00 00 00 +8d0:00 60 30 18 00 38 18 18 +8d8:18 18 18 3c 00 00 00 00 +8e0:00 c6 00 10 38 6c c6 c6 +8e8:fe c6 c6 c6 00 00 00 00 +8f0:38 6c 38 00 38 6c c6 c6 +8f8:fe c6 c6 c6 00 00 00 00 +900:18 30 60 00 fe 66 60 7c +908:60 60 66 fe 00 00 00 00 +910:00 00 00 00 00 6e 3b 1b +918:7e d8 dc 77 00 00 00 00 +920:00 00 3e 6c cc cc fe cc +928:cc cc cc ce 00 00 00 00 +930:00 10 38 6c 00 7c c6 c6 +938:c6 c6 c6 7c 00 00 00 00 +940:00 00 c6 00 00 7c c6 c6 +948:c6 c6 c6 7c 00 00 00 00 +950:00 60 30 18 00 7c c6 c6 +958:c6 c6 c6 7c 00 00 00 00 +960:00 30 78 cc 00 cc cc cc +968:cc cc cc 76 00 00 00 00 +970:00 60 30 18 00 cc cc cc +978:cc cc cc 76 00 00 00 00 +980:00 00 c6 00 00 c6 c6 c6 +988:c6 c6 c6 7e 06 0c 78 00 +990:00 c6 00 7c c6 c6 c6 c6 +998:c6 c6 c6 7c 00 00 00 00 +9a0:00 c6 00 c6 c6 c6 c6 c6 +9a8:c6 c6 c6 7c 00 00 00 00 +9b0:00 18 18 7e c3 c0 c0 c0 +9b8:c3 7e 18 18 00 00 00 00 +9c0:00 38 6c 64 60 f0 60 60 +9c8:60 60 e6 fc 00 00 00 00 +9d0:00 00 c3 66 3c 18 ff 18 +9d8:ff 18 18 18 00 00 00 00 +9e0:00 fc 66 66 7c 62 66 6f +9e8:66 66 66 f3 00 00 00 00 +9f0:00 0e 1b 18 18 18 7e 18 +9f8:18 18 18 18 d8 70 00 00 +a00:00 18 30 60 00 78 0c 7c +a08:cc cc cc 76 00 00 00 00 +a10:00 0c 18 30 00 38 18 18 +a18:18 18 18 3c 00 00 00 00 +a20:00 18 30 60 00 7c c6 c6 +a28:c6 c6 c6 7c 00 00 00 00 +a30:00 18 30 60 00 cc cc cc +a38:cc cc cc 76 00 00 00 00 +a40:00 00 76 dc 00 dc 66 66 +a48:66 66 66 66 00 00 00 00 +a50:76 dc 00 c6 e6 f6 fe de +a58:ce c6 c6 c6 00 00 00 00 +a60:00 3c 6c 6c 3e 00 7e 00 +a68:00 00 00 00 00 00 00 00 +a70:00 38 6c 6c 38 00 7c 00 +a78:00 00 00 00 00 00 00 00 +a80:00 00 30 30 00 30 30 60 +a88:c0 c6 c6 7c 00 00 00 00 +a90:00 00 00 00 00 00 fe c0 +a98:c0 c0 c0 00 00 00 00 00 +aa0:00 00 00 00 00 00 fe 06 +aa8:06 06 06 00 00 00 00 00 +ab0:00 c0 c0 c2 c6 cc 18 30 +ab8:60 ce 9b 06 0c 1f 00 00 +ac0:00 c0 c0 c2 c6 cc 18 30 +ac8:66 ce 96 3e 06 06 00 00 +ad0:00 00 18 18 00 18 18 18 +ad8:3c 3c 3c 18 00 00 00 00 +ae0:00 00 00 00 00 36 6c d8 +ae8:6c 36 00 00 00 00 00 00 +af0:00 00 00 00 00 d8 6c 36 +af8:6c d8 00 00 00 00 00 00 +b00:11 44 11 44 11 44 11 44 +b08:11 44 11 44 11 44 11 44 +b10:55 aa 55 aa 55 aa 55 aa +b18:55 aa 55 aa 55 aa 55 aa +b20:dd 77 dd 77 dd 77 dd 77 +b28:dd 77 dd 77 dd 77 dd 77 +b30:18 18 18 18 18 18 18 18 +b38:18 18 18 18 18 18 18 18 +b40:18 18 18 18 18 18 18 f8 +b48:18 18 18 18 18 18 18 18 +b50:18 18 18 18 18 f8 18 f8 +b58:18 18 18 18 18 18 18 18 +b60:36 36 36 36 36 36 36 f6 +b68:36 36 36 36 36 36 36 36 +b70:00 00 00 00 00 00 00 fe +b78:36 36 36 36 36 36 36 36 +b80:00 00 00 00 00 f8 18 f8 +b88:18 18 18 18 18 18 18 18 +b90:36 36 36 36 36 f6 06 f6 +b98:36 36 36 36 36 36 36 36 +ba0:36 36 36 36 36 36 36 36 +ba8:36 36 36 36 36 36 36 36 +bb0:00 00 00 00 00 fe 06 f6 +bb8:36 36 36 36 36 36 36 36 +bc0:36 36 36 36 36 f6 06 fe +bc8:00 00 00 00 00 00 00 00 +bd0:36 36 36 36 36 36 36 fe +bd8:00 00 00 00 00 00 00 00 +be0:18 18 18 18 18 f8 18 f8 +be8:00 00 00 00 00 00 00 00 +bf0:00 00 00 00 00 00 00 f8 +bf8:18 18 18 18 18 18 18 18 +c00:18 18 18 18 18 18 18 1f +c08:00 00 00 00 00 00 00 00 +c10:18 18 18 18 18 18 18 ff +c18:00 00 00 00 00 00 00 00 +c20:00 00 00 00 00 00 00 ff +c28:18 18 18 18 18 18 18 18 +c30:18 18 18 18 18 18 18 1f +c38:18 18 18 18 18 18 18 18 +c40:00 00 00 00 00 00 00 ff +c48:00 00 00 00 00 00 00 00 +c50:18 18 18 18 18 18 18 ff +c58:18 18 18 18 18 18 18 18 +c60:18 18 18 18 18 1f 18 1f +c68:18 18 18 18 18 18 18 18 +c70:36 36 36 36 36 36 36 37 +c78:36 36 36 36 36 36 36 36 +c80:36 36 36 36 36 37 30 3f +c88:00 00 00 00 00 00 00 00 +c90:00 00 00 00 00 3f 30 37 +c98:36 36 36 36 36 36 36 36 +ca0:36 36 36 36 36 f7 00 ff +ca8:00 00 00 00 00 00 00 00 +cb0:00 00 00 00 00 ff 00 f7 +cb8:36 36 36 36 36 36 36 36 +cc0:36 36 36 36 36 37 30 37 +cc8:36 36 36 36 36 36 36 36 +cd0:00 00 00 00 00 ff 00 ff +cd8:00 00 00 00 00 00 00 00 +ce0:36 36 36 36 36 f7 00 f7 +ce8:36 36 36 36 36 36 36 36 +cf0:18 18 18 18 18 ff 00 ff +cf8:00 00 00 00 00 00 00 00 +d00:36 36 36 36 36 36 36 ff +d08:00 00 00 00 00 00 00 00 +d10:00 00 00 00 00 ff 00 ff +d18:18 18 18 18 18 18 18 18 +d20:00 00 00 00 00 00 00 ff +d28:36 36 36 36 36 36 36 36 +d30:36 36 36 36 36 36 36 3f +d38:00 00 00 00 00 00 00 00 +d40:18 18 18 18 18 1f 18 1f +d48:00 00 00 00 00 00 00 00 +d50:00 00 00 00 00 1f 18 1f +d58:18 18 18 18 18 18 18 18 +d60:00 00 00 00 00 00 00 3f +d68:36 36 36 36 36 36 36 36 +d70:36 36 36 36 36 36 36 ff +d78:36 36 36 36 36 36 36 36 +d80:18 18 18 18 18 ff 18 ff +d88:18 18 18 18 18 18 18 18 +d90:18 18 18 18 18 18 18 f8 +d98:00 00 00 00 00 00 00 00 +da0:00 00 00 00 00 00 00 1f +da8:18 18 18 18 18 18 18 18 +db0:ff ff ff ff ff ff ff ff +db8:ff ff ff ff ff ff ff ff +dc0:00 00 00 00 00 00 00 ff +dc8:ff ff ff ff ff ff ff ff +dd0:f0 f0 f0 f0 f0 f0 f0 f0 +dd8:f0 f0 f0 f0 f0 f0 f0 f0 +de0:0f 0f 0f 0f 0f 0f 0f 0f +de8:0f 0f 0f 0f 0f 0f 0f 0f +df0:ff ff ff ff ff ff ff 00 +df8:00 00 00 00 00 00 00 00 +e00:00 00 00 00 00 76 dc d8 +e08:d8 d8 dc 76 00 00 00 00 +e10:00 00 78 cc cc cc d8 cc +e18:c6 c6 c6 cc 00 00 00 00 +e20:00 00 fe c6 c6 c0 c0 c0 +e28:c0 c0 c0 c0 00 00 00 00 +e30:00 00 00 00 fe 6c 6c 6c +e38:6c 6c 6c 6c 00 00 00 00 +e40:00 00 00 fe c6 60 30 18 +e48:30 60 c6 fe 00 00 00 00 +e50:00 00 00 00 00 7e d8 d8 +e58:d8 d8 d8 70 00 00 00 00 +e60:00 00 00 00 66 66 66 66 +e68:66 7c 60 60 c0 00 00 00 +e70:00 00 00 00 76 dc 18 18 +e78:18 18 18 18 00 00 00 00 +e80:00 00 00 7e 18 3c 66 66 +e88:66 3c 18 7e 00 00 00 00 +e90:00 00 00 38 6c c6 c6 fe +e98:c6 c6 6c 38 00 00 00 00 +ea0:00 00 38 6c c6 c6 c6 6c +ea8:6c 6c 6c ee 00 00 00 00 +eb0:00 00 1e 30 18 0c 3e 66 +eb8:66 66 66 3c 00 00 00 00 +ec0:00 00 00 00 00 7e db db +ec8:db 7e 00 00 00 00 00 00 +ed0:00 00 00 03 06 7e db db +ed8:f3 7e 60 c0 00 00 00 00 +ee0:00 00 1c 30 60 60 7c 60 +ee8:60 60 30 1c 00 00 00 00 +ef0:00 00 00 7c c6 c6 c6 c6 +ef8:c6 c6 c6 c6 00 00 00 00 +f00:00 00 00 00 fe 00 00 fe +f08:00 00 fe 00 00 00 00 00 +f10:00 00 00 00 18 18 7e 18 +f18:18 00 00 ff 00 00 00 00 +f20:00 00 00 30 18 0c 06 0c +f28:18 30 00 7e 00 00 00 00 +f30:00 00 00 0c 18 30 60 30 +f38:18 0c 00 7e 00 00 00 00 +f40:00 00 0e 1b 1b 18 18 18 +f48:18 18 18 18 18 18 18 18 +f50:18 18 18 18 18 18 18 18 +f58:d8 d8 d8 70 00 00 00 00 +f60:00 00 00 00 18 18 00 7e +f68:00 18 18 00 00 00 00 00 +f70:00 00 00 00 00 76 dc 00 +f78:76 dc 00 00 00 00 00 00 +f80:00 38 6c 6c 38 00 00 00 +f88:00 00 00 00 00 00 00 00 +f90:00 00 00 00 00 00 00 18 +f98:18 00 00 00 00 00 00 00 +fa0:00 00 00 00 00 00 00 00 +fa8:18 00 00 00 00 00 00 00 +fb0:00 0f 0c 0c 0c 0c 0c ec +fb8:6c 6c 3c 1c 00 00 00 00 +fc0:00 d8 6c 6c 6c 6c 6c 00 +fc8:00 00 00 00 00 00 00 00 +fd0:00 70 d8 30 60 c8 f8 00 +fd8:00 00 00 00 00 00 00 00 +fe0:00 00 00 00 7c 7c 7c 7c +fe8:7c 7c 7c 00 00 00 00 00 +ff0:00 00 00 00 00 00 00 00 +ff8:00 00 00 00 00 00 00 00 \ No newline at end of file Index: lattice/textmem2k.mem =================================================================== --- lattice/textmem2k.mem (nonexistent) +++ lattice/textmem2k.mem (revision 8) @@ -0,0 +1,25 @@ +000:30 31 32 33 34 35 36 37 38 39 30 31 32 33 34 35 36 37 38 39 30 31 32 33 34 35 36 37 38 39 30 31 32 33 34 35 36 37 38 39 30 31 32 33 34 35 36 37 38 39 30 31 32 33 34 35 36 37 38 39 30 31 32 33 34 35 36 37 38 39 30 31 32 33 34 35 36 37 38 39 +050:31 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 31 +0A0:32 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 32 +0F0:33 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 33 +140:34 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 34 +190:35 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 35 +1E0:36 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 36 +230:37 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 37 +280:38 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 38 +2D0:39 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 39 +320:30 31 32 33 34 35 36 37 38 39 30 31 32 33 34 35 36 37 38 39 30 31 32 33 34 35 36 37 38 39 30 31 32 33 34 35 36 37 38 39 30 31 32 33 34 35 36 37 38 39 30 31 32 33 34 35 36 37 38 39 30 31 32 33 34 35 36 37 38 39 30 31 32 33 34 35 36 37 38 30 +370:31 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 31 +3C0:32 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 32 +410:33 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 33 +460:34 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 34 +4B0:35 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 35 +500:36 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 36 +550:37 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 37 +5A0:38 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 38 +5F0:39 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 39 +640:30 31 32 33 34 35 36 37 38 39 30 31 32 33 34 35 36 37 38 39 30 31 32 33 34 35 36 37 38 39 30 31 32 33 34 35 36 37 38 39 30 31 32 33 34 35 36 37 38 39 30 31 32 33 34 35 36 37 38 39 30 31 32 33 34 35 36 37 38 39 30 31 32 33 34 35 36 37 38 30 +690:31 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 31 +6E0:32 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 32 +730:33 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 33 +780:34 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 34 Index: lattice/fontrom.lpc =================================================================== --- lattice/fontrom.lpc (nonexistent) +++ lattice/fontrom.lpc (revision 8) @@ -0,0 +1,48 @@ +[Device] +Family=machxo2 +PartType=LCMXO2-7000HE +PartName=LCMXO2-7000HE-4TG144C +SpeedGrade=4 +Package=TQFP144 +OperatingCondition=COM +Status=S + +[IP] +VendorName=Lattice Semiconductor Corporation +CoreType=LPM +CoreStatus=Demo +CoreName=ROM +CoreRevision=5.1 +ModuleName=fontrom +SourceFormat=Verilog HDL +ParameterFileVersion=1.0 +Date=01/01/2014 +Time=20:10:25 + +[Parameters] +Verilog=1 +VHDL=0 +EDIF=1 +Destination=Synplicity +Expression=BusA(0 to 7) +Order=Big Endian [MSB:LSB] +IO=0 +Address=4096 +Data=8 +enByte=0 +ByteSize=9 +OutputEn=0 +ClockEn=0 +Optimization=Speed +Reset=Sync +Reset1=Sync +Init=0 +MemFile=font256x16l.mem +MemFormat=orca +EnECC=0 +Pipeline=0 +Write=Normal +init_data=0 + +[FilesGenerated] +font256x16l.mem=mem Index: lattice/fontrom.sort =================================================================== --- lattice/fontrom.sort (nonexistent) +++ lattice/fontrom.sort (revision 8) @@ -0,0 +1 @@ +fontrom.v Index: lattice/fontrom_generate.log =================================================================== --- lattice/fontrom_generate.log (nonexistent) +++ lattice/fontrom_generate.log (revision 8) @@ -0,0 +1,47 @@ +Starting process: Module + +Starting process: + +SCUBA, Version Diamond_2.2_Production (99) +Wed Jan 1 20:10:25 2014 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2013 Lattice Semiconductor Corporation, All rights reserved. + +BEGIN SCUBA Module Synthesis + + Issued command : /usr/local/diamond/2.2_x64/ispfpga/bin/lin64/scuba -w -n fontrom -lang verilog -synth synplify -bus_exp 7 -bb -arch xo2c00 -type romblk -device LCMXO2-7000HE -addr_width 12 -data_width 8 -num_words 4096 -cascade -1 -memfile font256x16l.mem -memformat orca -e + Circuit name : fontrom + Module type : EBR_ROM + Module Version : 5.1 + Ports : + Inputs : Address[11:0], OutClock, OutClockEn, Reset + Outputs : Q[7:0] + I/O buffer : not inserted + Memory file : font256x16l.mem + EDIF output : suppressed + Verilog output : fontrom.v + Verilog template : fontrom_tmpl.v + Verilog testbench: tb_fontrom_tmpl.v + Verilog purpose : for synthesis and simulation + Bus notation : big endian + Report output : fontrom.srp + Estimated Resource Usage: + EBR : 4 + +END SCUBA Module Synthesis + +File: fontrom.lpc created. + + +End process: completed successfully. + + +Total Warnings: 0 + +Total Errors: 0 + +

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.