OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /8051/tags/rel0/asm/vec
    from Rev 185 to Rev 186
    Reverse comparison

Rev 185 → Rev 186

/int2bin.vec
0,0 → 1,14
////
////
//// test vectors for int2bin
////
////
ffffff
00xxxx
01xxxx
00xxxx
01xxxx
00xxxx
01xxxx
00xxxx
01xxxx
int2bin.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: testall.vec =================================================================== --- testall.vec (nonexistent) +++ testall.vec (revision 186) @@ -0,0 +1,7 @@ +//// +//// +//// test vectors for testall +//// +//// +ffffff +7fxxxx
testall.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: counter_test.vec =================================================================== --- counter_test.vec (nonexistent) +++ counter_test.vec (revision 186) @@ -0,0 +1,8 @@ +//// +//// +//// test vectors for counter_test +//// +//// +ffffff +01xxxx +02xxxx Index: div16u.vec =================================================================== --- div16u.vec (nonexistent) +++ div16u.vec (revision 186) @@ -0,0 +1,11 @@ + +//// +//// +//// test vectors for div16u +//// +//// +ffffff +00xxxx +70xxxx +00xxxx +54xxxx
div16u.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: negcnt.vec =================================================================== --- negcnt.vec (nonexistent) +++ negcnt.vec (revision 186) @@ -0,0 +1,16 @@ +//// +//// +//// test vectors for Negcnt +//// +//// +ffffff +40xxxx +41xxxx +42xxxx +43xxxx +44xxxx +45xxxx +46xxxx +47xxxx +48xxxx +49xxxx
negcnt.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: serial_test.vec =================================================================== --- serial_test.vec (nonexistent) +++ serial_test.vec (revision 186) @@ -0,0 +1,10 @@ +//// +//// +//// test vectors for serial_test +//// +//// +ffffff +00xxxx +01xxxx +02xxxx +03xxxx Index: timer_test.vec =================================================================== --- timer_test.vec (nonexistent) +++ timer_test.vec (revision 186) @@ -0,0 +1,8 @@ +//// +//// +//// test vectors for timer_test +//// +//// +ffffff +01xxxx +02xxxx Index: serial.vec =================================================================== --- serial.vec (nonexistent) +++ serial.vec (revision 186) @@ -0,0 +1,14 @@ +//// +//// +//// test vectors for serial +//// +//// +ffffff +02xxxx +82xxxx +a2xxxx +42xxxx +4axxxx +c2xxxx +caxxxx +02xxxx
serial.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: timer.vec =================================================================== --- timer.vec (nonexistent) +++ timer.vec (revision 186) @@ -0,0 +1,9 @@ +//// +//// +//// test vectors for timer +//// +//// +ffffff +01xxxx +00xxxx +02xxxx Index: xram_m.vec =================================================================== --- xram_m.vec (nonexistent) +++ xram_m.vec (revision 186) @@ -0,0 +1,7 @@ +//// +//// +//// test vectors for xram_m +//// +//// +ffffff +01xxxx Index: lcall.vec =================================================================== --- lcall.vec (nonexistent) +++ lcall.vec (revision 186) @@ -0,0 +1,8 @@ +//// +//// +//// test vectors for lcall +//// +//// +ffffff +0axxxx +04xxxx
lcall.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: sort.vec =================================================================== --- sort.vec (nonexistent) +++ sort.vec (revision 186) @@ -0,0 +1,17 @@ +//// +//// +//// test vectors for sort +//// +//// +ffffff +00xxxx +0axxxx +0bxxxx +0cxxxx +0dxxxx +0exxxx +0fxxxx +10xxxx +11xxxx +12xxxx +13xxxx
sort.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: sqroot.vec =================================================================== --- sqroot.vec (nonexistent) +++ sqroot.vec (revision 186) @@ -0,0 +1,10 @@ +//// +//// +//// test vectors for sqroot +//// +//// +ffffff +09xxxx +xx10xx +xxxx19 +05xxxx
sqroot.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: cast.vec =================================================================== --- cast.vec (nonexistent) +++ cast.vec (revision 186) @@ -0,0 +1,10 @@ +//// +//// +//// test vectors for cast +//// +//// +ffffff +01xxxx +xx23xx +xxxx45 +67xxxx
cast.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: interrupt_test.vec =================================================================== --- interrupt_test.vec (nonexistent) +++ interrupt_test.vec (revision 186) @@ -0,0 +1,8 @@ +//// +//// +//// test vectors for interrupt_test +//// +//// +ffffff +01xxxx +02xxxx Index: r_bank.vec =================================================================== --- r_bank.vec (nonexistent) +++ r_bank.vec (revision 186) @@ -0,0 +1,11 @@ +//// +//// +//// test vectors for r_bank +//// +//// +ffffff +00xxxx +01xxxx +02xxxx +03xxxx +04xxxx Index: gcd.vec =================================================================== --- gcd.vec (nonexistent) +++ gcd.vec (revision 186) @@ -0,0 +1,11 @@ +//// +//// +//// test vectors for gcd +//// +//// +ffffff +24xxxx +19xxxx +0exxxx +03xxxx +01xxxx
gcd.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: fib.vec =================================================================== --- fib.vec (nonexistent) +++ fib.vec (revision 186) @@ -0,0 +1,15 @@ +//// +//// +//// test vectors for fib +//// +//// +ffffff +01xxxx +02xxxx +03xxxx +05xxxx +08xxxx +0dxxxx +15xxxx +22xxxx +37xxxx
fib.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: divmul.vec =================================================================== --- divmul.vec (nonexistent) +++ divmul.vec (revision 186) @@ -0,0 +1,9 @@ +//// +//// +//// test vectors for divmul +//// +//// +ffffff +0axxxx +04xxxx +86xxxx
divmul.vec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.