URL
https://opencores.org/ocsvn/System09/System09/trunk
Subversion Repositories System09
Compare Revisions
- This comparison shows the changes necessary to convert path
/System09/trunk
- from Rev 96 to Rev 97
- ↔ Reverse comparison
Rev 96 → Rev 97
/src/sys09bug/mon_rom_vhd
18,8 → 18,8
cs : in std_logic; |
rw : in std_logic; |
addr : in std_logic_vector (11 downto 0); |
rdata : out std_logic_vector (7 downto 0); |
wdata : in std_logic_vector (7 downto 0) |
data_out : out std_logic_vector (7 downto 0); |
data_in : in std_logic_vector (7 downto 0) |
); |
end mon_rom; |
|
40,8 → 40,8
cs : in std_logic; |
rw : in std_logic; |
addr : in std_logic_vector (10 downto 0); |
rdata : out std_logic_vector (7 downto 0); |
wdata : in std_logic_vector (7 downto 0) |
data_out : out std_logic_vector (7 downto 0); |
data_in : in std_logic_vector (7 downto 0) |
); |
end component; |
|
52,8 → 52,8
cs : in std_logic; |
rw : in std_logic; |
addr : in std_logic_vector (10 downto 0); |
rdata : out std_logic_vector (7 downto 0); |
wdata : in std_logic_vector (7 downto 0) |
data_out : out std_logic_vector (7 downto 0); |
data_in : in std_logic_vector (7 downto 0) |
); |
end component; |
|
65,8 → 65,8
cs => cs0, |
rw => rw, |
addr => addr(10 downto 0), |
wdata => wdata, |
rdata => rdata0 |
data_in => data_in, |
data_out => rdata0 |
); |
|
addr_f800 : SYS09BUG_F800 port map ( |
75,8 → 75,8
cs => cs1, |
rw => rw, |
addr => addr(10 downto 0), |
wdata => wdata, |
rdata => rdata1 |
data_in => data_in, |
data_out => rdata1 |
); |
|
my_mon : process ( rw, addr, cs, rdata0, rdata1 ) |
86,11 → 86,11
when '0' => |
cs0 <= cs; |
cs1 <= '0'; |
rdata <= rdata0; |
data_out <= rdata0; |
when '1' => |
cs0 <= '0'; |
cs1 <= cs; |
rdata <= rdata1; |
data_out <= rdata1; |
when others => |
null; |
end case; |